US20180044800A1 - Coatings for enhancement of properties and performance of substrate articles and apparatus - Google Patents

Coatings for enhancement of properties and performance of substrate articles and apparatus Download PDF

Info

Publication number
US20180044800A1
US20180044800A1 US15/550,630 US201615550630A US2018044800A1 US 20180044800 A1 US20180044800 A1 US 20180044800A1 US 201615550630 A US201615550630 A US 201615550630A US 2018044800 A1 US2018044800 A1 US 2018044800A1
Authority
US
United States
Prior art keywords
coating
metal
ald
alumina
oxides
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/550,630
Other languages
English (en)
Inventor
Bryan C. Hendrix
David W. Peters
Weimin Li
Carlo Waldfried
Richard A. Cooke
Nilesh Gunda
I-Kuan Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Priority to US15/550,630 priority Critical patent/US20180044800A1/en
Publication of US20180044800A1 publication Critical patent/US20180044800A1/en
Assigned to GOLDMAN SACHS BANK USA reassignment GOLDMAN SACHS BANK USA SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ENTEGRIS, INC., SAES PURE GAS, INC.
Assigned to ENTEGRIS, INC. reassignment ENTEGRIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COOKE, RICHARD A., GUNDA, NILESH, HENDRIX, BRYAN C., LI, WEIMIN, LIN, I-KUAN, PETERS, DAVID W., WALDFRIED, CARLO
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679 Assignors: GOLDMAN SACHS BANK USA
Assigned to TRUIST BANK, AS NOTES COLLATERAL AGENT reassignment TRUIST BANK, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CMC MATERIALS, INC., ENTEGRIS GP, INC., ENTEGRIS, INC., INTERNATIONAL TEST SOLUTIONS, LLC, POCO GRAPHITE, INC., QED TECHNOLOGIES INTERNATIONAL, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/404Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/04Additives and treatments of the filtering material
    • B01D2239/0471Surface coating material
    • B01D2239/0478Surface coating material on a layer of the filter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/12Special parameters characterising the filtering material
    • B01D2239/1216Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/20Other self-supporting filtering material ; Other filtering material of inorganic material, e.g. asbestos paper, metallic filtering material of non-woven wires
    • B01D39/2027Metallic material

Definitions

  • the present disclosure generally relates to coatings applicable to a variety of substrate articles and equipment, e.g., in respect of structures and apparatus having surface that is susceptible to formation thereon of undesired oxide, nitride, fluoride, chloride, or other halide contaminant species.
  • the disclosure relates to semiconductor manufacturing equipment and methods of enhancing the performance thereof, and more specifically relates to semiconductor manufacturing equipment susceptible to contamination and particle deposition associated with the presence of dialuminum hexachloride vapor in such equipment, and to compositions and methods for combating such adverse contamination and particle deposition.
  • contaminant species such as surfaces of aluminum, anodized aluminum, quartz, stainless steel, etc. that are susceptible to formation of undesired oxide, nitride, and halide (e.g., fluoride and/or chloride) contaminant species thereon, which interfere with the use, utility, or function of the associated products, equipment, or materials.
  • contaminant species such as surfaces of aluminum, anodized aluminum, quartz, stainless steel, etc. that are susceptible to formation of undesired oxide, nitride, and halide (e.g., fluoride and/or chloride) contaminant species thereon, which interfere with the use, utility, or function of the associated products, equipment, or materials.
  • halide e.g., fluoride and/or chloride
  • aluminum and aluminum-containing materials are widely employed. Although aluminum as a metallization material has been significantly displaced by copper in nanoscale integrated circuitry applications, aluminum nonetheless continues to be extensively utilized as a wire bonding and connection material, as well as use in thin film materials, e.g., AlN thin films as barrier layers, piezoelectric device components, cold cathode materials, etc., as well as in compound semiconductor compositions for applications such as LEDs and other optoelectronic devices or Al 2 O 3 layers as dielectrics, dielectric dopants, barriers, optical coatings, etc.
  • thin film materials e.g., AlN thin films as barrier layers, piezoelectric device components, cold cathode materials, etc.
  • compound semiconductor compositions for applications such as LEDs and other optoelectronic devices or Al 2 O 3 layers as dielectrics, dielectric dopants, barriers, optical coatings, etc.
  • halogen gases are employed in semiconductor manufacturing equipment for processing of films in the device manufacturing operation, or as co-flow cleaning agents for removal of accumulated contaminant deposits on surfaces and components of the semiconductor manufacturing equipment.
  • halogen gases may include chloro species, which can reactively form dialuminum hexachloride (Al 2 Cl 6 ) vapor when contacting aluminum present in the equipment, e.g., on wafers, or on surfaces or components of the equipment.
  • dialuminum hexachloride vapor may in turn attack stainless steel surfaces and components in the semiconductor manufacturing equipment and serve to transport measurable levels of metals such as chromium, iron, and nickel to the wafers undergoing processing.
  • Al 2 Cl 6 vapor can be readily produced above solid AlCl 3 in a solid vaporizer, such as solid vaporizer units of the type commercially sold under the trademark ProE-Vap by Entegris, Inc., Billerica, Mass., USA.
  • Stainless steel components of semiconductor and manufacturing equipment may be formed of 316 stainless steel or other stainless steel alloys that are generally electropolished. Such electropolishing generally leaves the surface coated with a layer of passive oxide containing chromium, iron, nickel, and other alloy components.
  • metal components may form surface traces of corresponding oxides by native oxidation processes.
  • dialuminum hexachloride encounters such metal oxides, the metal oxides react with the dialuminum hexachloride to form corresponding vapor phase metalloaluminum chloride compounds which can transport to wafers and semiconductor devices or device precursor structures and may deposit the trace metals or otherwise damage the products being manufactured in the equipment.
  • the metal oxide can react with Al 2 Cl 6 vapor to form Al 2 O 3 and particulate metal chlorides that can transport to the device structure and cause damage.
  • AlCl 3 solid can contact the metal oxide surface to form either vapor metalloaluminum chloride or solid chloride particles.
  • the present disclosure generally relates to coatings applicable to a variety of substrate articles, structures, materials, and equipment, and relates in specific aspects to semiconductor manufacturing equipment and methods of enhancing the performance thereof, and more specifically to semiconductor manufacturing equipment susceptible to contamination and particle deposition associated with the presence of dialuminum hexachloride in such equipment, and to compositions and methods for combating such adverse contamination and particle deposition.
  • the disclosure relates in one aspect to a structure, material, or apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, the metal surface configured to be contacted in use or operation of said structure, material, or apparatus with gas, solid, or liquid that is reactive with such metal oxide, nitride, or halide, to form a reaction product that is deleterious to said structure, material, or apparatus and its use or operation, wherein the metal surface is coated with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the disclosure relates to a semiconductor manufacturing apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, the metal surface configured to be contacted in operation of said apparatus with gas, solid, or liquid that is reactive with said metal oxide, nitride, or halide to form a reaction product, e.g., a particulate reaction product and/or a vapor reaction product, that is deleterious to said apparatus and its operation, wherein the metal surface is coated with a protective coating preventing reaction of the coated surface with the reactive gas.
  • a reaction product e.g., a particulate reaction product and/or a vapor reaction product
  • a further aspect of the disclosure relates to a method of improving performance of a structure, material, or apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, wherein the metal surface is configured to be contacted in use or operation of said structure, material, or apparatus with gas, solid, or liquid that is reactive with said metal oxide, nitride, or halide to form a reaction product that is deleterious to said structure, material, or apparatus and its use or operation, said method comprising coating the metal surface with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the disclosure relates to a method of improving performance of a semiconductor manufacturing apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, wherein the metal surface is configured to be contacted in operation of said apparatus with gas, solid, or liquid that is reactive with the metal oxide, nitride, or halide to form a reaction product that is deleterious to said apparatus and its operation, such method comprising coating the metal surface with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the disclosure relates to improving the performance of a semiconductor manufacturing apparatus in contact with a reactive solid.
  • thin film atomic layer deposition coatings for industrial applications.
  • Thin film coatings in accordance with the disclosure are described in the specification herein.
  • Another aspect of the disclosure relates to a composite ALD coating, comprising layers of different ALD product materials.
  • a further aspect of the disclosure relates to a composite coating, comprising at least one ALD layer and at least one deposited layer that is not an ALD layer.
  • the disclosure relates to a method of forming a patterned ALD coating on a substrate, comprising forming a pattern on the substrate of a layer of surface termination material that is effective to prevent ALD film growth.
  • the disclosure relates to a method of filling and/or sealing surface infirmities of a material, said method comprising applying an ALD coating on a surface infirmity of the material, at a thickness effecting filling and/or sealing of the infirmity.
  • a further aspect of the disclosure relates to a filter, comprising a matrix of fibers and/or particles, the fibers and/or particles being formed of metal and/or polymeric material, wherein the matrix of fibers and/or particles has an ALD coating thereon, wherein the ALD coating does not alter pore volume of the matrix of fibers and/or particles by more than 5%, as compared to a corresponding matrix of fibers and/or particles lacking said ALD coating thereon, and wherein when the fibers and/or particles are formed of metal, and the ALD coating comprises metal, the metal of the ALD coating is different from the metal of the fibers and/or particles.
  • Yet another aspect of the disclosure relates to a method of delivering a gaseous or vapor stream to a semiconductor processing tool, said method comprising providing a flow path for the gaseous or vapor stream, from a source of said gaseous or vapor stream to the semiconductor processing tool, and flowing the gaseous or vapor stream through a filter in the flow path to remove extraneous solid material from the stream, wherein the filter comprises a filter of the present disclosure, as variously described herein.
  • the disclosure in a further aspect relates to a filter comprising a sintered matrix of stainless steel fibers and/or particles that is coated with an ALD coating of alumina, wherein the sintered matrix comprises pores of a diameter in a range of from 1 to 40 ⁇ m, e.g., from 10 to 20 ⁇ m, and the ALD coating has a thickness in a range of from 2 to 500 nm.
  • a solid vaporizer apparatus comprising a vessel defining an interior volume including support surface therein for solid material to be vaporized, wherein at least a portion of the support surface has an ALD coating thereon.
  • the disclosure relates in a further aspect to a thin film coating comprised of one or more layers, wherein at least one layer is deposited by atomic layer deposition.
  • Another aspect of the disclosure relates to an ALD coating having a film thickness exceeding 1000 ⁇ .
  • a further aspect of the disclosure relates to an ALD coating comprising a very dense, pinhole free, defect-free layer.
  • Yet another aspect of the disclosure relates to a thin film coating deposited on a part surface other than an integrated circuit device on a silicon wafer.
  • the disclosure relates to an ALD coating comprised of insulating metal oxide and metal.
  • Another aspect the disclosure relates to an ALD coating that is depositable at temperature in a range of from 20° C. to 400° C.
  • a further aspect of the disclosure relates to an ALD coating comprising a single film having a defined stoichiometry.
  • Another aspect of the disclosure relates to a thin film coating comprising an ALD layer in combination with at least one other layer deposited by a different deposition technique.
  • the disclosure relates to a multilayer ALD coating, having a coating thickness not exceeding 2 ⁇ m.
  • Another aspect of the disclosure relates to an ALD coating of material selected from the group consisting of oxides, alumina, aluminum-oxy nitride, yttria, yttria-alumina mixes, silicon oxide, silicon oxy-nitride, transition metal oxides, transition metal oxy-nitrides, rare earth metal oxides, and rare earth metal oxy-nitrides.
  • a further aspect of the disclosure relates to a method of forming a patterned ALD coating on a substrate part, such method comprising: uniformly coating the part with an ALD coating; and etching back unwanted coating material through a mask.
  • Another method aspect of the disclosure relates to a method of forming a patterned ALD coating on a substrate part, such method comprising: masking an area of the part; coating the part with an ALD coating; and removing the ALD coating from the mask area of the part.
  • a still further method aspect of the disclosure relates to a method of forming a patterned ALD coating on a substrate part, such method comprising: patterning the substrate part with material comprising a surface termination component that blocks the ALD film growth; and coating the patterned substrate part with an ALD coating.
  • a further aspect of the disclosure relates to a method of electrically insulating a substrate part, comprising applying to said substrate part a defect-free, pin-hole-free, dense, electrically insulating ALD coating.
  • the disclosure relates in another aspect to a coating on a substrate surface, comprising an ALD coating having a chemically resistant and etch-resistant character.
  • Another aspect of the disclosure relates to a coating on a substrate surface, comprising an ALD corrosion-resistant coating.
  • a further aspect of the disclosure relates to a coating on a substrate surface, comprising an ALD diffusion barrier layer.
  • a still further aspect of the disclosure relates to a coating on a substrate surface, comprising an ALD adhesion layer.
  • Yet another aspect of the disclosure relates to a coating on a substrate surface, comprising an ALD surface sealant layer.
  • the disclosure relates to a porous filter comprising a fibrous metal membrane coated with a chemically resistant ALD coating.
  • a further aspect of the disclosure relates to a filter comprising a porous material matrix coated with an ALD coating wherein the average pore size of the porous metal matrix has been reduced by the ALD coating, in relation to a corresponding porous material matrix not coated with the ALD coating.
  • Another aspect of the disclosure relates to a filter comprising a porous material matrix coated with an ALD coating, wherein the coating thickness is directionally varied to provide a corresponding pore size gradient in the filter.
  • the disclosure relates to a method of fabricating a porous filter, comprising coating a porous material matrix with an ALD coating, to reduce average pore size of the porous material matrix.
  • the disclosure relates to a solid vaporizer apparatus comprising a container defining therein an interior volume, an outlet configured to discharge precursor vapor from the container, and support structure in the interior volume of the container adapted to support solid precursor material thereon for volatilization thereof to form the precursor vapor, wherein the solid precursor material comprises aluminum precursor, and wherein at least part of surface area in the interior volume is coated with an alumina coating.
  • a further aspect the disclosure relates to a method of enhancing corrosion resistance of a stainless steel structure, material, or apparatus that in use or operation is exposed to aluminum halide, said method comprising coating said stainless steel structure, material, or apparatus with an alumina coating.
  • Another aspect of the disclosure relates to a semiconductor processing etching structure, component, or apparatus that in use or operation is exposed to etching media, said structure, component, or apparatus being coated with a coating comprising a layer of yttria, wherein the layer of yttria optionally overlies a layer of alumina in said coating.
  • Yet another aspect of the disclosure relates to a method of enhancing corrosion resistance and etch resistance of a semiconductor processing etching structure, component, or apparatus that in use or operation is exposed to etching media, said method comprising coating the structure, component, or apparatus with a coating comprising a layer of yttria, wherein the layer of yttria optionally overlies a layer of alumina in said coating.
  • the disclosure relates to a etch chamber diffuser plate comprising a nickel membrane encapsulated with an alumina coating.
  • a further aspect of the disclosure relates to a method of enhancing corrosion resistance and etch resistance to an etch chamber diffuser plate comprising a nickel membrane, comprising coating the nickel membrane with an encapsulating coating of alumina.
  • the disclosure relates to a vapor deposition processing structure, component, or apparatus that in use or operation is exposed to halide media, said structure, component, or apparatus being coated with a coating of yttria comprising an ALD base coating of yttria, and a PVD over coating of yttria.
  • the disclosure relates to a method of enhancing corrosion resistance and etch resistance of a vapor deposition processing structure, component, or apparatus that in use or operation is exposed to halide media, said method comprising coating the structure, component, or apparatus with a coating of yttria comprising an ALD base coating of yttria, and a PVD over coating of yttria.
  • Yet another aspect of the disclosure relates to a quartz envelope structure coated on an interior surface thereof with an alumina diffusion barrier layer.
  • a further aspect of the disclosure relates to a method of reducing diffusion of mercury into a quartz envelope structure susceptible to such diffusion in operation thereof, said method comprising coating an interior surface of the quartz envelope structure with an alumina diffusion barrier layer.
  • a still further aspect of the disclosure relates to a plasma source structure, component, or apparatus that in use or operation is exposed to plasma and voltage exceeding 1000 V, wherein plasma-wetted surface of said structure, component or apparatus is coated with an ALD coating of alumina, and said alumina coating is overcoated with a PVD coating of aluminum oxynitride.
  • the disclosure in one aspect relates to a method of enhancing service life of a plasma source structure, component, or apparatus that in use or operation is exposed to plasma and voltage exceeding 1000 V, said method comprising coating plasma-wetted surface of said structure, component or apparatus with an ALD coating of alumina, and over coating said alumina coating with a PVD coating of aluminum oxynitride.
  • the disclosure in another aspect relates to a dielectric stack, comprising sequential layers including a base layer of alumina, a nickel electrode layer thereon, an ALD alumina electrical stand-off layer on the nickel electrode layer, a PVD aluminum oxynitride thermal expansion buffer layer on the ALD alumina electrical stand-off layer, and a CVD silicon oxynitride wafer contact surface and electrical spacer layer on the PVD aluminum oxynitride thermal expansion buffer layer.
  • the disclosure in another aspect relates to a plasma activation structure, component, or apparatus, comprising aluminum surface coated with one of the multilayer coatings of (i) and (ii): (i) a base coat of CVD silicon on the aluminum surface, and a layer of ALD zirconia on the base coat of CVD silicon; and (ii) a base coat of CVD silicon oxynitride on the aluminum surface, and a layer of ALD alumina on the base coat of CVD silicon oxynitride.
  • Another aspect of the disclosure relates to a method of reducing particle formation and metal contamination for an aluminum surface of a plasma activation structure, component, or apparatus, said method comprising coating the aluminum surface with one of the multilayer coatings of (i) and (ii): (i) a base coat of CVD silicon on the aluminum surface, and a layer of ALD zirconia on the base coat of CVD silicon; and (ii) a base coat of CVD silicon oxynitride on the aluminum surface, and a layer of ALD alumina on the base coat of CVD silicon oxynitride.
  • porous matrix filter comprising a membrane formed of stainless steel, nickel, or titanium, wherein the membrane is encapsulated with alumina to a coating penetration depth in a range of from 20 to 2000 ⁇ m.
  • the disclosure relates to a method of making a porous matrix filter comprising encapsulating a membrane formed of stainless steel, nickel, or titanium with alumina to a coating penetration depth in a range of from 20 to 2000 ⁇ m.
  • FIG. 1 is a schematic representation of a deposition furnace of a semiconductor wafer processing tool according to one aspect of the present disclosure.
  • FIG. 2 is a schematic representation of a deposition furnace process system according to another aspect of the disclosure, for coating wafers using Al 2 Cl 6 vapor, utilizing a solid source delivery vaporizer in the form of an ampoule for vaporizing AlCl 3 to form the Al 2 Cl 6 vapor, wherein the trays and internal surfaces of the ampoule are coated with Al 2 O 3 , as well as all of the valves, tubing and filters downstream of the ampoule being coated with Al 2 O 3 .
  • FIG. 3 is a perspective, partial breakaway view of a vaporizer container having holders to help promote contact of a gas with vapor from material supported by the holders.
  • FIG. 4 is a micrograph, at 15K magnification, of the surface of a porous metal frit of a type usefully employed in filter elements, according to another aspect of the disclosure.
  • FIG. 5 is a micrograph, at 20,000 times magnification, of the surface of electropolished 316 L stainless steel having no exposure to AlCl 3 .
  • FIG. 6 is a micrograph, at 1000 times magnification, of a surface of electropolished 316 L stainless steel after exposure to AlCl 3 for 10 days at 120° C. in an anhydrous environment.
  • FIG. 7 is a micrograph, at 50,000 times magnification, of a cross-section of electropolished 316 L stainless steel that did not have any exposure to AlCl 3 .
  • FIG. 8 is a micrograph, at 20,000 times magnification, of uncoated 316 L stainless steel after 10 days of exposure to AlCl 3 at 120° C. in an anhydrous environment.
  • FIG. 9 is a micrograph, at 35,000 times magnification, of electropolished 316 L stainless steel after 10 days of exposure to AlCl 3 at 120° C. in an anhydrous environment, showing multiple pits along the surface.
  • FIG. 10 is a micrograph, at 35,000 times magnification, of electropolished 316 L stainless steel coated by 100 ALD cycles of Al 2 O 3 using trimethyl aluminum and water, prior to exposure to anhydrous AlCl 3 at 120° C. for 10 days.
  • FIG. 11 is a micrograph, at 35,000 times magnification, of electropolished 316 L stainless steel coated by 1000 ALD cycles of Al 2 O 3 using trimethyl aluminum and water, prior to exposure to anhydrous AlCl 3 at 120° C. for 10 days.
  • FIG. 12 is a composite photograph of sample stainless steel coupons, of which sample coupons 2 and 3 were coated with a 470 ⁇ thick coating of alumina, and sample coupons 12 and 13 were uncoated, has photographed after nine days exposure to AlCl 3 at 155° C.
  • FIG. 13 is a top-down scanning electron microscope (SEM) micrograph of an alumina-coated stainless steel sample after exposure to WCl 5 at 220° C. for 10 days.
  • FIG. 14 is a focused ion beam (FIB) cross-section of the edge of the coating in the sample of FIG. 13 after exposure to WCl 5 at 220° C. for 10 days.
  • FIB focused ion beam
  • FIG. 15 is a perspective view of a stainless steel holder usefully employed in a vaporizer ampoule for aluminum trichloride (AlCl 3 ) solid precursor delivery for an aluminum process, in which the aluminum trichloride precursor is supported by the holder and volatilized to form aluminum trichloride precursor vapor for discharge from the vaporizer ampoule and transport through associated flow circuitry to the aluminum process.
  • AlCl 3 aluminum trichloride
  • FIG. 16 is a perspective view of a stainless steel holder of the type shown in FIG. 15 , as coated by atomic layer deposition with a coating of alumina thereon, so that the stainless steel surface is encapsulated by the alumina coating in the corrosive environment involving aluminum trichloride (AlCl 3 ) exposure to which the holder is subjected in use and operation of the vaporizer ampoule.
  • AlCl 3 aluminum trichloride
  • FIG. 17 is a schematic elevation view of an alumina coating applied by atomic layer deposition to a stainless steel substrate, to provide corrosion resistance, prevent chemical reaction with the substrate, and reduce metals contamination in use.
  • FIG. 18 shows channels of a plasma etch apparatus coated with yttria (Y 2 O 3 ).
  • FIG. 19 is a schematic elevation view of an yttria coating applied by atomic layer deposition over alumina.
  • FIG. 20 is a photograph of a diffuser plate assembly, including a stainless steel frame and a nickel filter membrane, as coated with an alumina coating.
  • FIG. 21 is a schematic elevation view of the diffuser plate assembly, in which the stainless steel frame and nickel membrane are encapsulated with ALD alumina.
  • FIG. 22 is a schematic elevation view of a coating structure, including an aluminum substrate, an ALD coating of alumina, and a PVD coating of AlON.
  • FIG. 23 is a schematic elevation view of the layer structure of a dielectric stack useful for hot chuck components, in which an alumina substrate has an electrode metal thereon, on which is an electrical stand-off layer of ALD alumina, on which is a PVD coating of aluminum oxynitride, on which is a layer of chemical vapor deposition (CVD) deposited silicon oxynitride (SiON).
  • ALD alumina an electrical stand-off layer of ALD alumina
  • PVD coating of aluminum oxynitride on which is a layer of chemical vapor deposition (CVD) deposited silicon oxynitride (SiON).
  • CVD chemical vapor deposition
  • FIG. 24 is a schematic elevation view of a multilayer stack including a chemical vapor deposition-applied layer of silicon on an aluminum substrate, with an ALD layer of zirconia on the CVD Si layer.
  • FIG. 25 is a schematic elevation view of a multilayer stack including a CVD layer of silicon oxynitride on an aluminum substrate, and an ALD layer of alumina on the CVD SiON coating layer.
  • FIG. 26 is a micrograph of porous material having a 1.5 mm wall thickness and pore size of 2-4 ⁇ m, coated with alumina by atomic layer deposition.
  • FIG. 27 is a schematic representation of an encapsulated membrane, comprising a membrane formed of stainless steel, nickel, titanium, or other suitable material, which has been fully encapsulated with alumina deposited by ALD.
  • FIG. 28 is a photomicrograph of a coated filter, wherein the coating is alumina, having a coating penetration depth of 35 ⁇ m.
  • FIG. 29 is a photomicrograph of a coated filter, wherein the coating is alumina, having a coating penetration depth of 175 ⁇ m
  • the present disclosure generally relates to coatings applicable to a variety of substrate articles, materials, structures, and equipment.
  • the disclosure relates to semiconductor manufacturing equipment and methods of enhancing the performance thereof, and more specifically to semiconductor manufacturing equipment susceptible to contamination and particle deposition associated with the presence of dialuminum hexachloride in such equipment, and to compositions and methods for combating such adverse contamination and particle deposition.
  • a carbon number range e.g., in C 1 -C 12 alkyl
  • identification of a carbon number range is intended to include each of the component carbon number moieties within such range, so that each intervening carbon number and any other stated or intervening carbon number value in that stated range, is encompassed, it being further understood that sub-ranges of carbon number within specified carbon number ranges may independently be included in smaller carbon number ranges, within the scope of the invention, and that ranges of carbon numbers specifically excluding a carbon number or numbers are included in the invention, and sub-ranges excluding either or both of carbon number limits of specified ranges are also included in the invention.
  • C 1 -C 12 alkyl is intended to include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl, decyl, undecyl and dodecyl, including straight chain as well as branched groups of such types. It therefore is to be appreciated that identification of a carbon number range, e.g., C 1 -C 12 , as broadly applicable to a substituent moiety, enables, in specific embodiments of the invention, the carbon number range to be further restricted, as a sub-group of moieties having a carbon number range within the broader specification of the substituent moiety.
  • the carbon number range e.g., C 1 -C 12 alkyl
  • the carbon number range may be more restrictively specified, in particular embodiments of the invention, to encompass sub-ranges such as C 1 -C 4 alkyl, C 2 -C 5 alkyl, C 2 -C 4 alkyl, C 3 -C 5 alkyl, or any other sub-range within the broad carbon number range.
  • a carbon number range is deemed to affirmatively set forth each of the carbon number species in the range, as to the substituent, moiety, or compound to which such range applies, as a selection group from which specific ones of the members of the selection group may be selected, either as a sequential carbon number sub-range, or as specific carbon number species within such selection group.
  • Alkyls as used herein include, but are not limited to, methyl, ethyl, propyl, isopropyl, butyl, s-butyl, t-butyl, pentyl and isopentyl and the like.
  • Aryls as used herein includes hydrocarbons derived from benzene or a benzene derivative that are unsaturated aromatic carbocyclic groups of from 6 to 10 carbon atoms. The aryls may have a single or multiple rings. The term “aryl” as used herein also includes substituted aryls.
  • Examples include, but are not limited to phenyl, naphthyl, xylene, phenylethane, substituted phenyl, substituted naphthyl, substituted xylene, substituted phenylethane and the like.
  • Cycloalkyls as used herein include, but are not limited to cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl and the like.
  • a range of carbon numbers will be regarded as specifying a sequence of consecutive alternative carbon-containing moieties, including all moieties containing numbers of carbon atoms intermediate the endpoint values of carbon number in the specific range as well as moieties containing numbers of carbon atoms equal to an endpoint value of the specific range, e.g., C 1 -C 6 , is inclusive of C 1 , C 2 , C 3 , C 4 , C 5 and C 6 , and each of such broader ranges may be further limitingly specified with reference to carbon numbers within such ranges, as sub-ranges thereof.
  • the range C 1 -C 6 would be inclusive of and can be further limited by specification of sub-ranges such as C 1 -C 3 , C 1 -C 4 , C 2 -C 6 , C 4 -C 6 , etc. within the scope of the broader range.
  • the disclosure relates in one aspect to a structure, material, or apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide (fluoride, chloride, iodide, and/or bromide) of such metal thereon, the metal surface configured to be contacted in use or operation of such structure, material, or apparatus with gas, solid, or liquid that is reactive with said metal oxide, nitride, or halide to form a reaction product that is deleterious to the structure, material, or apparatus and its use or operation, wherein the metal surface is coated with a protective coating preventing reaction of the coated surface with the reactive gas.
  • metal surface susceptible to formation of oxide, nitride, or halide (fluoride, chloride, iodide, and/or bromide) of such metal thereon
  • the metal surface configured to be contacted in use or operation of such structure, material, or apparatus with gas, solid, or liquid that is reactive with said metal oxide, nitride, or halide to form a
  • the disclosure relates to a semiconductor manufacturing apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, the metal surface configured to be contacted in use or operation of said apparatus with gas, solid, or liquid that is reactive with said metal to form a reaction product that is deleterious to said apparatus and its use or operation, wherein the metal surface is coated with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the metal oxide may in various embodiments comprise at least one oxide of one or more of Cr, Fe, Co, and Ni, or in other embodiments the metal oxide may comprise at least one oxide of one or more of Cr, Fe, and Ni.
  • Metal nitrides may for example form from iron or cobalt in the presence of ammonia during processing when ammonia is present, with the resulting iron nitride or cobalt nitride subsequently reacting with AlCl 3 or TiCl 4 .
  • Metal halides may form on the metal surface during and etch operation or a cleaning cycle operation.
  • the metal surface in various embodiments may comprise stainless steel surface.
  • the gas that is reactive with the metal oxide, nitride, or halide to form a reaction product that is deleterious to the apparatus and its use or operation comprises Al 2 Cl 6 .
  • the protective coating in specific applications may comprise one or more of coating materials selected from the group consisting of Al 2 O 3 , oxides of the formula MO, wherein M is Ca, Mg, or Be; oxides of the formula M′O 2 , wherein M′ is a stoichiometrically acceptable metal; and oxides of the formula Ln 2 O 3 , wherein Ln is a lanthanide element, e.g., La, Sc, or Y. More generally, the protective coating may comprise a metal oxide for which the free energy of reaction with the material that is contacted with the metal surface in the operation of the apparatus, is greater than or equal to zero.
  • a further aspect of the disclosure relates to a method of improving performance of a structure, material, or apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of such metal thereon, wherein the metal surface is configured to be contacted in use or operation of said structure, material, or apparatus with gas, solid, or liquid that is reactive with said metal oxide, nitride, or halide to form a reaction product that is deleterious to said structure, material, or apparatus and its use or operation, such method comprising coating the metal surface with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the disclosure relates to a method of improving performance of a semiconductor manufacturing apparatus comprising metal surface susceptible to formation of oxide, nitride, or halide of said metal thereon, wherein the metal surface is configured to be contacted in use or operation of said apparatus with gas that is reactive with such metal oxide, nitride, or halide to form a reaction product that is deleterious to said apparatus and its use or operation, such method comprising coating the metal surface with a protective coating preventing reaction of the coated surface with the reactive gas.
  • the metal oxide, nitride, or halide in various embodiments may comprise at least one oxide, nitride, or halide of one or more of Cr, Fe, Co, and Ni, and may comprise in other embodiments at least one oxide, nitride, or halide of one or more of Cr, Fe, and Ni, or any other suitable metal oxide, nitride, or halide species.
  • the metal surface may for example comprise stainless steel.
  • the gas that is reactive with the metal oxide, nitride, or halide to form a reaction product that is deleterious to the structure, material, or apparatus and its use or operation, may comprise Al 2 Cl 6 .
  • the protective coating that is applied to the metal surface in the aforementioned method may comprise one or more of coating materials selected from the group consisting of Al 2 O 3 , oxides of the formula MO, wherein M is Ca, Mg, or Be; oxides of the formula M′O 2 , wherein M′ is a stoichiometrically acceptable metal; and oxides of the formula Ln 2 O 3 , wherein Ln is a lanthanide element, e.g., La, Sc, or Y. More generally, the protective coating may comprise a metal oxide for which the free energy of reaction with the gas that is contacted with the metal surface in the use or operation of said structure, material, or apparatus, is greater than or equal to zero.
  • the protective coating may be applied to the metal surface in the method of the present disclosure by any suitable technique, and in specific applications, the coating operation may comprise physical vapor deposition (PVD), chemical vapor deposition (CVD), solution deposition, or atomic layer deposition (ALD) of the protective coating.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALD is a preferred technique for application of the protective coating to the metal surface.
  • plasma-enhanced ALD may be utilized as the ALD process for forming the protective coating on the metal surface.
  • the protective coating may comprise Al 2 O 3 .
  • Such protective coating may for example be applied by atomic layer deposition comprising a process sequence in which trimethylaluminum and ozone are utilized in a cyclic ALD process to form the protective coating, or alternatively, by atomic layer deposition comprising a process sequence in which trimethylaluminum and water are utilized in a cyclic ALD process to form the protective coating.
  • the protective coating may comprise a metal oxide of the formula MO, wherein M is Ca, Mg, or Be.
  • the atomic layer deposition may comprise a process sequence in which a cyclopentadienyl M compound and ozone are utilized in a cyclic ALD process to form the protective coating, or a process sequence in which a cyclopentadienyl M compound and water are utilized in a cyclic ALD process to form the protective coating, or a process sequence in which an M beta-diketonate compound and ozone are utilized in a cyclic ALD process to form the protective coating, or other suitable process sequence and metal oxide precursor compound.
  • precursor ligands may be employed for deposition of the protective coating, including, without limitation, H, C 1 -C 10 alkyl, linear, branched, or cyclic, saturated or unsaturated; aromatic, heterocyclic, alkoxy, cycloalkyl, silyl, silylalkyl, silylamide, trimethylsilyl silyl-substituted alkyl, trialkylsilyl-substituted alkynes, and trialkylsilylamido-substituted alkynes, dialkylamide, ethylene, acetylene, alkynes, substituted alkenes, substituted alkynes, diene, cyclopentadienyls allenes, amines, alkyl amines or bidentate amines, ammonia, RNH 2 (wherein R is an organo, e.g., hydrocarbyl, substituent), amidinates, guanidinates, diazadiene cyclopen
  • the protective coating may comprise a metal oxide of the formula Ln 2 O 3 , wherein Ln is a lanthanide element.
  • Ln may for example be La, Sc, or Y.
  • the atomic layer deposition may comprise a process sequence in which a cyclopentadienyl Ln compound and ozone are utilized in a cyclic ALD process to form the protective coating, or a process sequence in which a cyclopentadienyl Ln compound and water are utilized in a cyclic ALD process to form the protective coating, or a process sequence in which an Ln beta-diketonate compound and ozone are utilized in a cyclic ALD process to form the protective coating, or other suitable process sequence and lanthanide precursor compound.
  • the protective coating may be coated on the metal surface at any suitable thickness, e.g., a coating thickness in a range of from 5 nm to 5 ⁇ m.
  • the metal surface may be at temperature in a range of from 25° C. to 400° C. during coating of the metal surface with the protective coating. In other embodiments, such metal surface may be at temperature in a range of from 150° C. to 350° C. during the coating operation. In still other embodiments, the temperature of the metal surface may be in other ranges, for application of protective coating thereto.
  • the present disclosure addresses this problem by coating surfaces and components of the furnace with a coating of a material that will not react with Al 2 Cl 6 .
  • This achieves a solution that is far preferable to approaches for removing surface oxides, nitrides, and halides from stainless steel surfaces and components so that they do not react with Al 2 Cl 6 , since there will always be low levels of ambient moisture leakage or maintenance events that will expose such surfaces and components to moisture and oxygen, nitrogen, and halogens.
  • Al 2 Cl 6 were to be flowed in large volumes through the furnace to reactively remove the metal oxides, nitrides, and halides, such approach would severely degrade tool throughput and is not a viable solution.
  • the present disclosure contrariwise employs a coating of the surfaces and components in the furnace or other semiconductor manufacturing equipment, so that the surfaces and components are passivated and do not react with the Al 2 Cl 6 .
  • the coating advantageously comprises one or more of coating materials selected from the group consisting of: Al 2 O 3 , oxides of the formula MO, wherein M is Ca, Mg, or Be; oxides of the formula M′O 2 , wherein M′ is a stoichiometrically acceptable metal, and oxides of the formula Ln 2 O 3 , wherein Ln is a lanthanide element, e.g., La, Sc, or Y.
  • the coating can be applied in any suitable manner that produces a continuous conformal coating on the surfaces and components of the semiconductor manufacturing equipment, including techniques of physical vapor deposition (PVD), chemical vapor deposition (CVD), solution deposition, and atomic layer deposition (ALD).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALD deposition is particularly advantageous for coating filter elements and the inside of tubes.
  • Trimethylaluminum/ozone (TMA/O 3 ) or trimethylaluminum/water (TMA/H 2 O) is useful compositions for depositing Al 2 O 3 .
  • Cyclopentadienyl compounds of the metal M or of Ln can be utilized to deposit MO or Ln 2 O 3 in cyclic ALD processes utilizing ozone (O 3 ) or water vapor (H 2 O).
  • Beta-diketonates of M or Ln can be utilized to deposit MO or Ln 2 O 3 in a cyclic ALD process in which reactive pulses of the beta-diketonate metal precursor alternate with pulses of O 3 .
  • a precursor for the metal e.g., trimethylaluminum is selected together with an oxic component, such as ozone or water, and the coating conditions are identified, which may illustratively comprise an ALD sequence of TMA/purge/H 2 O/purge or a sequence of TMA/purge/O 3 /purge, with a substrate temperature that may for example be in a range of from 150° C. to 350° C., and a coating thickness in a range of from 5 nm to 5 ⁇ m.
  • the pulse and purge times for the process sequence can then be determined for a particular reactor and the geometry of the surface or component that is being coated.
  • suitable metal oxides for protecting surfaces from dialuminum hexachloride and suitable metal oxides for protection of surfaces from metal halide vapor can be selected based on the following methodology.
  • the temperature at which dialuminum hexachloride exposure will occur in the semiconductor equipment is first specified, and then the chemical reactions are identified for the metals of the surfaces and components of the semiconductor manufacturing equipment with the chemical reagents that will be contacting such surfaces and components.
  • the enthalpy and entropy changes, as well as the free energy and reaction constant can be identified, as shown for example in Table 1 below.
  • A is the number of moles
  • X is a halide
  • N is an arbitrary metal.
  • NX y could be HfCl 4 or WCl 6 .
  • the reaction in the first line of Table 1 will not cause corrosion of the metal in the semiconductor manufacturing equipment, because the free energy of the reaction is positive.
  • the reaction in the second line of Table 1 can cause corrosion.
  • the protective oxide can be chosen from any metal oxide MO x for which the free energy of the reaction is greater than or equal to zero (and in which x has any stoichiometrically appropriate value).
  • a protective oxide can be chosen from metal oxides MO x for which the free energy of the reaction is greater than or equal to zero.
  • the protective coatings of the present disclosure may be utilized to protect against corrosive agents such as NF 3 , Al 2 Cl 6 , HfCl 4 , TiCl 4 , ZrCl 4 , WCl 6 , WCl 5 , VCl 4 , NbCl 5 , TaCl 5 , and other metal chlorides.
  • corrosive agents such as NF 3 , Al 2 Cl 6 , HfCl 4 , TiCl 4 , ZrCl 4 , WCl 6 , WCl 5 , VCl 4 , NbCl 5 , TaCl 5 , and other metal chlorides.
  • Al 2 O 3 may be utilized as a protective coating material for these corrosive agents.
  • Semiconductor materials that may be delivered as gases or vapors, such as fluorine, chlorine, bromine, hydrogen fluoride, hydrogen chloride, hydrogen bromide, xenon difluoride, boron trifluoride, silicon tetrafluoride, germanium tetrafluoride, phosphorus trifluoride, arsenic trifluoride, boron trichloride, silicon tetrachloride, ozone, may mediate corrosive behavior, and Al 2 O 3 coatings may be usefully employed to provide a protective film against such corrosive agents. Titanium tetrachloride is quite corrosive and would have a positive ⁇ G for Y 2 O 3 .
  • Al 2 O 3 is utilized as a protective coating material having a positive ⁇ G for hydrogen bromide exposure of stainless steel surfaces.
  • Al 2 O 3 is utilized as a protective coating material having a positive ⁇ G for hydrogen chloride exposure of stainless steel surfaces.
  • nickel is utilized as a protective coating material having a positive ⁇ G for silicon tetrachloride exposure of stainless steel surfaces.
  • protective coatings having a positive ⁇ G on stainless steel surfaces in exposure to germanium tetrafluoride may comprise any of nickel, Al 2 O 3 , Cr 2 O 3 , gold, nitrides such as titanium nitride (TiN), glasses, and copper. Passivation with germanium tetrafluoride is effective for stainless steel and nickel due to the formation of surface Ni—F, Cr—F, and Fe—F species, which can be considered as NiF 2 , CrF 3 , or FeF 3 layers overlying nickel or stainless steel.
  • gold is utilized as a protective coating material having a positive ⁇ G for hydrogen fluoride exposure of stainless steel surfaces.
  • protective coatings for stainless steel and carbon steel include metals such as nickel and metal alloys.
  • protective coatings for such services may include polymeric materials, such as polytetrafluoroethylene (PTFE) or PTFE-like materials, including protective coatings of materials commercially available under the trademarks Teflon® and Kalrez®.
  • PTFE polytetrafluoroethylene
  • protective coatings may also be employed to avoid embrittlement of stainless steel caused by exposure to hydride gases, and such protective coatings may be formed of or otherwise comprise materials such as aluminum, copper, or gold.
  • the reactive agents for which protective coatings are provided on the surfaces may be of solid, liquid and/or gas form, and may be in a mixture or a solution including one or more solvents.
  • the dense, pin-hole free coatings of the present disclosure are distinguishable from native oxide surfaces.
  • Native oxide films typically form at or near room temperature, are crystalline, and the oxidation associated with such native oxide films may be incomplete. Such native oxide films are more reactive than the vapor phase deposition coatings, e.g., ALD coatings, of the present disclosure.
  • the dense, thick, pin-hole free vapor phase deposition coatings of the present disclosure are amorphous and conformal.
  • cleaning or other pre-treatment steps may be employed before the deposition of the Al 2 O 3 coating.
  • electropolishing or decreasing treatments may be employed, or a combination of such treatments, as may be desirable or advantageous in a specific implementation of the disclosure.
  • Any other suitable cleaning or pre-treatment steps may additionally, or alternatively, be utilized.
  • AlCl 3 does not dissolve in solvents, or in oil or grease, however, oil or grease may be present as a heat transfer agent, e.g., in a solid delivery vaporizer in which AlCl 3 or other chemical is provided for volatilization when the vaporizer is heated, to provide a vapor stream that is dispensed from the vessel.
  • the AlCl 3 or other chemical to be delivered may be mixed with a high boiling point, inert oil or grease to form a paste that then is loaded onto trays or other support surface in the solid delivery vessel.
  • the oil or grease then serves as a heat transfer agent, and as a medium to capture small particles and prevent them from being entrained in the vapor flow.
  • the oil or grease may improve heat conductivity and enable lower delivery temperature of the vaporizer to be achieved.
  • FIG. 1 is a schematic representation of a deposition furnace 102 of a semiconductor wafer processing tool 100 according to one aspect of the present disclosure.
  • the furnace 102 defines a heated interior volume 104 in which is disposed a liner 110 separating the interior volume into an inner volume 108 within the liner 110 , and an exterior volume 106 outside the liner, as shown.
  • a wafer carrier 112 having wafers 114 mounted therein is positioned in the inner volume 108 within the liner 110 so that the wafers may be contacted with process gas in the furnace.
  • a first process gas may be supplied to the inner volume 108 of the furnace from first process gas source 116 via first process gas feed line 118 .
  • a second process gas may be supplied to the inner volume 108 of the furnace from second process gas source 120 via second process gas feed line 122 .
  • the first and second process gases may be concurrently or consecutively introduced to the furnace in the operation of the tool.
  • the first process gas may for example comprise an organometallic precursor for vapor deposition of the metal component on a wafer substrate in the wafer carrier 112 .
  • the second process gas may for example comprise a halide cleaning gas.
  • the gas introduced to the inner volume 108 of the furnace flows upwardly within the liner and upon flowing out of the upper open end of the liner 110 , flows downwardly in the annular exterior volume 106 .
  • Such gas then flows out of the furnace in discharge line 124 to the abatement unit 126 in which the effluent gas from the furnace is treated to remove hazardous components therefrom, with discharge of treated gas in vent line 128 to further treatment or other disposition.
  • the abatement unit 126 may comprise wet and/or dry scrubbers, catalytic oxidation apparatus, or other suitable abatement equipment.
  • the surfaces of the furnace and liner component are coated with a layer of Al 2 O 3 so that they resist chemical attack from dialuminum hexachloride that could in turn render the wafers 114 in the furnace deficient or even useless for their intended purpose.
  • FIG. 2 is a schematic representation of a deposition furnace process system according to another aspect of the disclosure, for coating wafers using Al 2 Cl 6 vapor, utilizing a solid source delivery vaporizer in the form of an ampoule for vaporizing AlCl 3 to form the Al 2 Cl 6 vapor, wherein the trays and internal surfaces of the ampoule are coated with Al 2 O 3 , as well as all of the valves, tubing and filters downstream of the ampoule being coated with Al 2 O 3 .
  • the ampoule is provided with a supply of argon carrier gas from a supply vessel (“Ar”), and the carrier gas is flowed through the carrier gas feed line containing a mass flow controller (“MFC”) to the ampoule.
  • MFC mass flow controller
  • the carrier gas is contacted with the Al 2 Cl 6 vapor produced by heating the ampoule to volatilize the solid AlCl 3 supported on trays therein, and the volatilized Al 2 Cl 6 then is flowed to the furnace, containing wafers on which aluminum is deposited from the Al 2 Cl 6 vapor.
  • Co-reactant for the deposition may be introduced to the furnace as shown, by the co-reactant feed line to the furnace.
  • the fluid flow through the furnace is controlled by the pump and pressure control valve assembly, to maintain conditions in the furnace appropriate for the deposition operation therein.
  • the trays and internal surfaces of the ampoule are coated with Al 2 O 3 , as are all of the flow circuitry surfaces and components therein downstream from the ampoule to prevent attack by the dialuminum hexachloride vapor.
  • the filters in the flow circuitry may be of a type commercially available under the trademarks WafergardTM and GasketgardTM from Entegris, Inc., Billerica, Mass., USA with metal filter elements.
  • FIG. 3 is a perspective, partial breakaway view of a vaporizer ampoule of a type suitable for use in the deposition furnace process system of FIG. 2 .
  • the vaporizer ampoule includes a container 300 having holders to help promote contact of a gas with vapor from material supported by the holders.
  • the container has a plurality of holders 310 , 320 , 330 , 340 , 350 , and 360 defining respective support surfaces 311 , 321 , 331 , 341 , 351 , and 361 .
  • the container has a bottom wall having a surface 301 and a sidewall 302 to help define a generally cylindrical interior region in container 300 with a generally circular opening at or near the top of container 300 .
  • the inner diameter of the generally cylindrical interior region in a specific embodiment may be in the range of, for example, approximately 3 inches to approximately 6 inches.
  • container 300 is illustrated in FIG. 3 as having an integral body, the container may be formed from separate pieces. The container this provides an ampoule for vaporizing material for delivery to processing equipment.
  • holder 310 may be positioned over bottom surface 301 to define support surface 311 over bottom surface 301
  • holder 320 may be positioned over holder 310 to define support surface 321 over support surface 311
  • holder 330 may be positioned over holder 320 to define support surface 331 over support surface 321
  • holder 340 may be positioned over holder 330 to define support surface 341 over support surface 331
  • holder 350 may be positioned over holder 340 to define support surface 351 over support surface 341
  • holder 360 may be positioned over holder 350 to define support surface 361 over support surface 351 .
  • a generally annular support 304 may be placed on bottom surface 301 in the interior region of container 300 to support holder 310 above bottom surface 301 .
  • a tube 305 may then extend through openings in holders 360 , 350 , 340 , 330 , 320 , and 310 in a generally central portion of the interior region of container 300 to a location between holder 310 and bottom surface 301 .
  • the vaporizer of FIG. 3 may be modified by coupling a baffle or diffuser at the end of tube 305 to help direct gas flow over material supported on bottom surface 301 .
  • introduced gas may be directed to flow over and/or through material supported by the lowermost holder using any suitable structure.
  • container 300 may have a collar around the opening at the top of container 300 , and a lid 306 may be positioned over the collar and secured to the collar using screws, such as screw 307 for example.
  • a groove may optionally be defined around the opening at the top of the collar to help position an O-ring 308 between container 300 and lid 306 .
  • O-ring 308 may be formed from any suitable material such as, for example, Teflon®, any suitable elastomer, or any suitable metal, such as stainless steel for example.
  • Lid 306 may define through a generally central region of lid 306 an opening through which a passage or inlet defined at least in part by tube 305 may extend into the interior region of container 300 .
  • lid 306 may press against O-ring 308 to help seal lid 306 over the collar and may press against a collar around tube 305 to help press lid 306 against holders 360 , 350 , 340 , 330 , 320 , and 310 .
  • An O-ring for holders 360 , 350 , 340 , 330 , 320 , and 310 may then be compressed to help seal holders 360 , 350 , 340 , 330 , 320 , and 310 against one another and/or against tube 305 .
  • a valve 381 having an inlet coupling 391 may be coupled to tube 305 to help regulate the introduction of gas into container 300 .
  • Lid 306 may also define an opening through which a passage or outlet defined at least in part by a tube may extend into container 300 .
  • a valve 382 having an outlet coupling 392 may be coupled to the tube to help regulate the delivery of gas from the container.
  • a generally circular frit 370 may be positioned over top holder 360 to help filter solid material from gas flow directed over material supported by holder 360 prior to delivery through the outlet defined through lid 306 .
  • Frit 370 may define through a generally central region of frit 370 a generally circular opening through which tube 305 may extend. Frit 370 may be pressed over holder 360 in any suitable manner using any suitable structure as lid 306 is secured to container 300 to help seal frit 370 over holder 360 .
  • the vaporizer may comprise in addition to or in lieu of frit 370 a frit positioned in the passage or outlet for gas delivery from container 300 and/or one or more frits positioned in one or more passageways through one or more of holders 310 , 320 , 330 , 340 , 350 , and 360 .
  • the frit(s) in the vaporizer may additionally be coated with Al 2 O 3 .
  • any other internal components in the vaporizer may be coated with Al 2 O 3 , so that all surfaces and components in the interior volume of the vaporizer are coated with Al 2 O 3 .
  • a bypass passage defined by tubing 395 coupled between valves 381 and 382 may be used to help purge valves 381 and 382 , inlet coupling 391 , and/or outlet coupling 392 .
  • a valve 383 may optionally be coupled to tubing 395 to help regulate fluid flow through the bypass passage.
  • An inlet/outlet coupling 397 may optionally be used to help define an additional inlet/outlet for the interior region of container 300 to help purge the interior region.
  • FIG. 4 is a micrograph, at 15K magnification, of the surface of a porous metal frit of a type usefully employed in filter elements, according to another aspect of the disclosure.
  • the high surface area of the frit can be advantageously coated by ALD, wherein metal precursor and oxidizing co-reactant reach the surface in separate, self-limiting pulses.
  • ALD ALD
  • metal precursor and oxidizing co-reactant reach the surface in separate, self-limiting pulses.
  • To coat the frit with Al 2 O 3 alternating pulses of trimethylaluminum and water or O 3 /O 2 mixtures may be employed. Specific conditions can be empirically determined by increasing the pulse lengths of each step until all surfaces are coated. Deposition temperatures from 100-400° C. may be employed to deposit useful films in specific embodiments.
  • AlCl 3 AlCl 3
  • AlR 3 alkyl
  • R 3 is an organo moiety
  • Other oxygen sources such as N 2 O, O 2 , alcohols, peroxides, etc. can also be used with the aluminum source reagents to deposit Al 2 O 3 or related AlO x materials, in such practice of the present disclosure.
  • Electropolished 316L stainless steel samples were rinsed with isopropanol to clean the surface.
  • Two samples were coated with Al 2 O 3 by atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • One sample was subjected to 100 ALD cycles of trimethylaluminum/purge/water/purge and the other sample was subjected to 1000 cycles of the same ALD process.
  • the deposition temperature was 150° C.
  • Two samples were not coated. Both coated samples and one of the uncoated samples were loaded into a glass ampoule with solid AlCl 3 powder in a nitrogen-purged glovebox to prevent moisture or oxygen from interacting with the samples or with the AlCl 3 . The glass ampoule was then sealed with a PTFE cap.
  • the ampoule with AlCl 3 and stainless steel samples was heated to 120° C. for 10 days. At the end of 10 days, the ampoule was cooled and brought back into the glovebox. The samples were removed from the AlCl 3 under this inert environment. The mass gain of the samples was 0.4 to 0.7 mg ( ⁇ 0.15%). All of the surfaces looked pristine to the eye. Next, these three samples and an additional sample that had not seen any exposure to AlCl 3 were examined in the scanning electron microscope (SEM) on their top surfaces and then cross-sectioned by focused ion beam (FIB) to determine whether there was any attack of the surface.
  • SEM scanning electron microscope
  • FIB focused ion beam
  • FIG. 5 shows the surface images of a sample that did not see any AlCl 3 .
  • the surface of this sample is clean and shows the major elements of the stainless steel: Fe, Cr, and Ni.
  • FIG. 6 shows the uncoated sample that was exposed to AlCl 3 . It can be seen that there is significant surface residue on this sample with the addition of Al and Cl to the major components of the stainless steel.
  • FIG. 7 shows a cross-section of the sample that was not exposed to AlCl 3 . It is clear that there is no surface attack.
  • FIG. 8 shows the uncoated sample that was exposed to AlCl 3 . There is a line to compare to the surface so that it is clear that there was surface attack of 0.1 to 0.2 microns underneath the area that had Al- and Cl-containing residue.
  • FIG. 9 shows a different area of the sample that was exposed to AlCl 3 with no surface coating. Native oxide is present on the untreated stainless steel surface. In this area, multiple pits are clearly visible.
  • FIG. 10 shows the cross-section of the surface that had a coating of 100 cycles of TMA/H 2 O prior to exposure to AlCl 3 at 120° C. In this case there is still Al- and Cl-containing residue adhered to the surface, but there is no evidence of any attack of the surface of the stainless steel.
  • FIG. 11 shows the cross-section of the surface that had a coating of 1000 cycles of TMA/H 2 O prior to exposure to AlCl 3 at 120° C. In this case there is still Al- and Cl-containing residue adhered to the surface, but there is no evidence of any attack of the surface of the stainless steel.
  • sample coupons of electropolished 316L stainless steel were either coated with 470 ⁇ of Al 2 O 3 or uncoated.
  • One sample of each type was placed in one of two containers with solid AlCl 3 . Both of the containers were loaded, sealed, and pressurized to 3 psig with helium inside of a N 2 purged glovebox, with O 2 and H 2 O levels below 0.1 ppm.
  • Outboard He leak tests determined that one of the containers had a leak rate below 1E-6 standard cubic centimeter per second (scc/s), which was the resolution limit of the measurement, and the other container had a leak rate of 2.5E-6 scc/s.
  • the containers were heated in the same oven to 155° C. for nine days, cooled, and the coupons were removed in the glovebox. Table 2 shows the mass changes of the various coupons.
  • FIG. 12 is a composite photograph of the sample coupons of Table 2 after the nine-day exposure to AlCl 3 at 155° C., in which the respective coupons are identified by the same ID numbers as are set out in Table 2.
  • sample coupons of electropolished 316L stainless steel were either coated with 470 ⁇ thick coatings of Al 2 O 3 or were uncoated.
  • Sample coupons were placed in containers with solid WCl 5 , with 165° C., 180° C. and 220° C. temperature conditions being maintained in respective containers. All of the containers were loaded and sealed inside of a N 2 purged glovebox, with O 2 and H 2 O levels below 0.1 ppm. The containers then were heated in an oven for ten days, cooled, and the sample coupons were removed from the respective containers, in the glovebox.
  • Thickness measurements were made by x-ray fluorescence (XRF) spectroscopy technique, to assess change in coating thickness of the alumina coating, from initial measured thickness.
  • Table 3 contains the XRF measurements of Al 2 O 3 thickness before and after exposure to WCl 5 , for two sample coupons maintained at 165° C. for 10 days in such exposure, for two sample coupons maintained at 180° C. for 10 days in such exposure, and for one sample coupon maintained at 220° C. for 10 days in such exposure. Approximately 15-30 ⁇ of the coating was typically etched away in the cleaning process.
  • FIG. 13 is a top-down scanning electron microscope (SEM) micrograph of the sample exposed to WCl 5 at 220° C. for 10 days
  • FIG. 14 is a focused ion beam (FIB) cross-section of the edge of the coating in such sample.
  • the disclosure is directed illustratively to semiconductor manufacturing equipment
  • the protective coating approach of the present disclosure is likewise applicable to other gas processing apparatus for the manufacture of other products, such as flat-panel displays, photovoltaic cells, solar panels, etc. where surfaces in the process equipment are susceptible to attack by vapor phase components that react with oxides on such services to form reaction products that are deleterious to the products made and processes conducted with such equipment.
  • compositions and methods are described in terms of “compromising” various components and steps, such terminology should be interpreted as defining essentially closed or closed member groups.
  • film refers to a layer of deposited material having a thickness below 1000 micrometers, e.g., from such value down to atomic monolayer thickness values.
  • film thicknesses of deposited material layers in the practice of the invention may for example be below 100, 50, 20, 10, or 1 micrometers, or in various thin film regimes below 200, 100, 50, 20, or 10 nanometers, depending on the specific application involved.
  • the term “thin film” means a layer of a material having a thickness below 1 micrometer.
  • the disclosure may in particular implementations be constituted as comprising, consisting, or consisting essentially of, some or all of such features, aspects and embodiments, as well as elements and components thereof being aggregated to constitute various further implementations of the disclosure.
  • the disclosure correspondingly contemplates such features, aspects and embodiments, or a selected one or ones thereof, in various permutations and combinations, as being within the scope of the present disclosure.
  • the disclosure contemplates embodiments that may be defined by exclusion of any one or more of the specific features, aspects, or elements that are disclosed herein in connection with other embodiments of the disclosure.
  • a thin film coating comprised of one of more layers, where at least one layer is deposited by atomic layer deposition.
  • X, Y, Z, U, V, and W may each be in a range of from 0.02 nm to 500 nm, and wherein each of n and m may be in a range of from 2 to 2000.
  • an ALD-deposited surface sealant layer is used for coatings.
  • ALD atomic layer deposition
  • ALD-deposited surface sealant layer is an established technology, which uses chemical adsorption of two or more alternating precursors to form very dense, nearly perfectly arranged (physically and stoichiometrically) thin films. The technique allows for precisely controlled film growth, is nearly 100% conformal and will grow films at any surface location that the precursor gas can reach, including within very high aspect ratio features.
  • an ALD-deposited sealant coating can be used for the following applications:
  • the ALD sealant may be applied to parts and surfaces that require:
  • the ALD sealant layer at the same time may also serve as a diffusion barrier, and it has the ability to control surface electrical properties as well as the surface termination, such as hydrophilicity and hydrophobicity.
  • a further aspect of the disclosure involves use of ALD technology with fibrous metal membranes with chemically resistant coatings like alumina, yttria, or other coatings of this type.
  • the ALD technology allows gases to penetrate the porous filter and coats over the fibrous membrane providing resistance to corrosive gases.
  • This aspect of the disclosure provides a deposition gas-based technique that can penetrate small micron size openings and coat uniformly over the fibers.
  • the ALD technology of this disclosure offers many benefits, such as:
  • ALD films may be employed to combat blistering or other undesired phenomena that may occur during annealing of substrate articles, due to mismatches in coefficient of thermal expansion between layers of a multilayer film article.
  • ALD films may be employed in the multilayer film structure to ameliorate such material property differences, or otherwise to improve electrical, chemical, thermal, and other performance properties of the ultimate product article.
  • the disclosure further contemplates the use of ALD coatings to protect fluid-contacting surfaces of apparatus handling fluids that may present a risk of chemical attack in the use of such apparatus.
  • apparatus may include for example fluid storage and dispensing packages employed to supply gas to semiconductor manufacturing tools, where the fluid may adversely affect the flow path components and downstream process equipment.
  • Fluids that may present a specific issue in particular applications may include halide gases such as fluorides of boron or germanium.
  • the coatings of the present disclosure may be employed to enhance the performance of process equipment, flow circuitry, and system components, in these and other applications.
  • the disclosure relates to a composite ALD coating, comprising layers of different ALD product materials.
  • the different ALD product materials may be of any suitable type, and may for example comprise different metal oxides, e.g., at least two metal oxides selected from the group consisting of titania, alumina, zirconia, oxides of the formula MO wherein M is Ca, Mg, or Be, oxides of the formula M′O 2 , wherein M′ is a stoichiometrically acceptable metal, and oxides of the formula Ln 2 O 3 wherein Ln is a lanthanide element, such as La, Sc, or Y.
  • the composite ALD coating may include at least one layer of alumina.
  • the composite ALD coating may include at least one layer of titania, or zirconia, or other suitable material.
  • Such composite ALD coating may comprise different metals as the different ALD product materials, e.g., at least two metals selected from the group consisting of platinum, niobium, and nickel. Any suitable differing metals can be employed.
  • the different ALD product materials may comprise a metal oxide material as a first ALD product material in a first layer of the composite coating and a metal as a second ALD product material in a second layer of the composite coating.
  • the metal oxide material may for example be selected from the group consisting of alumina, titania, and zirconia, and the metal is selected from the group consisting of platinum, niobium, and nickel.
  • the composite ALD coating described above may have any suitable number of layers, e.g., from 2 to 10,000 layers in the coating.
  • the disclosure in another aspect relates to a composite coating, comprising at least one ALD layer and at least one deposited layer that is not an ALD layer.
  • the composite coating may for example be constituted, so that the at least one deposited layer that is not an ALD layer is selected from the group consisting of CVD layers, PE-CVD layers, PVD layers, spin-on layers, sprayed layers, sol gel layers, and atmospheric plasma deposition layers.
  • the layers in the composite coating may comprise at least one layer of material selected from the group consisting of alumina, aluminum-oxy nitride, yttria, yttria-alumina, silicon oxide, silicon oxy-nitride, transition metal oxides, transition metal oxy-nitrides, rare earth metal oxides, and rare earth metal oxy-nitrides.
  • the disclosure further contemplates a method of forming a patterned ALD coating on a substrate, comprising forming a pattern on the substrate of a layer of surface termination material that is effective to prevent ALD film growth.
  • Such surface termination material in a particular implementation may exhibit an essentially zero sticking coefficient for water and trimethylaluminum.
  • the ALD coating may comprise alumina.
  • the disclosure further contemplates a method of filling and/or sealing surface infirmities of a material, said method comprising applying an ALD coating on a surface infirmity of the material, at a thickness effecting filling and/or sealing of the infirmity.
  • the infirmity may be of any type, and may for example be selected from the group consisting of cracks, morphological defects, pores, pinholes, discontinuities, intrusions, surface roughness, and surface asperities.
  • a filter comprising a matrix of fibers and/or particles, the fibers and/or particles being formed of metal and/or polymeric material, wherein the matrix of fibers and/or particles has an ALD coating thereon, wherein the ALD coating does not alter pore volume of the matrix of fibers and/or particles by more than 5%, as compared to a corresponding matrix of fibers and/or particles lacking said ALD coating thereon, and wherein when the fibers and/or particles are formed of metal, and the ALD coating comprises metal, the metal of the ALD coating is different from the metal of the fibers and/or particles.
  • the filter may be constructed with the matrix of fibers and/or particles in a housing that is configured for flow of fluid through the matrix for filtration of the fluid.
  • the ALD coating may comprise a transition metal, metal oxide, or transition metal oxide of suitable type.
  • the ALD coating may comprise a metal oxide selected from the group consisting of titania, alumina, zirconia, oxides of the formula MO wherein M is Ca, Mg, or Be, and oxides of the formula Ln 2 O 3 wherein Ln is a lanthanide element, La, Sc, or Y.
  • the ALD coating in various implementations comprises alumina.
  • the matrix of the filter may comprise nickel fibers and/or particles, stainless steel fibers and/or particles, or fibers and/or particles of other materials such as polymeric materials, e.g., polytetrafluoroethylene.
  • the filter may in various embodiments comprise pores of any suitable diameter.
  • the pores may be in a range of from 1 ⁇ m to 40 ⁇ m in some embodiments, and in other embodiments may be less than 20 ⁇ m, less than 10 ⁇ m, less than 5 ⁇ m or other suitable value, and in other embodiments may be in a range of from 1 to 10 ⁇ m, 1 to 20 ⁇ m, 20 to 40 ⁇ m, or other suitable range of values.
  • the ALD coating itself may be of any suitable thickness, and in various embodiments may have thickness in a range of from 2 to 500 nm. In general, any suitable pore size and thickness characteristics may be employed, as appropriate for a specific end use or application.
  • the filter may be of suitable character as regards its retention rating.
  • the retention rating of the filter in specific embodiments may be characterized by log reduction value of 9 (denoted as 9LRV) for particles greater than 3 nm at a gas flow rate of 30 standard liters per minute gas flow or less.
  • ALD-coated filters of the present disclosure may be employed in various applications in which the filter is desired to achieve a high efficiency rate of removal, as for example a rate of removal of 99.9999999%, determined at a most penetrating particle size, i.e., 9LRV, at a specific rated flow.
  • the test methodology for evaluating 9LRV rating is described in Rubow, K. L., and Davis, C.
  • Sintered metal filters/diffusers that may be coated with protective coatings by ALD in accordance with the present disclosure include the sintered metal filters/diffusers described in U.S. Pat. Nos. 5,114,447; 5,487,771; and 8,932,381, and in U.S. Patent Application Publication 2013/0305673.
  • Gas filters coated with protective coatings in accordance with the present disclosure may be variously configured.
  • the filters may have a pore size in a range of from 1 to 40 ⁇ m, or in a range of from 1 to 20 ⁇ m, or in a range of from 20 to 40 ⁇ m, or other suitable values.
  • Such gas filters may exist in stainless steel and nickel configurations. Both are susceptible to metals contamination when exposed to aggressive gas environments.
  • the filter matrix of such gas filters may be over coated with chemically inert and robust thin films of alumina using ALD coating techniques in accordance with the present disclosure.
  • the ALD process may include any number of depositions cycles, e.g., in a range of from 100 to 5000 cycles.
  • the ALD alumina films may be deposited with 50 to 1500 cycles, using a trimethylaluminum/H 2 O process with extended wait and purge times, at temperature that may for example be in a range of 200° C. to 300° C., e.g., 250° C., with deposition of 0.75 ⁇ to 1.25 ⁇ per cycle, e.g., 1.1 ⁇ /cycle.
  • the ALD alumina coating process may be carried out to provide alumina coating thicknesses on the gas filter that may for example be in a range of from 15 nm to 200 nm in various embodiments. In other embodiments, the ALD alumina coating thickness may be in a range of from 20 nm to 50 nm.
  • the above-described gas filter coatings as formed by ALD coating techniques may be carried out to provide varying aluminum content in aluminum oxide films.
  • the aluminum content of such films may be in a range of from 25 atomic percent to 40 atomic percent, in various embodiments.
  • the aluminum content is in a range of from 28 atomic percent to 35 atomic percent, and in still other embodiments, the aluminum content of the ALD coating is in a range of from 30 atomic percent to 32 atomic percent of the aluminum oxide film.
  • the gas filter may comprise an in-line metal gas filter having pore size in a range of from 2 to 5 ⁇ m, in which the filter includes a titanium filter matrix, wherein the ALD alumina coating has a thickness that may be in a range of from 10 nm to 40 nm, e.g., 20 nm thickness.
  • the gas filter may comprise a nickel-based gas filter matrix having pore size in a range of from 2 to 5 ⁇ m, wherein the ALD alumina coating has a thickness that may be in a range of from 10 nm to 40 nm, e.g., 20 nm thickness.
  • the protective coatings of the present disclosure may also be employed for coating of surfaces in chemical reagents supply packages, such as fluid storage and dispensing vessels, solid reagent vaporizer vessels, and the like.
  • Such fluid storage and dispensing vessels may variously contain, in addition to the material to be stored in and dispensed from such vessels, storage media for the stored material, from which the stored material may be disengaged for dispensing of same from the vessel of the material supply package.
  • Such storage media may include physical adsorbents on which fluids are reversibly adsorbed, ionic storage media for reversible fluid storage, and the like.
  • solid delivery packages of the type disclosed in International Publication WO2008/028170 published Mar. 6, 2008, the disclosure of which hereby is incorporated herein by reference in its entirety may be coated on interior surface thereof with a protective coating of the present disclosure.
  • Chemical reagents supply packages of other types may be employed, in which internal surface of a supply vessel is coated with a protective coating of the present disclosure, such as internally pressure-regulated fluid supply vessels for delivery of gases, e.g., gases such as boron trifluoride, germanium tetrafluoride, silicon tetrafluoride, and other gases utilized in manufacture of semiconductor products, flat-panel displays, and solar panels.
  • gases e.g., gases such as boron trifluoride, germanium tetrafluoride, silicon tetrafluoride, and other gases utilized in manufacture of semiconductor products, flat-panel displays, and solar panels.
  • a further aspect of the disclosure relates to a method of delivering a gaseous or vapor stream to a semiconductor processing tool, said method comprising providing a flow path for the gaseous or vapor stream, from a source of said gaseous or vapor stream to the semiconductor processing tool, and flowing the gaseous or vapor stream through a filter in the flow path to remove extraneous solid material from the stream, wherein the filter comprises a filter of a type as variously described herein.
  • the gaseous or vapor stream may comprise any suitable fluid species, and in particular embodiments, such stream comprises dialuminum hexachloride.
  • a specific filter useful for such fluid applications includes an ALD coating comprising alumina, wherein the matrix comprises stainless steel fibers and/or particles.
  • the semiconductor processing tool in the aforementioned method may be of any suitable type, and may for example comprise a vapor deposition furnace.
  • the filter may be varied in the ALD coating and matrix.
  • the filter comprises a sintered matrix of stainless steel fibers and/or particles that is coated with an ALD coating of alumina, wherein the sintered matrix comprises pores of a diameter in a range of from 1 to 40 ⁇ m, e.g., from 1 to 20 ⁇ m, from 1 to 10 ⁇ m, from 10 to 20 ⁇ m, or in other suitable range of pore diameter values, and wherein the ALD coating in any of such embodiments has a thickness in a range of from 2 to 500 nm.
  • the disclosure in another aspect relates to use of ALD for pore size control in fine filtration applications, to achieve filters that are specifically tailored, beyond the capabilities afforded by sintered metal matrix filters alone.
  • control the pore sizes in sintered metal matrix filters becomes progressively more difficult as the target pore size shrinks to less than 5 ⁇ m.
  • ALD coatings can be used to effectively shrink the pore size with a high degree of control of pore size and pore size distribution. While coatings deposited by ALD may be substantially thicker than employed in other applications, ALD affords the possibility of extraordinary control of the pore size and pore size distribution, while still achieving chemical resistance benefits, e.g., with ALD coatings of alumina.
  • ALD coating of sintered metal matrix materials may be applied at substantial thicknesses on the sintered metal matrix structure, with the coating thickness being of such magnitude as to reduce pore size in the coated metal matrix structure to very low levels, e.g., to sub-micron pore size levels.
  • Such approach may also be employed to effect the creation of filters with porosity gradients, such as a porosity gradient from a gas inlet face to a gas discharge face, wherein relatively larger sized pores are present at the gas inlet face and relatively smaller sized pores are present at the gas discharge face of the filter, with a porosity gradient between the respective faces of the filter.
  • porosity gradient the filter may for example be employed to capture large particles at an entrance side of the filter and smaller particles on the exit side of the filter, so that an overall highly effective filtration action is achieved.
  • the disclosure therefore contemplates filters comprising a porous material matrix coated with an ALD coating wherein the pore size of the porous metal matrix has been reduced by the ALD coating, e.g., by from 5% to 95% reduction in average pore size by the ALD coating in relation to a corresponding porous material matrix not coated with the ALD coating.
  • the disclosure also contemplates filters comprising a porous material matrix coated with an ALD coating, wherein the coating thickness is directionally varied to provide a corresponding pore size gradient in the filter, e.g., from an inlet phase to an outlet face of the filter, as above described.
  • a further aspect of the disclosure relates to a method of fabricating a porous filter, comprising coating a porous material matrix with an ALD coating, to reduce average pore size of the porous material matrix.
  • the method may be utilized to achieve a predetermined reduction of average pore size of the porous material matrix, and/or a directionally varied pore size gradient in the porous material matrix.
  • the porous material matrix in any of the above aspects and embodiments may comprise a sintered metal matrix, e.g., of titanium, stainless steel, or other metal matrix material.
  • the disclosure relates to a solid vaporizer apparatus comprising a vessel defining an interior volume including support surface therein for solid material to be vaporized, wherein at least a portion of the support surface has an ALD coating thereon.
  • the support surface may comprise interior surface of the vessel, such as the vessel wall surface, and/or floor of the vessel, or extended surface integrally formed with the wall and/or floor surfaces, so that the support surface comprises interior surface of the vessel, and/or the support surface may comprise surface of a support member in the interior volume, such as a trade providing support surface for the solid material to be vaporized.
  • the tray may be coated partially or fully with the ALD coating.
  • the vessel may contain an array of vertically spaced apart trays, each providing support surface for the solid material. Each of such trays in the array may be coated with the ALD coating.
  • the vessel may be fabricated with the interior wall surface of the vessel that bounds the interior volume thereof being coated with the ALD coating.
  • the ALD coating may for example comprise alumina, e.g., with thickness in a range of from 2 to 500 nm.
  • the support surface coated by the ALD coating in any of the aforementioned embodiments may be a stainless steel surface.
  • the vaporizer vessel itself may be formed of stainless steel.
  • the vaporizer apparatus may be provided in a solids-loaded state, containing vaporizable solid material on the support surface of the vessel, e.g., on support surfaces of stacked trays in the interior volume of the vessel.
  • the vaporizable solid material may be of any suitable type, and may for example comprise precursor material for vapor deposition or ion implantation operations.
  • the vaporizable solid material may comprise an organometallic compound, or a metal halide compounds such as aluminum trichloride.
  • the ALD coating applied to the support surface of the vessel may be specifically adapted to a particular vaporizable solid material. It will also be appreciated that the ALD coating may be applied to all interior surface in the interior volume of the vessel, including the wall and floor surface of the vessel as well as the surface presented by any tray or other support structure for the vaporizable solid that is disposed in the interior volume of the vessel.
  • FIG. 15 is a perspective view of a stainless steel holder usefully employed in a vaporizer ampoule for aluminum trichloride (AlCl 3 ) solid precursor delivery for an aluminum process, in which the aluminum trichloride precursor is supported by the holder and volatilized to form aluminum trichloride precursor vapor for discharge from the vaporizer ampoule and transport through associated flow circuitry to the aluminum process.
  • the aluminum process may for example be employed for metallization of a semiconductor device structure on and/or in a suitable wafer substrate.
  • FIG. 16 is a perspective view of a stainless steel holder of the type shown in FIG. 15 , as coated by atomic layer deposition with a coating of alumina thereon, so that the stainless steel surface is encapsulated by the alumina coating in the corrosive environment involving aluminum trichloride (AlCl 3 ) exposure to which the holder is subjected in use and operation of the vaporizer ampoule.
  • AlCl 3 aluminum trichloride
  • the entire interior surface of the vaporizer ampoule may likewise be coated, as well as exterior surfaces of the ampoule, to provide extended protection against the corrosive environment deriving from the processing of the aluminum trichloride (AlCl 3 ) solid precursor to volatilize same for generation of precursor vapor for the aluminum process, or for other usage.
  • AlCl 3 aluminum trichloride
  • the alumina coating on the surface of the holder and/or other vaporizer ampoule services may be of any suitable thickness, and may for example be in a thickness range of from 20 nm to 250 nm or more. In various embodiments, the coating thickness on the holder surfaces may be in a range of from 50 to 125 nm. It will be appreciated that any suitable thickness of the alumina coating may be applied by carrying out the corresponding vapor deposition operation for a corresponding number of deposition cycles and deposition times, with a suitable thickness being determinable by empirical methods as appropriate to provide a desired level of anti-corrosion protection to the metal surface.
  • FIG. 17 is a schematic elevation view of the alumina coating applied by atomic layer deposition to the stainless steel substrate, as described above in application to the solid precursor holder utilized in the vaporizer ampoule.
  • the alumina coating provides corrosion resistance, prevents chemical reaction with the substrate, and reduces metals contamination in use of the vaporizer for aluminum trichloride precursor vapor generation.
  • yttria coatings may be applied to surfaces of etching apparatus or apparatus components, e.g., surfaces of injector nozzles used in plasma etch equipment.
  • FIG. 18 shows channels of a plasma etch apparatus coated with yttria (Y 2 O 3 ).
  • Yttria provides an etch resistant coating that is suitable for surfaces and parts of complicated shape, such as high aspect ratio features.
  • yttria forms a dense, conformal, pin-hole free coating that is resistant to etching, and provides substantially reduced particle shedding and erosion in relation to surfaces lacking such yttria coating.
  • Yttria coatings may be applied by atomic layer deposition over alumina, as in the schematic elevation view of FIG. 19 .
  • the ALD yttria layer provides enhanced corrosion-resistance and etch-resistance, protecting the underlying surface against deleterious plasma exposure, such as exposure to chloro- and fluoro- and other halogen-based plasmas.
  • the ALD yttria layer thereby reduces generation of unwanted particles, and increases the lifetime of parts of the plasma etching equipment whose surfaces are coated with the yttria coating.
  • load lock components employed for etch chamber apparatus are exposed in use to residual etch chemistries from the etch chamber, resulting in severe corrosion of metal components.
  • An example is a diffuser plate, which may be constructed of stainless steel or other metal or metal alloy, with a filter membrane, formed for example of nickel or other metal or metal alloy.
  • Such diffuser plate assembly may be coated with an alumina coating to encapsulate and protect the diffuser plate and filter membrane. By complete encapsulation of the filter membrane, corrosion of the membrane is prevented.
  • FIG. 20 is a photograph of a diffuser plate assembly, including a stainless steel frame and a nickel filter membrane, as coated with an alumina coating.
  • FIG. 21 is a schematic elevation view of the diffuser plate assembly, in which the stainless steel frame and nickel membrane are encapsulated with ALD alumina.
  • the ALD coating provides a corrosion resistant and etch resistant layer that protects against deleterious chemistries, e.g., hydrogen bromide-based chemistries, reducing particles, and increasing the lifetime of the assembly.
  • Another application relates to semiconductor process equipment that is exposed to chlorine-based precursors from ALD processing, and to fluorine-based plasmas from chamber cleaning operations.
  • yttria coatings may be employed to provide good etch resistance and to coat parts with complicated shapes.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the yttria ALD layer provides corrosion-resistance and etch-resistance, protection against fluorine-based chemistries and fluorine-based plasmas, reducing particle generation and increasing lifetime of parts that are coated with the protective yttria coating.
  • a further application relates to coating of quartz envelopes structures, such as bulbs of ultraviolet (UV) curing lamps that are used in back end of line (BEOL) and front end of line (FEOL) UV curing operations.
  • UV lamps such as those in which the bulb is fabricated of quartz
  • mercury will diffuse into the quartz during operation at the high temperatures involved, e.g., on the order of 1000° C., and such mercury diffusion will result in degradation of the UV lamp and substantial shortening of its operational service life.
  • alumina and/or yttria is coated on the interior surface of the bulb to provide a diffusion barrier layer against incursion of mercury into the quartz envelope material.
  • alumina coatings may be employed to overcoat and encapsulate metal components of various types, to impart corrosion resistance, prevent chemical reaction with the substrate, and to reduce metals contamination, so that operating service life of components, such as gas lines, valves, tubes, housings, and the like, are correspondingly extended.
  • atomic layer deposition interior surfaces of parts can be coated, including parts with complex interior surface geometry, and layers of alumina or other protective coatings may be employed to provide dense, pin-hole free and conformal protective layers over the substrate surface.
  • protective coatings of the present disclosure is the protective coating of plasma source surfaces, such as are used in semiconductor manufacturing, and manufacture of flat-panel displays, as well as solar panel manufacturing.
  • plasma sources may be of any suitable type, and may for example generate ammonia plasmas, hydrogen plasmas, nitrogen trifluoride plasmas, and plasmas of other varieties.
  • the protective coatings can be utilized in place of anodizing surfaces of plasma-wetted parts, to provide enhanced plasma etch resistance, e.g., greater than 1000 hours exposure to NF 3 plasma, while accommodating hydrogen (H*) and fluorine (F*) surface recombination, and high electrical standoff voltages, e.g., greater than 1000 V.
  • An example plasma source apparatus may be formed of aluminum, or an aluminum compound such as aluminum oxynitride, in which a plasma channel and a water channel of the apparatus are coated with coatings.
  • the plasma channel coating and the water channel coating may comprise an ALD coating of alumina, over which is deposited a physical vapor deposition (PVD) coating of aluminum oxynitride (AlON), as shown in the schematic elevation view of FIG. 22 , showing the aluminum substrate, the ALD coating of alumina, and the PVD coating of AlON.
  • PVD physical vapor deposition
  • AlON aluminum oxynitride
  • the thicknesses of the respective alumina and aluminum oxynitride coatings may be of any suitable thickness.
  • the thickness of the alumina coating may be in a range of from 0.05 to 5 ⁇ m, and the thickness of the PVD coating may be in a range of from 2 to 25 ⁇ m.
  • the alumina coating has a thickness of 1 ⁇ m, and the PVD AlON coating has a thickness of 10 ⁇ m.
  • the PVD AlON coating provides the apparatus with etch resistance and plasma surface recombination capability, and the alumina coating, in addition to providing etch resistance provides an electrical standoff coating.
  • a further application relates to dielectric stacks for hot chuck components, which may have a layer structure as shown in FIG. 23 .
  • an alumina substrate has an electrode metal, e.g., nickel, thereon, on which is an electrical stand-off layer of ALD alumina.
  • Deposited on the alumina layer is a PVD coating of aluminum oxynitride, and deposited on the AlON layer is a layer of chemical vapor deposition (CVD) deposited silicon oxynitride (SiON).
  • CVD chemical vapor deposition
  • the CVD SiON layer provides a clean way for contact surface and electrical spacer
  • the PVD AlON layer provides a coefficient of thermal expansion (CTE) buffer layer
  • the ALD layer of alumina provides an electrical stand-off layer
  • the nickel provides an electrode metal layer, on the alumina substrate.
  • a still further application relates to plasma activation chuck components of plasma activation chambers, in which aluminum parts are coated with a multilayer stack including the multilayer stacks shown in FIGS. 24 and 25 .
  • the multilayer stack of FIG. 24 includes a chemical vapor deposition-applied layer of silicon on the aluminum substrate, with an ALD layer of zirconia on the CVD Si layer.
  • the ALD layer of zirconia functions to provide a clean, dense way for contact surface, serving as a diffusion barrier layer, and an electrical standoff.
  • the CVD silicon layer provides a clean buffer layer on the aluminum substrate.
  • the 25 includes a CVD layer of silicon oxynitride on the aluminum substrate, and an ALD layer of alumina on the CVD SiON coating layer, wherein the ALD alumina layer functions as an electrical stand-off layer, a diffusion barrier layer, and a layer providing a clean, dense way for contact surface.
  • the CVD SiON layer provides a clean buffer layer in the multilayer coating structure.
  • a further application of the coating technology of the present disclosure relates to coating of porous matrix and filter articles, in which coatings such as alumina may be deposited by atomic layer deposition, which enables independent control of penetration depth and coating thickness in the porous matrix or filter material. Either partial alumina coating penetration or full alumina coating penetration may be employed, depending on the article and its specific end use.
  • FIG. 26 is a micrograph of porous material having a 1.5 mm wall thickness and pore size of 2-4 ⁇ m, coated with alumina by atomic layer deposition.
  • FIG. 27 is a schematic representation of an encapsulated membrane, comprising a membrane formed of stainless steel, nickel, titanium, or other suitable material, which has been fully encapsulated with alumina deposited by ALD, to provide the encapsulated membrane with corrosion resistance and etch resistance, protection against chemical attack, reduction of particle generation, and reduction of metals contamination.
  • atomic layer deposition provides an ability to independently control coating penetration depth and coating thickness. This ability is usefully employed to control pore size and flow restriction of ultra-fine membranes, such as for example those with nominal pore size in a range of from 20 nm to 250 nm, e.g., a nominal pore size on the order of 100 nm.
  • FIG. 28 is a photomicrograph of a coated filter, wherein the coating is alumina, having a coating penetration depth of 35 ⁇ m.
  • FIG. 29 is a photomicrograph of a coated filter, wherein the coating is alumina, having a coating penetration depth of 175 ⁇ m.
  • the present disclosure relates in one aspect to a solid vaporizer apparatus comprising a container defining therein an interior volume, an outlet configured to discharge precursor vapor from the container, and support structure in the interior volume of the container adapted to support solid precursor material thereon for volatilization thereof to form the precursor vapor, wherein the solid precursor material comprises aluminum precursor, and wherein at least part of surface area in the interior volume is coated with an alumina coating.
  • the surface area may comprise at least one of surface area of the support structure, and surface area of the container in said interior volume.
  • the surface area may comprise surface area of the support structure, and surface area of the container in said interior volume.
  • the surface area in the interior volume that is coated with an alumina coating comprises stainless steel.
  • the alumina coating may have thickness in a range of from 20 to 125 nm.
  • the alumina coating may for example comprise an ALD alumina coating in any of the foregoing aspects and embodiments.
  • the disclosure in another aspect relates to a method of enhancing corrosion resistance of a stainless steel structure, material, or apparatus that in use or operation is exposed to aluminum halide, said method comprising coating said stainless steel structure, material, or apparatus with an alumina coating.
  • the alumina coating in such method may for example have thickness in a range of from 20 to 125 nm.
  • the alumina coating may for example be applied by atomic layer deposition.
  • the disclosure relates to a semiconductor processing etching structure, component, or apparatus that in use or operation is exposed to etching media, said structure, component, or apparatus being coated with a coating comprising a layer of yttria, wherein the layer of yttria optionally overlies a layer of alumina in said coating.
  • the etching structure, component, or apparatus may for example comprise an etching apparatus injector nozzle.
  • Another aspect of the disclosure relates to a method of enhancing corrosion resistance and etch resistance of a semiconductor processing etching structure, component, or apparatus that in use or operation is exposed to etching media, said method comprising coating the structure, component, or apparatus with a coating comprising a layer of yttria, wherein the layer of yttria optionally overlies a layer of alumina in said coating.
  • Still another aspect of the disclosure relates to an etch chamber diffuser plate comprising a nickel membrane encapsulated with an alumina coating.
  • the alumina coating may comprise an ALD alumina coating.
  • a further aspect of the disclosure relates to a method of enhancing corrosion resistance and etch resistance of an etch chamber diffuser plate comprising a nickel membrane, comprising coating the nickel membrane with an encapsulating coating of alumina.
  • the coating of alumina may for example comprise an ALD coating.
  • the disclosure in another aspect relates to a vapor deposition processing structure, component, or apparatus that in use or operation is exposed to halide media, said structure, component, or apparatus being coated with a coating of yttria comprising an ALD base coating of yttria, and a PVD overcoating of yttria.
  • a coating of yttria comprising an ALD base coating of yttria, and a PVD overcoating of yttria.
  • the surface that is coated with the ALD base coating of yttria, and the PVD overcoating of yttria may comprise aluminum.
  • a further aspect of the disclosure relates to a method of enhancing corrosion resistance and etch resistance of a vapor deposition processing structure, component, or apparatus that in use or operation is exposed to halide media, said method comprising coating the structure, component, or apparatus with a coating of yttria comprising an ALD base coating of yttria, and a PVD over coating of yttria.
  • the structure, component, or apparatus may comprise aluminum surface that is coated with the coating of yttria.
  • Another aspect the disclosure relates to a quartz envelope structure coated on an interior surface thereof with an alumina diffusion barrier layer.
  • a corresponding aspect of the disclosure relates to a method of reducing diffusion of mercury into a quartz envelope structure susceptible to such diffusion in operation thereof, said method comprising coating an interior surface of the quartz envelope structure with an alumina diffusion barrier layer.
  • the disclosure in a further aspect relates to a plasma source structure, component, or apparatus that in use or operation is exposed to plasma and voltage exceeding 1000 V, wherein plasma-wetted surface of said structure, component or apparatus is coated with an ALD coating of alumina, and said alumina coating is overcoated with a PVD coating of aluminum oxynitride.
  • the plasma-wetted surface may for example comprise aluminum or aluminum oxynitride.
  • a further aspect of the disclosure relates to a method of enhancing service life of a plasma source structure, component, or apparatus that in use or operation is exposed to plasma and voltage exceeding 1000 V, said method comprising coating plasma-wetted surface of said structure, component or apparatus with an ALD coating of alumina, and over coating said alumina coating with a PVD coating of aluminum oxynitride.
  • the plasma-wetted surface may comprise aluminum or aluminum oxynitride.
  • An additional aspect of the disclosure relates to a dielectric stack, comprising sequential layers including a base layer of alumina, a nickel electrode layer thereon, an ALD alumina electrical stand-off layer on the nickel electrode layer, a PVD aluminum oxynitride thermal expansion buffer layer on the ALD alumina electrical stand-off layer, and a CVD silicon oxynitride wafer contact surface and electrical spacer layer on the PVD aluminum oxynitride thermal expansion buffer layer.
  • a plasma activation structure, component, or apparatus comprising aluminum surface coated with one of the multilayer coatings of (i) and (ii): (i) a base coat of CVD silicon on the aluminum surface, and a layer of ALD zirconia on the base coat of CVD silicon; and (ii) a base coat of CVD silicon oxynitride on the aluminum surface, and a layer of ALD alumina on the base coat of CVD silicon oxynitride.
  • a corresponding method is contemplated for reducing particle formation and metal contamination for an aluminum surface of a plasma activation structure, component, or apparatus, said method comprising coating the aluminum surface with one of the multilayer coatings of (i) and (ii): (i) a base coat of CVD silicon on the aluminum surface, and a layer of ALD zirconia on the base coat of CVD silicon; and (ii) a base coat of CVD silicon oxynitride on the aluminum surface, and a layer of ALD alumina on the base coat of CVD silicon oxynitride.
  • a porous matrix filter comprising a membrane formed of stainless steel, nickel, or titanium, wherein the membrane is encapsulated with alumina to a coating penetration depth in a range of from 20 to 2000 ⁇ m. More specifically, in various embodiments, the porosity may have nominal pore size in a range of from 10 to 1000 nm.
  • Another aspect of the disclosure relates to a method of making a porous matrix filter comprising encapsulating a membrane formed of stainless steel, nickel, or titanium with alumina to a coating penetration depth in a range of from 20 to 2000 ⁇ m.
  • the encapsulating comprises ALD of the alumina, and the method is conducted to provide porosity in the porous matrix filter having nominal pore size in a range of from 10 to 1000 nm.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Laminated Bodies (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Filtering Materials (AREA)
US15/550,630 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus Abandoned US20180044800A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/550,630 US20180044800A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562116181P 2015-02-13 2015-02-13
US201562167890P 2015-05-28 2015-05-28
US201562188333P 2015-07-02 2015-07-02
US201562221594P 2015-09-21 2015-09-21
PCT/US2016/017910 WO2016131024A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus
US15/550,630 US20180044800A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/017910 A-371-Of-International WO2016131024A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/123,149 Continuation US20190100842A1 (en) 2015-02-13 2018-09-06 Coatings for enhancement of properties and performance of substrate articles and apparatus
US16/898,149 Continuation US20200378011A1 (en) 2015-02-13 2020-06-10 Coatings for enhancement of properties and performance of substrate articles and apparatus

Publications (1)

Publication Number Publication Date
US20180044800A1 true US20180044800A1 (en) 2018-02-15

Family

ID=56615146

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/550,630 Abandoned US20180044800A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus
US16/123,149 Pending US20190100842A1 (en) 2015-02-13 2018-09-06 Coatings for enhancement of properties and performance of substrate articles and apparatus
US16/898,149 Pending US20200378011A1 (en) 2015-02-13 2020-06-10 Coatings for enhancement of properties and performance of substrate articles and apparatus

Family Applications After (2)

Application Number Title Priority Date Filing Date
US16/123,149 Pending US20190100842A1 (en) 2015-02-13 2018-09-06 Coatings for enhancement of properties and performance of substrate articles and apparatus
US16/898,149 Pending US20200378011A1 (en) 2015-02-13 2020-06-10 Coatings for enhancement of properties and performance of substrate articles and apparatus

Country Status (8)

Country Link
US (3) US20180044800A1 (zh)
EP (3) EP3460093A3 (zh)
JP (9) JP2018506859A (zh)
KR (9) KR20210099193A (zh)
CN (5) CN111593324A (zh)
SG (2) SG11201706564UA (zh)
TW (4) TW202218871A (zh)
WO (1) WO2016131024A1 (zh)

Cited By (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20190185997A1 (en) * 2017-12-18 2019-06-20 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US10676819B2 (en) 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
WO2021050168A1 (en) 2019-09-09 2021-03-18 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11371145B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within a housing, apparatus, or tool using a coating system positioned therein
US11371137B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11566326B2 (en) * 2019-02-07 2023-01-31 Kojundo Chemical Laboratory Co., Ltd. Vaporizable source material container and solid vaporization/supply system using the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11613809B2 (en) 2019-02-07 2023-03-28 Kojundo Chemical Laboratory Co., Ltd. Solid vaporization/supply system of metal halide for thin film deposition
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11788187B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing counter current flow of reactants
US11788189B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing pressurized cells
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
EP4073831A4 (en) * 2019-12-09 2024-01-10 Entegris Inc DIFFUSION BARRIERS MADE OF MULTIPLE BARRIER MATERIALS, AND ASSOCIATED ARTICLES AND METHODS
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6662520B2 (ja) * 2015-10-02 2020-03-11 国立大学法人山形大学 内面コーティング方法及び装置
US20160046408A1 (en) * 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
DE102017113515B4 (de) * 2017-06-20 2019-01-24 Infineon Technologies Ag Verfahren zum Bilden eines elektrisch leitfähigen Kontakts und elektronische Vorrichtung
KR102005565B1 (ko) * 2017-11-03 2019-07-30 한국원자력연구원 연마광택기 및 이의 제조방법
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11486042B2 (en) * 2018-01-18 2022-11-01 Viavi Solutions Inc. Silicon coating on hard shields
JP2021138972A (ja) * 2018-05-09 2021-09-16 株式会社高純度化学研究所 蒸発原料用容器
JP7161192B2 (ja) * 2018-12-17 2022-10-26 国立大学法人山形大学 積層コーティング層、積層コーティング層を形成する方法及び積層構造の判定方法
JP7414798B2 (ja) 2019-02-28 2024-01-16 ソニーグループ株式会社 コンタクトレンズ、一組のコンタクトレンズおよび装着装置
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
WO2020219702A1 (en) 2019-04-26 2020-10-29 Entegris, Inc. Vaporization vessel and method
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
US20210095373A1 (en) * 2019-08-13 2021-04-01 Pt Creations Synthetic diamond jewelry and fabrication method thereof
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11729909B2 (en) 2021-07-20 2023-08-15 Honeywell Federal Manufacturing & Technologies, Llc Multi-layered diamond-like carbon coating for electronic components
US11961896B2 (en) 2021-09-14 2024-04-16 Honeywell Federal Manufacturing & Technologies, Llc Diamond-like carbon coating for passive and active electronics
FR3128708B1 (fr) * 2021-10-28 2024-04-05 Safran Ceram Procédé de transfert d'au moins un oxyde métallique sur et dans une préforme fibreuse en carbone
US20230287564A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
US20230323531A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Coating interior surfaces of complex bodies by atomic layer deposition
US20230420259A1 (en) * 2022-06-09 2023-12-28 Applied Materials, Inc. Selective etch of a substrate
JP2024063360A (ja) * 2022-10-26 2024-05-13 東京エレクトロン株式会社 ガス流路を有する部材の製造方法、およびガス流路を有する部材
CN116354740B (zh) * 2023-03-30 2024-02-06 中国科学院上海硅酸盐研究所 一种氧化铝陶瓷-钢材复合结构及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080176108A1 (en) * 2007-01-18 2008-07-24 Sae Magnetics (Hk) Ltd. Magnetic recording head and media comprising aluminum oxynitride underlayer and a diamond-like carbon overcoat

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680085A (en) * 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
JPH0818883B2 (ja) * 1986-05-16 1996-02-28 エヌオーケー株式会社 多孔質フィルタの製造法
US4877480A (en) * 1986-08-08 1989-10-31 Digital Equipment Corporation Lithographic technique using laser for fabrication of electronic components and the like
US4888114A (en) * 1989-02-10 1989-12-19 E. I. Du Pont De Nemours And Company Sintered coating for porous metallic filter surfaces
US5114447A (en) 1991-03-12 1992-05-19 Mott Metallurgical Corporation Ultra-high efficiency porous metal filter
EP0627256B1 (en) 1993-06-04 1996-12-04 Millipore Corporation High-efficiency metal filter element and process for the manufacture thereof
JP3600321B2 (ja) * 1995-08-25 2004-12-15 日本精線株式会社 高純度ガス用の精密フィルター及びその製造方法
JP3677329B2 (ja) * 1995-09-25 2005-07-27 新東工業株式会社 排ガス中の炭素系微粒子処理用フィルタ及びこれを用いた炭素系微粒子処理装置
JPH10272317A (ja) * 1997-03-31 1998-10-13 Kubota Corp 耐高温腐食性にすぐれた多孔質材及び高温排ガス用フィルタ
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JPH1121601A (ja) * 1997-07-07 1999-01-26 Kubota Corp 複層多孔質体およびその製造方法
JPH11104421A (ja) * 1997-10-02 1999-04-20 Toray Ind Inc 板状濾材
JPH11222679A (ja) * 1998-02-04 1999-08-17 Hitachi Ltd Cvd装置および半導体装置の製造方法
JP2000042320A (ja) * 1998-07-27 2000-02-15 Suzuki Sogyo Co Ltd 機能性フィルター
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6432308B1 (en) * 2000-09-25 2002-08-13 Graver Technologies, Inc. Filter element with porous nickel-based alloy substrate and metal oxide membrane
JP4435111B2 (ja) * 2001-01-11 2010-03-17 株式会社日立国際電気 Ald装置および半導体装置の製造方法
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
KR100471408B1 (ko) * 2003-06-30 2005-03-14 주식회사 하이닉스반도체 반도체 소자의 금속선 패터닝 방법
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
JP4865214B2 (ja) * 2004-12-20 2012-02-01 東京エレクトロン株式会社 成膜方法および記憶媒体
GB2423634A (en) * 2005-02-25 2006-08-30 Seiko Epson Corp A patterning method for manufacturing high resolution structures
US7666494B2 (en) * 2005-05-04 2010-02-23 3M Innovative Properties Company Microporous article having metallic nanoparticle coating
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
US20080254312A1 (en) * 2005-10-11 2008-10-16 Nv Bekaert Sa Coated Porous Metal Medium
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100771546B1 (ko) * 2006-06-29 2007-10-31 주식회사 하이닉스반도체 메모리 소자의 커패시터 및 형성 방법
WO2008013873A2 (en) * 2006-07-25 2008-01-31 Cunningham David W Incandescent lamp incorporating infrared-reflective coating system, and lighting fixture incorporating such a lamp
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
WO2008034190A1 (en) * 2006-09-21 2008-03-27 Queensland University Of Technology Metal oxide nanofibre filter
JP2008120654A (ja) * 2006-11-15 2008-05-29 Nihon Ceratec Co Ltd セラミックコーティング部材およびその製造方法
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
WO2008136882A2 (en) * 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20090041986A1 (en) * 2007-06-21 2009-02-12 3M Innovative Properties Company Method of making hierarchical articles
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090159005A1 (en) * 2007-12-21 2009-06-25 Epicrew Corporation Coatings for semiconductor processing equipment
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
EP2396817A4 (en) * 2009-02-12 2014-08-20 Laor Consulting Llc SINTERED NANOPORENE ELECTROCONDENSOR, ELECTROCHEMICAL CAPACITOR AND BATTERY THEREFOR, AND METHOD OF MANUFACTURING THEREOF
SG176877A1 (en) 2009-06-18 2012-01-30 Entegris Inc Sintered porous material comprising particles of different average sizes
KR101714814B1 (ko) * 2009-09-22 2017-03-09 쓰리엠 이노베이티브 프로퍼티즈 컴파니 다공성 비세라믹 기판상에 원자층 증착 코팅을 도포하는 방법
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP2012011081A (ja) * 2010-07-02 2012-01-19 Daito Giken:Kk 遊技台
KR101585883B1 (ko) * 2010-10-29 2016-01-15 어플라이드 머티어리얼스, 인코포레이티드 물리적 기상 증착 챔버를 위한 증착 링 및 정전 척
EP2670508B1 (en) 2011-02-04 2021-08-25 Entegris, Inc. Porous metal body of sintered metal powders and metal fibers
US10138169B2 (en) * 2011-04-04 2018-11-27 The Regents Of The University Of Colorado, A Body Corporate Highly porous ceramic material and method of using and forming same
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
CN103958027A (zh) * 2011-10-06 2014-07-30 巴斯夫公司 在衬底、载体和/或涂有载体的衬底上涂覆吸附剂涂层的方法
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
WO2013071065A1 (en) * 2011-11-10 2013-05-16 Research Triangle Institute Nanostructured polymer-inorganic fiber media
US9089800B2 (en) * 2012-02-03 2015-07-28 Msp Corporation Method and apparatus for vapor and gas filtration
PL2628822T3 (pl) * 2012-02-15 2016-04-29 Picosun Oy Elementy łożysk i łożyska izolowane elektrycznie
WO2013148444A1 (en) * 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
FI20125988A (fi) * 2012-09-24 2014-03-25 Optitune Oy Menetelmä n-tyypin piisubstraatin modifioimiseksi
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8921236B1 (en) * 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
JP6832772B2 (ja) * 2017-03-29 2021-02-24 株式会社クボタ 植播系作業機
JP7011983B2 (ja) * 2018-07-11 2022-01-27 日立Astemo株式会社 演算システム、演算装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080176108A1 (en) * 2007-01-18 2008-07-24 Sae Magnetics (Hk) Ltd. Magnetic recording head and media comprising aluminum oxynitride underlayer and a diamond-like carbon overcoat

Cited By (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US11421319B2 (en) 2016-03-04 2022-08-23 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10676819B2 (en) 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10573497B2 (en) 2017-01-20 2020-02-25 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11251023B2 (en) 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11667578B2 (en) 2017-10-27 2023-06-06 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US20190185997A1 (en) * 2017-12-18 2019-06-20 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US11713504B2 (en) 2017-12-18 2023-08-01 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US11390943B2 (en) * 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US20190382888A1 (en) * 2018-04-06 2019-12-19 Applied Materials, Inc. Zone-controlled rare-earth oxide ald and cvd coatings
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
CN110473802A (zh) * 2018-05-09 2019-11-19 Asm Ip控股有限公司 利用氢自由基的设备和其使用方法
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US20220411931A1 (en) * 2019-01-17 2022-12-29 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) * 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11613809B2 (en) 2019-02-07 2023-03-28 Kojundo Chemical Laboratory Co., Ltd. Solid vaporization/supply system of metal halide for thin film deposition
US11566326B2 (en) * 2019-02-07 2023-01-31 Kojundo Chemical Laboratory Co., Ltd. Vaporizable source material container and solid vaporization/supply system using the same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11371137B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools
US11371145B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within a housing, apparatus, or tool using a coating system positioned therein
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
EP4028572A4 (en) * 2019-09-09 2023-10-04 Applied Materials, Inc. METHODS OF FORMING A PROTECTIVE COATING ON PROCESSING CHAMBER SURFACES OR COMPONENTS
WO2021050168A1 (en) 2019-09-09 2021-03-18 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
EP4073831A4 (en) * 2019-12-09 2024-01-10 Entegris Inc DIFFUSION BARRIERS MADE OF MULTIPLE BARRIER MATERIALS, AND ASSOCIATED ARTICLES AND METHODS
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11788189B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing pressurized cells
US11788187B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing counter current flow of reactants
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12006572B2 (en) 2020-10-01 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2020-10-05 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12009224B2 (en) 2021-09-24 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12002657B2 (en) 2021-11-23 2024-06-04 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Also Published As

Publication number Publication date
CN109023303A (zh) 2018-12-18
JP2020168625A (ja) 2020-10-15
KR20170117490A (ko) 2017-10-23
EP3460093A2 (en) 2019-03-27
CN111519166A (zh) 2020-08-11
KR20190126202A (ko) 2019-11-08
JP2022084687A (ja) 2022-06-07
TW202035129A (zh) 2020-10-01
SG11201706564UA (en) 2017-09-28
TWI758744B (zh) 2022-03-21
KR20210099193A (ko) 2021-08-11
KR20180100734A (ko) 2018-09-11
TWI710471B (zh) 2020-11-21
SG10201807630PA (en) 2018-10-30
EP3257070A1 (en) 2017-12-20
KR20210111885A (ko) 2021-09-13
CN107615462A (zh) 2018-01-19
JP6909883B2 (ja) 2021-07-28
EP3460093A3 (en) 2019-06-19
WO2016131024A1 (en) 2016-08-18
JP2023011660A (ja) 2023-01-24
JP2018506859A (ja) 2018-03-08
CN111593324A (zh) 2020-08-28
CN113930746A (zh) 2022-01-14
KR20220113847A (ko) 2022-08-16
TW201634265A (zh) 2016-10-01
JP2020080408A (ja) 2020-05-28
KR20210083394A (ko) 2021-07-06
JP2020128594A (ja) 2020-08-27
US20200378011A1 (en) 2020-12-03
TW202003230A (zh) 2020-01-16
EP3257070A4 (en) 2018-10-17
JP2021181622A (ja) 2021-11-25
US20190100842A1 (en) 2019-04-04
KR20200103890A (ko) 2020-09-02
JP2019035150A (ja) 2019-03-07
TW202218871A (zh) 2022-05-16
KR20200080342A (ko) 2020-07-06
WO2016131024A9 (en) 2017-07-13
EP3739079A1 (en) 2020-11-18
JP2024023231A (ja) 2024-02-21
TWI717012B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
US20200378011A1 (en) Coatings for enhancement of properties and performance of substrate articles and apparatus
KR102481924B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
US20210100087A1 (en) Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools
KR20220084395A (ko) 원자층 증착에 의해 증착된 하프늄 알루미늄 산화물 코팅들
US12004337B2 (en) Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;SAES PURE GAS, INC.;REEL/FRAME:048811/0679

Effective date: 20181106

AS Assignment

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HENDRIX, BRYAN C.;PETERS, DAVID W.;LI, WEIMIN;AND OTHERS;REEL/FRAME:047739/0751

Effective date: 20150923

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679;ASSIGNOR:GOLDMAN SACHS BANK USA;REEL/FRAME:050965/0035

Effective date: 20191031

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

AS Assignment

Owner name: TRUIST BANK, AS NOTES COLLATERAL AGENT, NORTH CAROLINA

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;ENTEGRIS GP, INC.;POCO GRAPHITE, INC.;AND OTHERS;REEL/FRAME:060613/0072

Effective date: 20220706

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION