KR102388784B1 - 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅 - Google Patents

고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅 Download PDF

Info

Publication number
KR102388784B1
KR102388784B1 KR1020167033014A KR20167033014A KR102388784B1 KR 102388784 B1 KR102388784 B1 KR 102388784B1 KR 1020167033014 A KR1020167033014 A KR 1020167033014A KR 20167033014 A KR20167033014 A KR 20167033014A KR 102388784 B1 KR102388784 B1 KR 102388784B1
Authority
KR
South Korea
Prior art keywords
protective layer
article
thin film
ceramic
susceptor
Prior art date
Application number
KR1020167033014A
Other languages
English (en)
Other versions
KR20160145816A (ko
Inventor
바히드 피로우즈도르
비라자 피. 카눙고
제니퍼 와이. 썬
마틴 제이. 샐리나스
자레드 아흐메드 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227012498A priority Critical patent/KR102493316B1/ko
Publication of KR20160145816A publication Critical patent/KR20160145816A/ko
Application granted granted Critical
Publication of KR102388784B1 publication Critical patent/KR102388784B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)

Abstract

서셉터와 같은 물품(article)은, 제 1 보호 층에 의해 코팅된 열 전도성 재료의 바디, 및 바디의 표면 위의 제 2 보호 층을 포함한다. 제 1 보호 층은 열 전도성 세라믹이다. 제 2 보호 층은 제 1 보호 층을 덮으며, 그리고 섭씨 650도의 온도들에서 크래킹(cracking)에 대해 저항적인 플라즈마 저항성(plasma resistant) 세라믹 박막이다.

Description

고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅{PLASMA EROSION RESISTANT THIN FILM COATING FOR HIGH TEMPERATURE APPLICATION}
[0001] 본 발명의 실시예들은, 일반적으로, 고온들에 그리고 직접 또는 원격 플라즈마 환경들에 빈번하게 노출되는 챔버 컴포넌트(chamber component)들을 보호하는 것에 관한 것이다.
[0002] 반도체 산업에서, 디바이스들은, 계속-감소되는 사이즈의 구조들을 생성하는 다수의 제조 프로세스들에 의해 제작된다. 플라즈마 에칭 및 플라즈마 세정 프로세스들과 같은 몇몇 제조 프로세스들은, 기판을 에칭하거나 또는 세정하기 위해, 플라즈마의 고속 스트림에 기판을 노출시킨다. 플라즈마는 고도로 부식성(corrosive)일 수 있고, 플라즈마에 노출되는, 프로세싱 챔버들 및 다른 표면들을 부식시킬 수 있다. 따라서, 프로세싱 챔버 컴포넌트들을 부식으로부터 보호하기 위해, 플라즈마 스프레잉(sprayed) 보호 코팅들이 일반적으로 사용된다.
[0003] 몇몇 제조 프로세스들은 고온들(예를 들어, 400℃ 초과의 온도들)에서 수행된다. 전형적인 플라즈마 스프레잉 보호 코팅들은, 그러한 프로세스들에 대해 사용되는 몇몇 챔버 컴포넌트들에 대해 부적합할 수 있다.
[0004] 예시적인 실시예에서, 물품(article)은, 열 전도성 재료(thermally conductive material)를 갖는 바디(body)를 포함한다. 물품은 바디의 표면 상의 제 1 보호(protective) 층을 더 포함하며, 제 1 보호 층은 열 전도성 세라믹이다. 물품은 제 1 보호 층 상의 제 2 보호 층을 더 포함하며, 제 2 보호 층은, 섭씨 650도까지의 온도들에서 크래킹(cracking)에 대해 저항적인 플라즈마 저항성(plasma resistant) 세라믹 박막을 포함한다.
[0005] 다른 예시적인 실시예에서, 방법은, 열 전도성 재료 바디를 포함하는 물품을 제공하는 단계를 포함한다. 방법은, 열 전도성 재료 바디의 표면 상에 제 1 보호 층을 증착하는 단계를 더 포함하며, 제 1 보호 층은 열 전도성 세라믹이다. 방법은, 제 1 보호 층 위에 제 2 보호 층을 증착하기 위해, 이온 보조 증착(ion assisted deposition)을 수행하는 단계를 더 포함하며, 제 2 보호 층은, 섭씨 650도까지의 온도들에서 크래킹에 대해 저항적인 플라즈마 저항성 세라믹 박막을 포함한다.
[0006] 다른 예시적인 실시예에서, 원자 층 증착 챔버를 위한 서셉터는 그래파이트 바디(graphite body)를 포함한다. 서셉터는 그래파이트 바디의 표면 상의 제 1 보호 층을 더 포함하며, 제 1 보호 층은 실리콘 탄화물(silicon carbide)을 포함한다. 서셉터는 제 1 보호 층 위의 제 2 보호 층을 더 포함하고, 제 2 보호 층은, 섭씨 650도까지의 룸 온도(room temperature)의 온도들에서 크래킹에 대해 저항적인 플라즈마 저항성 세라믹 박막을 포함하며, 제 2 보호 층은, Er3Al5O12, Y3Al5O12 및 YF3으로 이루어진 그룹으로부터 선택되는 세라믹을 포함한다.
[0007] 본 발명은, 유사한 레퍼런스들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도면들에서, 제한이 아닌 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 언급들이 반드시 동일한 실시예에 대한 것은 아니고, 그러한 언급들은 적어도 하나를 의미한다는 것을 주목해야 한다.
[0008] 도 1은 프로세싱 챔버의 일 실시예의 단면도를 도시한다.
[0009] 도 2a는 하나의 표면 상에 박막 보호 코팅을 갖는, 원자 층 증착(ALD)을 위한 서셉터를 도시한다.
[0010] 도 2b는, 홀(hole) 내에 삽입된 플라즈마 저항성 플러그를 갖는, 원자 층 증착 챔버를 위한 서셉터의 확대된(zoomed in) 단면도를 도시한다.
[0011] 도 3 내지 도 5는 하나의 표면 상에 보호 층 스택들을 갖는 예시적인 물품들의 측단면도들을 도시한다.
[0012] 도 6은 물품 위에 하나 또는 그 초과의 보호 층들을 형성하기 위한 프로세스의 일 실시예를 예시한다.
[0013] 도 7a는, 이를테면 이온 보조 증착(ion assisted deposition, IAD)과 같은, 에너제틱 입자(energetic particle)들을 활용하는 다양한 증착 기법들에 대해 적용가능한 증착 메커니즘을 도시한다.
[0014] 도 7b는 IAD 증착 장치의 개략도를 도시한다.
[0015] 도 8은 본 발명의 실시예들에 따라 형성되는 박막 보호 층들에 대한 부식률(erosion rate)들을 예시한다.
[0016] 본 발명의 실시예들은 원자 층 증착(ALD) 챔버를 위한 챔버 컴포넌트와 같은 물품을 제공하며, 이는 물품의 하나 또는 그 초과의 표면들 상에 박막 보호 층을 갖는다. 보호 층은 대략 50 미크론 미만의 두께를 가질 수 있으며, 그리고 물품의 보호를 위한 플라즈마 부식 저항(plasma corrosion resistance)을 제공할 수 있다. 챔버 컴포넌트는 웨이퍼들의 프로세싱 동안 고온들에 노출될 수 있다. 예를 들어, 챔버 컴포넌트는 450℃ 초과의 온도들에 노출될 수 있다. 박막 보호 층은, 이러한 고온들에서의 크래킹에 대해 실질적으로 영향을 받지 않거나 저항적이 되도록 하는 방식으로 형성된다. 박막 보호 층은, 이온 보조 증착(IAD)을 사용하여, 가열된 기판 상에 증착되는 고밀도(dense)의 컨포밍(conforming) 박막일 수 있다. 박막 보호 층은 Y3Al5O12, Er3Al5O12, 또는 YF3으로 형성될 수 있다. 박막 보호 층에 의해 제공되는 개선된 부식 저항은, 유지보수(maintenance) 및 제조 비용을 감소시키면서, 물품의 유효 수명(service life)을 개선할 수 있다.
[0017] 도 1은, 본 발명의 실시예들에 따른, 박막 보호 층으로 코팅되는 하나 또는 그 초과의 챔버 컴포넌트들을 갖는 프로세싱 챔버(100)의 단면도이다. 프로세싱 챔버(100)는 ALD 프로세싱 챔버일 수 있다. 일 실시예에서, 프로세싱 챔버(100)는, 챔버 세정을 위해 프로세싱 챔버(100) 내로 불소 라디칼(fluorine radical)(F*)들을 전달하기 위해 원격 플라즈마 유닛을 활용한다. 대안적으로, 다른 타입들의 프로세싱 챔버들이, 본원에서 설명되는 실시예들에 대해 사용될 수 있다.
[0018] 프로세싱 챔버(100)는 고온 ALD 프로세스들에 대해 사용될 수 있다. 예를 들어, 프로세싱 챔버(100)는 티타늄 질화물(TiN)의 증착을 위해 사용될 수 있다. TiN 증착 프로세스는 전형적으로, 450℃ 또는 그 초과의 온도들에서 수행되는 ALD 프로세스이다. 다른 예시적인 고온 ALD 프로세스는 DCS(dichlorosilane) 텅스텐 실리사이드(tungsten silicide)의 증착이다. DCS 텅스텐 실리사이드 프로세스는, 약 500-600℃의 온도들에서의 WF6, DCS 및 SiH4의 반응에 의해 수행된다. 다른 고온 ALD 프로세스들이 프로세싱 챔버(100)에 의해 수행될 수 있다.
[0019] 박막 보호 층을 포함할 수 있는 챔버 컴포넌트들의 예들은 서셉터(susceptor)(134), 챔버 바디(chamber body)(105), 샤워헤드(110) 등을 포함한다. 하기에서 더 상세히 설명되는 박막 보호 층은, Y3Al5O12(YAG), Er3Al5O12(EAG), 및/또는 YF3을 포함할 수 있다. 몇몇 실시예들에서, 박막 보호 층은 또한, 다른 세라믹들을 포함할 수 있다. 부가적으로, 박막 보호 층은 보호 층 스택 내의 하나의 층일 수 있다. 예시된 바와 같이, 일 실시예에 따르면, 서셉터(134)는 박막 보호 층(제 2 보호 층(136))을 갖는다. 하지만, 상기 리스트된 것들과 같은 다른 챔버 컴포넌트들 중 임의의 컴포넌트 또한 박막 보호 층을 포함할 수 있다는 것을 이해해야 한다.
[0020] 일 실시예에서, 프로세싱 챔버(100)는, 내부 볼륨(interior volume)(106)을 둘러싸는, 챔버 바디(105) 및 샤워헤드(110)를 포함한다. 챔버 바디(105)는 알루미늄, 스테인리스 스틸 또는 다른 적합한 재료로 제조될 수 있다. 챔버 바디(105)는 일반적으로, 측벽들 및 바닥을 포함한다. 샤워헤드(110), 측벽들 및/또는 바닥 중 임의의 것이 박막 보호 층을 포함할 수 있다.
[0021] 챔버 배기부(chamber exhaust)(125) 및 하나 또는 그 초과의 배기 포트들(137)이 챔버의 내부 볼륨(106)으로부터 배기가스(exhaust)를 배출시킨다. 배기 포트들(137)은, 프로세싱 챔버(100)의 내부 볼륨(106)의 압력을 조절 및 진공배기(evacuate)하는 데에 활용되는, 하나 또는 그 초과의 펌프들(160) 및 스로틀 밸브들(156) 및/또는 게이트 밸브들(154)을 포함하는 펌프 시스템에 연결될 수 있다.
[0022] 샤워헤드(110)는 챔버 바디(105)의 측벽들 상에 지지될 수 있다. 샤워헤드(110)(또는 리드(lid))는 프로세싱 챔버(100)의 내부 볼륨(106)으로의 액세스를 허용하기 위해 개방될 수 있으며, 그리고 폐쇄되는 동안에는 프로세싱 챔버(100)에 대한 밀봉(seal)을 제공할 수 있다. 샤워헤드(110)는 가스 분배 플레이트 및 하나 또는 그 초과의 주입기들(122, 123, 124)을 포함할 수 있다. 샤워헤드(110)는 알루미늄, 스테인리스 스틸, 또는 다른 적합한 재료로 제조될 수 있다. 대안적으로, 몇몇 실시예들에서, 샤워헤드(110)는 리드 및 노즐에 의해 대체될 수 있다.
[0023] 가스 패널(152)은, 하나 또는 그 초과의 가스 전달 라인들(138-146)을 경유하여 샤워헤드(110)를 통해 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공할 수 있다. 기판들 상에 층들을 증착하기 위해 CVD 동작들을 수행하는 데에 사용될 수 있는 프로세싱 가스들의 예들은, 증착될 층에 따라서, NH3, TiCl4, TDMAT(Tetrakis(dimethylamino)titanium), WF6, DCS, SiH4 등을 포함한다. 원격 플라즈마 소스(RPS)(150)가, 세정 동안 불소 라디칼들(F*)을 생성할 수 있으며, 불소 라디칼들을 하나 또는 그 초과의 가스 전달 라인들(138-146)을 경유하여 전달할 수 있다. 가스 전달 라인들(138-146), 배기 포트들(137) 및 샤워헤드(110)는, 알루미늄 또는 다른 적합한 재료일 수 있는 돔(dome)(180)에 의해 덮일 수 있다.
[0024] 챔버 컴포넌트들, 이를테면 챔버 바디(105)의 내부 벽들, 샤워헤드(110), 서셉터(134) 등은 프로세싱 동안 재료들의 증착된 층을 축적(accumulate)한다. 입자 오염 뿐만 아니라, 증착 특성들에 있어서의 변화들을 완화시키기 위해, 이러한 증착된 층들은, 원격 플라즈마 세정 프로세스를 사용하여 챔버 컴포넌트들로부터 주기적으로 세정된다. 챔버 컴포넌트들의 표면들로부터, 증착된 재료들을 세정하는 데에 사용될 수 있는 세정 가스들의 예들은, 특히, 할로겐-함유 가스들, 이를테면 C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 이를테면 O2, 또는 N2O를 포함한다. 캐리어 가스들의 예들은 N2, He, Ar, 및 세정 가스들에 대해 비활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 일 실시예에서, NF3 및 Ar이 플라즈마 세정 프로세스를 수행하는 데에 사용된다.
[0025] 서셉터(134)는, 프로세싱 챔버(100)의 내부 볼륨(106) 내에서, 샤워헤드(110) 아래에 배치되며, 그리고 베이스(base)(132)에 의해 지지된다. 서셉터(134)는 프로세싱 동안 하나 또는 그 초과의 기판들을 홀딩(hold)한다. 서셉터(134)는, 하나 또는 그 초과의 기판들과 상호작용하는 프로세스 가스들의 균등한(even) 분배를 보장하기 위해, ALD 프로세스들 동안 중심축(axial center)을 중심으로 회전(spin)하도록 구성된다. 이러한 균등한 분배는 하나 또는 그 초과의 기판들 상에 증착되는 층들의 두께 균일성을 개선한다.
[0026] 서셉터(134)는, 가열되도록 그리고 프로세싱 동안 서셉터(134) 전체에 걸쳐서 균일한 열을 유지하도록 구성된다. 따라서, 서셉터(134)는, 열 충격(thermal shock)에 대한 높은 저항을 갖는 열 전도성 재료로 구성되는 바디를 가질 수 있다. 일 실시예에서, 바디는 그래파이트와 같은 반금속(semimetal) 재료이다. 서셉터(134)는 또한, 높은 열 충격 저항을 갖는 다른 재료들, 이를테면 글래스-카본(glass-carbon)으로 구성되는 바디를 가질 수 있다.
[0027] 서셉터(134)는 다수의 함몰부(depression)들을 갖는다. 각각의 함몰부는 대략적으로, 함몰부 내에 홀딩될 기판(예를 들어, 웨이퍼)의 크기일 수 있다. 기판은 프로세싱 동안 서셉터(134)에 진공 부착될(vacuum attached)(척킹될(chucked)) 수 있다.
[0028] 일 실시예에서, 서셉터(134)의 바디는, 적어도 하나의 표면 상의 제 1 보호 층(135) 및 제 1 보호 층(135) 상의 제 2 보호 층(136)을 갖는다. 일 실시예에서, 제 1 보호 층은 SiC 이며, 그리고 제 2 보호 층은, Y3Al5O12(YAG), Er3Al5O12(EAG), 또는 YF3 중 하나이다. 다른 실시예에서, 서셉터(134)는 단일의 보호 층 만을 가지며, 이는 Y3Al5O12(YAG), Er3Al5O12(EAG), 또는 YF3 중 하나이다. 다른 실시예들에서, 부가적인 보호 층들이 또한 사용될 수 있다. 하나의 예시적인 서셉터가 도 2a 및 도 2b와 관련하여 하기에서 더 상세히 도시된다.
[0029] 일 실시예에서, 하나 또는 그 초과의 가열 엘리먼트들(130)이 서셉터(134) 아래에 배치된다. 하나 또는 그 초과의 열 차폐물(heat shield)들이 또한, 고온들로 가열되서는 안되는 컴포넌트들을 보호하기 위해, 가열 엘리먼트들(130) 근방에 배치될 수 있다. 일 실시예에서, 가열 엘리먼트들(130)은 저항성 또는 유도성 가열 엘리먼트들이다. 다른 실시예에서, 가열 엘리먼트들은 복사 가열 램프(radiant heating lamp)들이다. 몇몇 실시예들에서, 가열 엘리먼트들(130)은 서셉터(134)를 700℃까지의 또는 그 보다 더 높은 온도들로 가열할 수 있다.
[0030] 도 2a는 ALD 챔버를 위한 예시적인 서셉터(200)를 도시한다. 서셉터(200)는 박막 보호 코팅을 갖는다. 일 실시예에서, 박막 보호 코팅은 단지, 서셉터의 상부 표면 만을 코팅한다. 대안적으로, 박막 보호 코팅은 서셉터의 상부 표면 및 하부 표면을 코팅한다. 박막 보호 층은 또한, 서셉터의 측벽들을 코팅할 수 있다. 서셉터(200)의 목적은, 동시에, 다수의 웨이퍼들을 지지하고 이러한 웨이퍼들을 균일하게 가열하는 것이다. 서셉터(200)는, 저항성 가열 엘리먼트들 또는 램프들을 사용하여 복사적으로(radiatively) 가열될 수 있다. 프로세싱 동안, 서셉터(200)는, 원자 층 증착(ALD) 또는 다른 CVD 프로세스에 의해, (지지되는 웨이퍼들과 함께) 코팅된다. MTBC(mean time between cleans)를 증가시키기 위해, 서셉터(200)는, 순차적인 프로세싱 동안 발생되는 내부의 막 응력(film stress)들로 인해 코팅이 벗겨지는(flaking) 것을 막도록 주기적으로 세정되어야 한다. 서셉터(200)는 열적 또는 원격 플라즈마 프로세스에 의해 세정될 수 있다. NF3를 사용하는 원격 플라즈마 세정의 경우, 불소 라디칼들(F*)이 원격에서(remotely) 생성되어, 증착 막을 제거하기 위해 프로세싱 영역 내로 전달된다. 하지만, 고온들에서의 F*는 또한, 서셉터 재료(예를 들어, CVD SiC 및 그래파이트)를 부식시킬 것이다. 따라서, 사용되는 케미스트리(chemistry)에 대해 부식 저항성(erosion resistant)인 보호 코팅이 적용된다. 보호 코팅은 또한, 증착 막 전체가 제거되도록 보장하기 위해, "오버 에칭(over etch)"의 주기를 허용한다.
[0031] 일 실시예에서, 서셉터(200)는 반금속 열 전도성 베이스(semimetal thermally conductive base), 이를테면 그래파이트를 포함한다. 서셉터(200)는, 다수의 기판들(예를 들어, 다수의 웨이퍼들)을 지지하도록 충분히 클 수 있는 디스크형 형상(disc-like shape)을 가질 수 있다. 일 실시예에서, 서셉터는 1 미터 초과의 직경을 갖는다.
[0032] 서셉터(200)는 하나 또는 그 초과의 함몰부들(포켓들이라고도 또한 지칭됨)(201-206)을 포함할 수 있으며, 이러한 함몰부들 각각은 프로세싱 동안 웨이퍼 또는 다른 기판을 지지하도록 구성될 수 있다. 예시된 예에서, 서셉터(200)는 6 개의 함몰부들(201-206)을 포함한다. 하지만, 다른 서셉터들은 더 많거나 또는 더 적은 함몰부들을 가질 수 있다.
[0033] 함몰부들(201-206) 각각은 많은 표면 피처(feature)들을 포함한다. 함몰부(201) 내의 표면 피처들의 예들은, 외측 링(208), 다수의 메사(mesa)들(206), 및 메사들(206) 사이의 채널들 또는 가스 통로들을 포함한다. 몇몇 실시예들에서, 피처들은 대략 10-80 미크론의 높이들을 가질 수 있다.
[0034] 일 실시예에서, 서셉터(200)는, 열 전도성 반금속 베이스의 하나 또는 그 초과의 표면들 위의 SiC 또는 SiN의 CVD 증착된 층을 더 포함한다. 함몰부들(201-206) 및 표면 피처들(예를 들어, 메사들(206) 및 외측 링(208))은, 서셉터(200) 내에 드릴링된(drilled) 홀들을 통해, 열 전달(또는 이면(backside)) 가스, 이를테면 He의 소스에 유체적으로 커플링될 수 있다. 동작시, 이면 가스(backside gas)는, 서셉터(200)와 기판 간의 열 전달을 향상시키기 위해, 제어되는 압력으로 가스 통로들 내로 제공될 수 있다.
[0035] 함몰부들 및 표면 피처들은, 제 1 보호 층이 증착되기 전에, 서셉터(200)의 바디 내에 형성될 수 있다. 대안적으로, 함몰부들 및/또는 표면 피처들은, 제 1 보호 층이 바디 위에 증착된 후, 제 1 보호 층 내에 형성될 수 있다. 제 2 보호 층은, 함몰부들 및 표면 피처들을 따르는 컨포밍(conforming) 박막 보호 층일 수 있다. 대안적으로, 표면 피처들은 제 2 보호 층 내에 형성될 수 있다. 따라서, 표면 피처들(예를 들어, 메사들(206) 및 외측 링(208)) 모두는, 제 2 보호 층의 표면에 존재한다. 일 실시예에서, 제 2 보호 층은 약 5-50 미크론의 두께를 갖는다. 다른 실시예에서, 제 2 보호 층은 20 미크론 미만의 두께를 갖는다. 다른 실시예에서, 제 2 보호 층은 1000 미크론까지의 두께를 갖는다.
[0036] 서셉터(200)는 부가적으로, 리프트 핀 홀들(210)을 포함한다. 예를 들어, 서셉터(200)는, 리프트 핀들(예를 들어, Al2O3 리프트 핀들)을 지지하는 3개의 리프트 핀 홀들을 포함할 수 있다. 리프트 핀들은 서셉터(200) 상으로의 웨이퍼들의 로딩 및 언로딩을 가능하게 한다. 서셉터(200)는, 회전하는 스핀들(rotating spindle)에 서셉터를 클램핑하는 데에 사용될 수 있는 함몰부(215)를 포함할 수 있다. 함몰부(215)는, 회전하는 스핀들에 서셉터(200)를 기계적으로 고정(fasten)시키는 데에 사용될 수 있는 홀들(220)을 포함할 수 있다.
[0037] 도 2b는, 홀 내에 삽입된 플라즈마 저항성 플러그(250)를 갖는 서셉터(200)의 확대된(zoomed in) 단면도를 도시한다. IAD 및 PVD는 가시선 프로세스(line of sight process)들이다. 따라서, 박막 보호 코팅은 서셉터 내의 홀들(예를 들어, 리프트 핀 홀들(210), 홀들(220) 또는 헬륨 홀들)의 내부(inside)들을 코팅하지 않을 수 있다. 일 실시예에서, 초기의 홀들은 서셉터 내에 오버사이즈 치수(oversize dimension)들로 형성된다. 플라즈마 저항성 플러그들(예를 들어, 플라즈마 저항성 플러그(250))은 개별적으로 제조되어, 오버사이징된(oversized) 홀들 내로 삽입될 수 있다. 플라즈마 저항성 플러그들(250)은, 오버사이징된 홀들 내로 프레스 피팅(press fit)(예를 들어, 기계적으로 가압)될 수 있다. 플라즈마 저항성 플러그들(250)은, 벌크 소결된(bulk sintered) 플라즈마 저항성 세라믹 재료들, 이를테면 AlN, Y2O3, Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물, 또는 다른 희토류 산화물로 형성될 수 있다.
[0038] 플라즈마 저항성 플러그들(250) 자체는 자신들의 중심에 최종적인 홀(final hole)들을 가질 수 있으며, 이러한 최종적인 홀들은 요구되는 직경을 갖는다. CVD 증착되는 층 및/또는 박막 보호 층은 단지 서셉터 만을 코팅할 수 있거나, 또는 서셉터와 플라즈마 저항성 플러그들(250) 양자 모두를 코팅할 수 있다. 일 실시예에서, CVD 증착되는 층은, 플라즈마 저항성 플러그들(250)이 삽입되기 전에, 증착된다. 그런 다음, 플라즈마 저항성 플러그들(250)의 삽입 이후에, 박막 보호 층이 증착될 수 있다. 박막 보호 층은, 플러그(250)의 외측 벽과 플러그(250)가 삽입된 최초 홀 사이의 임의의 갭들을 충진(fill)하고 그리고/또는 브리징(bridge)할 수 있다. 몇몇 경우들에서, 박막 보호 층은, 플러그와 그 플러그가 삽입되는 최초 홀 사이의 갭을 브리징할 정도로 충분히 두껍지 않을 수도 있다. 따라서, 임의의 갭들을 브리징하기 위해, 플러그가 삽입된 후, CVD 코팅이 증착될 수 있다. 이후, 박막 보호 층이 CVD 코팅 위에 증착될 수 있다.
[0039] 일 실시예에서, 플라즈마 저항성 플러그들의 베이스는 (도시된 바와 같이) 플라즈마 저항성 플러그들의 상단(top) 보다 더 좁다. 이는 플라즈마 저항성 플러그들이 서셉터(200) 내로 미리 결정된 깊이로 프레스 피팅될 수 있게 한다.
[0040] 도 3 내지 도 5는 하나 또는 그 초과의 박막 보호 층들에 의해 덮이는 물품들(예를 들어, 챔버 컴포넌트들)의 측단면도들을 예시한다. 도 3은, 제 1 보호 층(330) 및 제 2 보호 층(308)을 갖는 물품(300)의 일 실시예의 측단면도를 예시한다. 제 1 보호 층은 SiC, SiN, 또는 다른 세라믹 재료일 수 있다. 제 1 보호 층(330)은 CVD 프로세스에 의해 바디(305) 상에 증착되었을 수 있다. 제 1 보호 층은 200 미크론까지의 두께를 가질 수 있다. 일 실시예에서, 제 1 보호 층의 두께는 대략 5-100 미크론이다.
[0041] 제 2 보호 층(308)은 IAD를 사용하여 제 1 보호 층(330) 위에 적용(apply)되는 세라믹 박막 보호 층일 수 있다. 제 2 보호 층(308)을 증착하는 데에 사용될 수 있는 2개의 예시적인 IAD 프로세스들은 전자 빔 IAD(EB-IAD) 및 이온 빔 스퍼터링 IAD(IBS-IAD)를 포함한다. 제 2 보호 층(308)은 탑 코트(top coat)의 역할을 할 수 있으며, 그리고 부식 저항성 배리어의 역할을 할 수 있고, 제 1 보호 층(330)의 노출된 표면을 밀봉(예를 들어, 제 1 보호 층(330) 내의 고유의 표면 크랙(surface crack)들 및 기공(pore)들을 밀봉)할 수 있다.
[0042] IAD 증착되는 제 2 보호 층(308)은, (예를 들어, 플라즈마 스프레잉 또는 스퍼터링에 의해 야기되는 막 응력과 비교하여), 비교적 낮은 막 응력을 가질 수 있다. IAD 증착되는 제 2 보호 층(308)은 부가적으로, 1% 미만, 몇몇 실시예들에서는 약 0.1% 미만의 공극률(porosity)을 가질 수 있다. 따라서, IAD 증착되는 보호 층은 고밀도(dense)의 구조이며, 이는 챔버 컴포넌트 상의 애플리케이션에 대해 성능 이득들을 가질 수 있다. 부가적으로, IAD 증착되는 제 2 보호 층(308)은, 제 1 보호 층(330)을 먼저 러프닝(roughening)하지 않거나 또는 다른 시간 소모적인 표면 준비 단계들을 수행하지 않으면서, 증착될 수 있다.
[0043] 제 2 보호 층(308)을 형성하는 데에 사용될 수 있는 세라믹들의 예들은, Y3Al5O12(YAG), Er3Al5O12(EAG), 및 YF3를 포함한다. 사용될 수 있는 다른 예시적인 세라믹은 Y4Al2O9(YAM) 이다. 상기 언급된 세라믹들 중 임의의 것은, 다른 재료들, 이를테면 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들을 소량(trace amounts) 포함할 수 있다.
[0044] 물품(300)의 바디(305) 및/또는 제 1 보호 층(330)은 하나 또는 그 초과의 표면 피처들을 포함할 수 있다. 서셉터의 경우, 표면 피처들은 함몰부들, 메사들, 밀봉 밴드(sealing band)들, 가스 채널들, 헬륨 홀들 등을 포함할 수 있다. 샤워헤드의 경우, 표면 피처들은 가스 분배를 위한 수백개 또는 수천개의 홀들, 가스 분배 홀들 주위의 디보트(divot)들 또는 범프(bump)들 등을 포함할 수 있다. 다른 챔버 컴포넌트들은 다른 표면 피처들을 가질 수 있다.
[0045] 제 2 보호 층(308)은, 제 1 보호 층(330) 및 바디(305)의 표면 피처들을 따를 수 있다. 예를 들어, 제 2 보호 층(308)은 제 1 보호 층(330)의 상부 표면의 상대적 형상(relative shape)을 유지(예를 들어, 제 1 보호 층(330) 내의 피처들의 형상들을 텔레그래핑(telegraphing))할 수 있다. 부가적으로, 제 2 보호 층(308)은, 바디(305) 및/또는 제 1 보호 층(330) 내의 홀들을 플러깅(plug)하지 않을 정도로 충분히 얇을 수 있다. 제 2 보호 층은 1000 미크론 미만의 두께를 가질 수 있다. 일 실시예에서, 제 2 보호 층(308)은 약 20 미크론 미만의 두께를 갖는다. 추가의 실시예에서, 제 2 보호 층은 약 0.5 미크론 내지 약 7 미크론의 두께를 갖는다.
[0046] 대안적인 실시예에서, 제 1 보호 층(330)이 생략될 수 있다. 따라서, Y3Al5O12(YAG), Er3Al5O12(EAG), YF3 또는 Y4Al2O9(YAM)의 단일의 보호 층 만이, 바디(305)의 하나 또는 그 초과의 표면들 위에 증착될 수 있다.
IAD 증착된 YAM, YF3, YAG 및 EAG에 대한 재료 특성들
특성 YAM YF3 YAG EAG
브레이크다운 전압(V/5㎛ 코팅) 695 522 1080 900
1.6mm 알루미나에 대한 유전 상수 스택 9.2 9.76 +/-0.01 9.54
1.6mm 알루미나에 대한 손실 탄젠트 스택 9E-4 4E-4 4E-4
1.6mm 알루미나에 대한 열 전도율 스택(W/m-K) 20.1 19.2
92% Al2O3에 대한 접착력(MPa) >27 >27 >27 >27
허미시티(Hermicity)(누설률)
(cm3/s)
<1E-10 2.6E-9 4.4E-10 9.5E-10
경도(GPa) 3.411 8.5 9.057
마모율(nm/RFhr) 0.28 0.176
결정 구조 A A A A
[0047] 표 1은 IAD 증착된 YAM, YF3, YAG 및 EAG에 대한 재료 특성들을 도시한다. 도시된 바와 같이, IAD 증착된 YAM의 5 미크론(㎛) 코팅은 695 볼트(V)의 브레이크다운 전압을 갖는다. IAD 증착된 YF3의 5 ㎛ 코팅은 522 V의 브레이크다운 전압을 갖는다. IAD 증착된 YAG의 5 ㎛ 코팅은 1080 V의 브레이크다운 전압을 갖는다. IAD 증착된 EAG의 5 ㎛ 코팅은 900 V의 브레이크다운 전압을 갖는다.
[0048] 1.6mm 알루미나에 대한 YF3의 유전 상수는 약 9.2 이고, YAG 박막의 유전 상수는 약 9.76 이며, 그리고 EAG 박막의 유전 상수는 약 9.54 이다. 1.6mm 알루미나에 대한 YF3 박막의 손실 탄젠트는 약 9E-4 이고, YAG 박막의 손실 탄젠트는 약 4E-4 이며, 그리고 EAG 박막의 손실 탄젠트는 약 4E-4 이다. YAG 박막의 열전도율은 약 20.1 W/m-K 이며, 그리고 EAG 박막의 열전도율은 약 19.2 W/m-K 이다.
[0049] 알루미나 기판에 대한 박막 보호 층들의 접착력 세기는, 식별되는 세라믹 재료들 각각에 대해 27 메가 파스칼(MPa) 초과일 수 있다. 접착력 세기는, 기판으로부터 박막 보호 층을 분리시키는 데에 사용되는 힘의 양을 측정함으로써 결정될 수 있다.
[0050] 허미시티(hermicity)는, 박막 보호 층을 사용하여 달성될 수 있는 밀봉 용량(sealing capacity)을 측정한다. 도시된 바와 같이, 약 2.6E-9 cm3/s(cubic centimeters per second)의 He 누설률은 YF3를 사용하여 달성될 수 있고, 약 4.4E-10의 He 누설률은 YAG를 사용하여 달성될 수 있으며, 그리고 약 9.5E-10의 He 누설률은 EAG를 사용하여 달성될 수 있다. 더 낮은 He 누설률들은 개선된 밀봉을 나타낸다. 예시적인 박막 보호 층들 각각은, 전형적인 Al2O3 보다 더 낮은 He 누설률을 갖는다.
[0051] Y3Al5O12, Y4Al2O9, Er3Al5O12, 및 YF3 각각은, 플라즈마 프로세싱 동안 마모(wear)를 견딜 수 있는 경도를 갖는다. 도시된 바와 같이, YF3는 약 3.411 기가 파스칼(GPa)의 비커스 경도(Vickers hardness)(5 Kgf)를 갖고, YAG는 약 8.5 GPa의 경도를 가지며, 그리고 EAG는 약 9.057 GPa의 경도를 갖는다. YAG의 측정된 마모율은 약 0.28 nm/RFhr(nanometers per radio frequency hour)이며, 그리고 EAG의 마모율은 약 0.176 nm/RFhr 이다.
[0052] 몇몇 실시예들에서, Y3Al5O12, Y4Al2O9, Er3Al5O12, 및 YF3 는, 상기 식별되는 재료 특성들 및 특징들이 30%까지 만큼 달라질 수 있도록 변경될 수 있음을 주목한다. 따라서, 이러한 재료 특성들에 대해 설명되는 값들은 달성가능한 예시적인 값들로서 이해되어야 한다. 본원에서 설명되는 세라믹 박막 보호 층들은 제공되는 값들로 제한되는 것으로서 이해되서는 안된다.
[0053] 도 4는 물품(400)의 일 실시예의 측단면도를 예시하며, 여기서, 물품(400)은, 물품(400)의 바디(405) 위에 증착된 박막 보호 층 스택(406)을 갖는다. 대안적인 실시예에서, 박막 보호 층 스택(406)은 SiC 또는 SiN의 제 1 보호 층 위에 증착될 수 있다.
[0054] 박막 보호 층 스택(406) 내의 하나 또는 그 초과의 박막 보호 층(예를 들어, 제 1 층(408) 및/또는 제 2 층(410))은 YAG, YAM, EAG 또는 YF3 중 하나일 수 있다. 부가적으로, 보호 층들 중 일부는, Er2O3, Gd2O3, Gd3Al5O12, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물을 포함할 수 있다. 일 실시예에서, 2개의 인접하는 박막 보호 층들에 대해, 동일한 세라믹 재료가 사용되지 않는다. 하지만, 다른 실시예에서, 인접하는 층들은 동일한 세라믹으로 구성될 수 있다.
[0055] 도 5는 물품(500)의 다른 실시예의 측단면도를 예시하며, 여기서, 물품(500)은, 물품(500)의 바디(505) 위에 증착되는 박막 보호 층 스택(506)을 갖는다. 대안적으로, 박막 보호 층 스택(506)은 SiC 또는 SiN 층 위에 증착될 수 있다. 물품(500)은, 박막 보호 층 스택(506)이 4개의 박막 보호 층들(508, 510, 515, 518)을 갖는 것을 제외하고, 물품(400)과 유사하다.
[0056] (이를테면 예시된 것들과 같은) 박막 보호 층 스택들은 임의의 개수의 박막 보호 층들을 가질 수 있다. 스택 내의 박막 보호 층들은 모두 동일한 두께를 가질 수 있거나, 또는 이들은 변화하는 두께들을 가질 수 있다. 박막 보호 층들 각각은, 대략 50 미크론 미만, 몇몇 실시예들에서는 대략 10 미크론 미만의 두께를 가질 수 있다. 하나의 예에서, 제 1 층(408)은 3 미크론의 두께를 가질 수 있고, 제 2 층(410)은 3 미크론의 두께를 가질 수 있다. 다른 예에서, 제 1 층(508)은 2 미크론의 두께를 갖는 YAG 층일 수 있고, 제 2 층(510)은 1 미크론의 두께를 갖는 화합물 세라믹(compound ceramic) 층일 수 있고, 제 3 층(515)은 1 미크론의 두께를 갖는 YAG 층일 수 있으며, 그리고 제 4 층(518)은 1 미크론의 두께를 갖는 화합물 세라믹 층일 수 있다.
[0057] 사용하기 위한 세라믹 층들의 조성(composition) 및 세라믹 층들의 개수의 선택은, 요구되는 애플리케이션 및/또는 코팅되는 물품의 타입에 기초할 수 있다. IAD에 의해 형성되는 EAG, YAG 및 YF3 박막 보호 층들은 전형적으로, 비정질 구조를 갖는다. 대조적으로, IAD 증착되는 화합물 세라믹 및 Er2O3 층들은 전형적으로, 결정질(crystalline) 또는 나노-결정질(nano-crystalline) 구조를 갖는다. 결정질 및 나노-결정질 세라믹 층들은 일반적으로, 비정질 세라믹 층들 보다 더 부식 저항적일 수 있다. 하지만, 몇몇 경우들에서, 결정질 구조 또는 나노-결정질 구조를 갖는 박막 세라믹 층들은 가끔씩(occasional)의 수직 크랙(vertical crack)들(대략적으로 막 두께의 방향으로 그리고 코팅되는 표면에 대해 대략적으로 수직으로 연장(run)하는 크랙들)을 겪을 수 있다. 이러한 수직 크랙들은 격자 미스매치(lattice mismatch)에 의해 야기될 수 있으며, 플라즈마 케미스트리(plasma chemistry)들에 대한 공격의 포인트들일 수 있다. 물품이 가열 및 냉각될 때 마다, 박막 보호 층과 그 박막 보호 층이 코팅하는 기판 간의 열 팽창 계수들의 미스매치는 박막 보호 층 상에 응력을 야기한다. 이러한 응력은 수직 크랙들에 집중될 수 있다. 이는, 박막 보호 층으로 하여금, 그 박막 보호 층이 코팅하는 기판으로부터 결국 벗겨지도록 야기할 수 있다. 대조적으로, 수직 크랙들이 없다면, 응력은 박막에 걸쳐서 대략적으로 균등하게 분포된다.
[0058] 따라서, 일 실시예에서, 박막 보호 층 스택(406) 내의 제 1 층(408)은 비정질 세라믹, 이를테면 YAG 또는 EAG 이며, 그리고 박막 보호 층 스택(406) 내의 제 2 층(410)은 결정질 또는 나노-결정질 세라믹, 이를테면 세라믹 화합물 또는 Er2O3 이다. 이러한 실시예에서, 제 2 층(410)은 제 1 층(408)과 비교하여 더 큰 플라즈마 저항을 제공할 수 있다. 제 2 층(410)을, 바디(405) 위에(또는 SiC 또는 SiN 보호 층 위에) 직접적으로 형성하는 것인 아니라, 제 1 층(408) 위에 형성함으로써, 제 1 층(408)은 이후의 층에 대한 격자 미스매치를 최소화하기 위한 버퍼의 역할을 한다. 따라서, 제 2 층(410)의 수명이 증가될 수 있다.
[0059] 다른 예에서, 바디, Y3Al5O12(YAG), Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물 각각은 상이한 열 팽창 계수를 가질 수 있다. 2개의 인접하는 재료들 간의 열 팽창 계수의 미스매치가 더 클수록, 이러한 재료들 중 하나가 결국 크래킹되거나, 벗겨지거나, 그렇지 않으면 다른 재료에 대한 그 접착을 잃게 될 가능성이 더 커지게 된다. 보호 층 스택들(406, 506)은, 인접하는 층들 간의 (또는 층과 바디(405, 505) 간의) 열 팽창 계수의 미스매치를 최소화하는 방식으로 형성될 수 있다. 예를 들어, 바디(505)는 그래파이트일 수 있으며, 그리고 EAG는, 그래파이트의 열 팽창 계수와 가장 가깝고, 그 다음으로 YAG에 대한 열 팽창 계수와 가장 가깝고, 그 다음으로 화합물 세라믹에 대한 열 팽창 계수와 가장 가까운 열 팽창 계수를 가질 수 있다. 따라서, 일 실시예에서, 제 1 층(508)은 EAG일 수 있고, 제 2 층(510)은 YAG일 수 있으며, 그리고 제 3 층(515)은 화합물 세라믹일 수 있다.
[0060] 다른 예에서, 보호 층 스택(506) 내의 층들은 2개의 상이한 세라믹들의 교번하는 층들일 수 있다. 예를 들어, 제 1 층(508) 및 제 3 층(515)은 YAG일 수 있고, 제 2 층(510) 및 제 4 층(518)은 EAG 또는 YF3일 수 있다. 교번하는 층들에서 사용되는 하나의 재료가 비정질이고 그리고 교번하는 층들에서 사용되는 다른 재료가 결정질 또는 나노-결정질인 경우들에 있어서, 이러한 교번하는 층들은 상기 설명된 장점들과 유사한 장점들을 제공할 수 있다.
[0061] 다른 예에서, 구별가능한 색깔을 갖는 박막 코팅이 박막 보호 층 스택(406 또는 506)에서의 포인트에 증착될 수 있다. 예를 들어, 구별가능한 색깔을 갖는 박막 코팅은 박막 스택의 바닥에 증착될 수 있다. 구별가능한 색깔을 갖는 박막 코팅은, 예를 들어, Er2O3 또는 SmO2 일 수 있다. 기술자가 구별가능한 색깔을 볼 때, 기술자들은 서셉터가 교체되거나 리퍼비싱(refurbished) 되어야 함을 인식하게 된다.
[0062] 몇몇 실시예들에서, 박막 보호 층 스택들(406, 506) 내의 층들 중 하나 또는 그 초과는 열 처리를 사용하여 형성되는 전이(transition) 층들이다. 바디(405, 505)가 세라믹 바디이면, 바디와 박막 보호 층 간의 상호 확산(interdiffusion)을 촉진시키기 위해, 고온 열 처리가 수행될 수 있다. 부가적으로, 열 처리는, 인접하는 박막 보호 층들 간의 또는 두꺼운 보호 층과 박막 보호 층 간의 상호 확산을 촉진시키기 위해 수행될 수 있다. 특히, 전이 층은 비-다공성(porous) 층일 수 있다. 전이 층은 2개의 세라믹들 간의 확산 본드(diffusion bond)의 역할을 할 수 있으며, 그리고 인접하는 세라믹들 간에 개선된 접착력을 제공할 수 있다. 이는, 보호 층이 플라즈마 프로세싱 동안 크래킹되거나, 벗겨지거나, 또는 스트리핑(stripping)되는 것을 막는 것을 도울 수 있다.
[0063] 열 처리는, 약 24 시간까지의 지속기간(예를 들어, 일 실시예에서, 3-6 시간) 동안의 약 1400-1600℃ 까지에서의 열 처리일 수 있다. 이는, 제 1 박막 보호 층과, 인접하는 세라믹 바디, 두꺼운 보호 층 또는 제 2 박막 보호 층 중 하나 또는 그 초과 사이에 상호-확산 층을 생성할 수 있다.
[0064] 도 6은 물품 위에 하나 또는 그 초과의 보호 층들을 형성하기 위한 프로세스(600)의 일 실시예를 예시한다. 프로세스(600)의 블록(605)에서, 서셉터가 제공된다. 서셉터는 ALD 프로세싱 챔버를 위한 것일 수 있다. 일 실시예에서, 서셉터는 열 전도성 반금속 바디(양호한 열 전도율을 갖는 반금속 바디)를 갖는다. 일 실시예에서, 열 전도성 반금속 바디는 그래파이트 바디이다. 대안적으로, 비-열 전도성 서셉터(non-thermally conductive susceptor)가 제공될 수 있다. 비-열 전도성 서셉터는 카본-글래스(carbon-glass)로 구성되는 바디를 가질 수 있다. 다른 실시예들에서, 서셉터 이외의 물품들이 제공될 수 있다. 예를 들어, ALD 프로세싱 챔버를 위한 알루미늄 샤워헤드가 제공될 수 있다.
[0065] 일 실시예에서, 블록(608)에서, 플라즈마 저항성 세라믹 플러그들이 서셉터 내의 홀들 내로 삽입된다. 플라즈마 저항성 세라믹 플러그들은 홀들 내로 프레스 피팅될 수 있다. 대안적인 실시예에서, 플라즈마 저항성 세라믹 플러그들은 블록(610) 이후에 서셉터 내의 홀들 내로 삽입된다. 다른 실시예에서는, 어떠한 플라즈마 저항성 세라믹 플러그들도 서셉터 내의 홀들 내로 삽입되지 않는다.
[0066] 블록(610)에서, 제공되는 서셉터 위에 제 1 보호 층을 증착하기 위해, CVD 프로세스가 수행된다. 일 실시예에서, 제 1 보호 층은, 서셉터의 플라즈마 대면 표면(plasma facing surface) 만을 덮는다. 다른 실시예에서, 제 1 보호 층은 서셉터의 전방(front) 및 후방(back)을 덮는다. 다른 실시예에서, 제 1 보호 층은 서셉터의 전방, 후방 및 측면들을 덮는다. 일 실시예에서, 제 1 보호 층은 SiC 이다. 대안적으로, 제 1 보호 층은 SiN 또는 다른 적합한 재료일 수 있다. 제 1 보호 층은 대략 200 미크론까지의 두께를 가질 수 있다. 서셉터의 표면 피처들은 그래파이트 내로 머시닝될(machined) 수 있다. 일 실시예에서, 제 1 보호 층은, 증착 이후 폴리싱된다(polished).
[0067] 블록(615)에서, 서셉터는 섭씨 200도 초과의 온도로 가열된다. 예를 들어, 서셉터는 섭씨 200-400도의 온도로 가열될 수 있다. 일 실시예에서, 서셉터는 섭씨 300도의 온도로 가열된다.
[0068] 블록(620)에서, 서셉터가 가열되는 동안 제 1 보호 층의 하나 또는 그 초과의 표면들 위에 제 2 보호 층을 증착하기 위해, IAD가 수행된다. 일 실시예에서, 제 2 보호 층은 제 1 보호 층의 플라즈마 대면 표면 만을 덮는다. 다른 실시예에서, 제 2 보호 층은 서셉터의 전방 및 후방 상의 제 1 보호 층을 덮는다. 다른 실시예에서, 제 2 보호 층은 제 1 보호 층의 모든 표면을 덮는다. 일 실시예에서, IAD 증착 이전에, 이온 총(ion gun)들에 의해 산소 및/또는 아르곤 이온들이 서셉터로 지향된다. 산소 및 아르곤 이온들은 제 1 보호 층 상의 임의의 표면 유기 오염물(organic contamination)을 버닝하여 제거(burn off)하고, 임의의 남아있는 입자들을 확산(disperse)시킬 수 있다.
[0069] 수행될 수 있는 IAD의 2개의 타입들은 EB-IAD 및 IBS-IAD를 포함한다. EB-IAD는 증발(evaporation)에 의해 수행될 수 있다. IBS-IAD는, 고체 타겟 재료를 스퍼터링함으로써 수행될 수 있다. 제 2 보호 층은 Y3Al5O12, Y4Al2O9, Er3Al5O12, 또는 YF3 일 수 있다. 제 2 보호 층은 비정질일 수 있고, 450℃의 온도들에서 크래킹에 대해 저항적일 수 있다. 일 실시예에서, 보호 층은, 550℃까지의 반복되는 열 사이클링(thermal cycling) 이후에 조차도, 어떠한 크래킹도 겪지 않을 수도 있다. 추가의 실시예에서, 제 2 보호 층은 650℃까지의 룸 온도의 온도들에서 크래킹에 대해 저항적이다. 제 2 보호 층은, 제 2 보호 층이 제 1 보호 층 및 서셉터(제 1 보호 층 및 서셉터 양자 모두는 제 2 보호 층과 상이한 열 팽창 계수를 가질 수 있음) 위에 증착됨에도 불구하고, 크래킹에 대해 저항적일 수 있다.
[0070] 제 2 보호 층에 대한 증착 레이트는 초당 약 1-8 옹스트롬일 수 있으며, 증착 파라미터들을 조정함으로써 달라질 수 있다. 일 실시예에서, 증착 레이트는 1-2 Å/s(Angstroms per second)이다. 증착 레이트는 또한, 증착 동안 달라질 수 있다. 일 실시예에서, 기판 상에 컨포밍 웰 부착 코팅(conforming well adhering coating)을 달성하기 위해, 약 0.25-1 Å/s의 초기 증착 레이트가 사용된다. 이후, 더 짧고 더 비용 효율적인 코팅 실행(run)으로 더 두꺼운 코팅을 달성하기 위해, 2-10 Å/s의 증착 레이트가 사용된다.
[0071] 제 2 보호 층은, 매우 컨포밍하고, 두께가 균일하며, 그리고 재료(이 재료 위에 제 2 보호 층이 증착됨)에 대한 양호한 접착력을 갖는 박막 보호 층일 수 있다. 일 실시예에서, 제 2 보호 층은 1000 미크론 미만의 두께를 갖는다. 추가의 실시예에서, 제 2 보호 층은 5-50 미크론의 두께를 갖는다. 또 다른 추가의 실시예에서, 제 2 보호 층은 20 미크론 미만의 두께를 갖는다.
[0072] 블록(625)에서, 임의의 부가적인 보호 층들(예를 들어, 임의의 부가적인 박막 보호 층들)을 증착할지의 여부에 대한 결정이 이루어진다. 부가적인 보호 층이 증착되어야 하는 경우, 프로세스는 블록(630)으로 계속된다. 블록(630)에서, IAD를 사용하여 제 2 보호 층 위에 다른 보호 층이 형성된다.
[0073] 일 실시예에서, 다른 보호 층은, 제 2 보호 층의 세라믹과 상이한 세라믹으로 구성된다. 일 실시예에서, 다른 보호 층은, Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, YF3, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9을 포함하는 세라믹 화합물 중 하나이다.
[0074] 다른 실시예에서, 다른 보호 층은, 제 2 보호 층의 세라믹과 동일한 세라믹으로 구성된다. 예를 들어, 제 2 보호 층의 형성 이후, 서셉터 위에 마스크가 배치될 수 있다. 이 마스크는 개구들을 가질 수 있으며, 여기에서, 피처들, 이를테면 메사들 및 시일(seal)들이 서셉터 상에(예를 들어, 서셉터 내의 함몰부들 내에) 형성된다. 이후, 부가적인 보호 층이 증착되어, 이러한 피처들을 형성할 수 있다. 일 실시예에서, 피처들(예를 들어, 메사들)은 10-20 미크론의 높이를 갖는다.
[0075] 이후, 방법은 블록(625)으로 복귀된다. 블록(625)에서, 어떠한 부가적인 박막 보호 층들도 적용되지 않을 것이라면, 프로세스는 끝난다.
[0076] 도 7a는, 이를테면 이온 보조 증착(IAD)과 같은, 에너제틱 입자(energetic particle)들을 활용하는 다양한 증착 기법들에 대해 적용가능한 증착 메커니즘을 도시한다. 예시적인 IAD 방법들은, 이온 충격을 포함하는 증착 프로세스들, 이를테면, 본원에서 설명되는 바와 같은 플라즈마 저항성 코팅들을 형성하기 위한, 이온 충격의 존재하에서의 스퍼터링(예를 들어, IBS-IAD), 및 증발(예를 들어, ARE(activated reactive evaporation) 또는 EB-IAD)을 포함한다. IAD 방법들 중 임의의 방법은, 반응성 가스 종, 이를테면 O2, N2, 할로겐들 등의 존재하에서 수행될 수 있다.
[0077] 도시된 바와 같이, 박막 보호 층(715)은, 이온들과 같은 에너제틱 입자들(703)의 존재하에서의 증착 재료들(702)의 축적에 의해 형성된다. 증착 재료들(702)은 원자들, 이온들, 라디칼들, 또는 이들의 혼합(mixture)들을 포함한다. 에너제틱 입자들(703)은, 박막 보호 층(715)이 형성될 때, 박막 보호 층(715)에 충돌하여 그 박막 보호 층(715)을 압축(compact)시킬 수 있다.
[0078] 일 실시예에서, 본원의 다른 곳에서 이전에 설명된 바와 같이, 박막 보호 층(715)을 형성하기 위해 IAD가 활용된다. 도 7b는 IAD 증착 장치의 개략도를 도시한다. 도시된 바와 같이, 재료 소스(752)(타겟 바디라고도 또한 지칭됨)는 증착 재료들(702)의 플럭스(flux)를 제공하는 한편, 에너제틱 입자 소스(755)는 에너제틱 입자들(703)의 플럭스를 제공하며, 이들 양자 모두는 IAD 프로세스 전반에 걸쳐서 물품(750)에 충돌한다. 에너제틱 입자 소스(755)는 산소 또는 다른 이온 소스일 수 있다. 에너제틱 입자 소스(755)는 또한, 입자 발생 소스들로부터(예를 들어, 플라즈마, 반응성 가스들로부터, 또는 증착 재료들을 제공하는 재료 소스로부터) 유래하는 다른 타입들의 에너제틱 입자들, 이를테면 비활성 라디칼들, 뉴트론 원자들, 및 나노-크기의 입자들을 제공할 수 있다. 증착 재료들(702)을 제공하기 위해 사용되는 재료 소스(예를 들어, 타겟 바디)(752)는, 박막 보호 층(715)이 구성되어야 하는 동일한 세라믹에 상응하는 벌크 소결된 세라믹일 수 있다. 예를 들어, 재료 소스는 벌크 소결된 세라믹 화합물 바디, 또는 벌크 소결된 YAG, Er2O3, Gd2O3, Er3Al5O12, YF3, 또는 Gd3Al5O12 일 수 있다. IAD는, 재료 및 에너제틱 이온 소스들을 제공하기 위해 하나 또는 그 초과의 플라즈마들 또는 빔들을 활용할 수 있다. 대안적으로, 재료 소스는 금속일 수 있다.
[0079] 플라즈마 저항성 코팅의 증착 동안, 반응성 종이 또한 제공될 수 있다. 일 실시예에서, 에너제틱 입자들(703)은, 비-반응성 종(예를 들어, Ar) 또는 반응성 종(예를 들어, O) 중에서 적어도 하나를 포함한다. 추가의 실시예들에서, 박막 보호 층(715)에 대해 가장 약하게 본딩된 증착 재료(deposited material)를 선택적으로 제거하는 경향을 더 증가시키기 위해, 반응성 종, 이를테면 CO 및 할로겐들(Cl, F, Br 등)이 또한, 플라즈마 저항성 코팅의 형성 동안 유입될 수 있다.
[0080] IAD 프로세스들을 의하면, 에너제틱 입자들(703)은, 다른 증착 파라미터들과 관계없이, 에너제틱 이온(또는 다른 입자) 소스(755)에 의해 제어될 수 있다. 에너제틱 이온 플럭스의 에너지(예를 들어, 속도), 밀도 및 입사각이, 박막 보호 층의 조성, 구조, 결정 방위(crystalline orientation) 및 그레인 사이즈(grain size)를 제어하기 위해 조정될 수 있다. 조정될 수 있는 부가적인 파라미터들은, 증착의 지속기간 뿐만 아니라, 증착 동안의 물품의 온도이다.
[0081] 코팅을 치밀화(densify)하기 위해, 그리고 기판의 표면 상의 재료의 증착을 가속시키기 위해, 이온 보조 에너지(ion assist energy)가 사용된다. 이온 보조 에너지는, 이온 소스의 전압 및 전류 모두를 사용하여 달라질 수 있다. 고 및 저 코팅 밀도를 달성하여, 코팅의 응력 및 또한 코팅의 결정도(crystallinity)를 조작하기 위해, 전압 및 전류가 조정될 수 있다. 이온 보조 에너지는 대략 50-500 V 및 대략 1-50 암페어(A)의 범위일 수 있다. 이온 보조 에너지는 또한, 코팅의 화학량론(stoichiometry)을 의도적으로 변경하는 데에 사용될 수 있다. 예를 들어, 금속성 타겟(metallic target)이 증착 동안 사용될 수 있고, 금속 산화물로 변환될 수 있다.
[0082] 코팅 온도는, 증착 챔버 및/또는 기판을 가열하기 위해 가열기들을 사용함으로써 그리고 증착 레이트를 조정함으로써, 제어될 수 있다. 증착 동안의 기판(물품) 온도는 대략적으로, 저온(일 실시예에서, 전형적인 룸 온도인 약 120-150℃) 및 고온(일 실시예에서, 약 270℃ 또는 그 초과)으로 분할될 수 있다. 일 실시예에서, 약 300℃의 증착 온도가 사용된다. 대안적으로, 더 높거나(예를 들어, 450℃까지) 또는 더 낮은(예를 들어, 룸 온도까지 아래로) 증착 온도들이 사용될 수 있다. 증착 온도는, 막 응력, 결정도, 및 다른 코팅 특성들을 조정하는 데에 사용될 수 있다.
[0083] 워킹 거리(working distance)는 전자 빔(또는 이온 빔) 총(gun)과 기판 간의 거리이다. 워킹 거리는, 가장 높은 균일성을 갖는 코팅을 달성하기 위해 달라질 수 있다. 부가적으로, 워킹 거리는 코팅의 밀도 및 증착 레이트에 영향을 미칠 수 있다.
[0084] 증착 각도(deposition angle)는 전자 빔(또는 이온 빔)과 기판 간의 각도이다. 증착 각도는, 기판의 배향 및/또는 위치를 변경함으로써 달라질 수 있다. 증착 각도를 최적화함으로써, 3차원 기하형상들에서의 균일한 코팅이 달성될 수 있다.
[0085] EB-IAD 및 IBS-IAD 증착들은 넓은 범위의 표면 조건들에 대해 실행가능하다. 하지만, 균일한 코팅 커버리지를 달성하기 위해서는, 폴리싱된 표면들이 선호된다. IAD 증착 동안 기판을 홀딩(hold)하기 위해, 다양한 고정물들이 사용될 수 있다.
[0086] 도 8은 본 발명의 실시예들에 따라 형성되는 박막 보호 층들에 대한 부식률들을 예시한다. 도 8은, NF3 플라즈마 케미스트리에 대해 노출되는 경우, 박막 보호 층들의 부식률들을 도시한다. 도시된 바와 같이, IAD 증착된 박막 보호 층들은, SiC와 비교하여, 훨씬 개선된 부식 저항을 나타낸다. 예를 들어, SiC는, 2.5 ㎛/RFHr(㎛ per radio frequency hour) 초과의 부식률을 나타내었다. 대조적으로, IAD 증착된 EAG, YAG 및 YF3 박막 보호 층들 모두는, 0.2 ㎛/RFHr 미만의 부식률을 나타내었다.
[0087] 이전의 설명은, 본 발명의 수개의 실시예들의 양호한 이해를 제공하기 위해, 특정한 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정한 세부사항들을 설명한다. 그러나, 본 발명의 적어도 몇몇 실시예들이, 그러한 특정한 세부사항들 없이 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해, 잘-알려진 컴포넌트들 또는 방법들은 상세히 설명되지 않거나, 간단한 블록도 포맷으로 제시된다. 따라서, 설명된 특정한 세부사항들은 단지 예시적인 것일 뿐이다. 특정한 구현들이 그러한 예시적인 세부사항들로부터 변화될 수 있고, 여전히, 본 발명의 범위 내에 속하는 것으로 고려될 수 있다.
[0088] 본 명세서 전반에 걸친, "일 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현들이 반드시 모두, 동일한 실시예를 지칭하는 것은 아니다. 부가하여, "또는"이라는 용어는, 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. "약" 또는 "대략"의 용어가 본원에서 사용되는 경우, 이는 제시되는 공칭 값이 ±30% 내에서 정확함을 의미하는 것으로 의도된다.
[0089] 본원에서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있도록, 또는 특정한 동작이 적어도 부분적으로 다른 동작들과 동시에 수행될 수 있도록, 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 하위-동작들 또는 명령들은 간헐적인 및/또는 교번적인 방식으로 이루어질 수 있다.
[0090] 상기 설명은 제한적인 것이 아니라 예시적인 것으로 의도됨을 이해해야 한다. 상기 설명을 읽고 이해할 시에, 다수의 다른 실시예들이 당업자에게 명백할 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들에 관하여, 그러한 청구항들에게 권리가 부여되는 등가물들의 전체 범위와 함께, 결정되어야 한다.

Claims (15)

  1. 물품(article)으로서,
    그래파이트(graphite)를 포함하는 바디(body);
    상기 바디의 표면 상의 제1 보호 층 ― 상기 제1 보호 층은 열 전도성 세라믹이며, 상기 제1 보호 층은 실리콘 탄화물(silicon carbide)을 포함하고 5-100 미크론의 두께를 가짐 ―; 및
    상기 제1 보호 층 상의 제2 보호 층
    을 포함하고,
    상기 제2 보호 층은, 최고 섭씨 650도의 온도에서 크래킹(cracking)에 저항적인 플라즈마 저항성 세라믹 박막을 포함하며,
    상기 제2 보호 층은, 하부 표면의 형상을 따르는 컨포밍(conforming) 층이며 1% 미만의 공극율(porosity)을 갖고,
    상기 제2 보호 층은, 5-50 미크론의 두께를 가지며 Er3Al5O12, Y3Al5O12 및 YF3으로 이루어진 그룹으로부터 선택되는 세라믹을 포함하는,
    물품.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 물품은 원자 층 증착 챔버를 위한 서셉터인,
    물품.
  5. 제 4 항에 있어서,
    상기 제1 보호 층은 복수의 함몰부(depression)들을 포함하며, 상기 복수의 함몰부들 각각은, 웨이퍼를 지지하도록 구성되고 그리고 복수의 표면 피처(surface feature)들을 가지며,
    상기 제2 보호 층은 상기 복수의 함몰부들 및 상기 복수의 표면 피처들을 따르는,
    물품.
  6. 삭제
  7. 제 1 항에 있어서,
    상기 제1 보호 층 상의 보호 층 스택을 더 포함하고,
    상기 보호 층 스택은 적어도 상기 제2 보호 층, 및 상기 제2 보호 층을 덮는 제3 보호 층을 포함하며,
    상기 제3 보호 층은 20 미크론 미만의 두께를 가지며, 그리고 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 또는 Y2O3-ZrO2의 고용체(solid-solution)와 Y4Al2O9를 포함하는 세라믹 화합물 중 적어도 하나를 포함하는,
    물품.
  8. 제 1 항에 있어서,
    상기 제2 보호 층은 불소계 화학적 성질(chemistry)을 갖는 플라즈마들에 대해 부식 저항성(erosion resistant)인,
    물품.
  9. 제 1 항에 있어서,
    상기 바디 내의 복수의 홀(hole)들 내의 복수의 플라즈마 저항성 플러그들을 더 포함하며,
    상기 제2 보호 층은 상기 복수의 플라즈마 저항성 플러그들을 덮는,
    물품.
  10. 제 9 항에 있어서,
    상기 복수의 플라즈마 저항성 플러그들은, AlN, Y2O3, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물 중 적어도 하나를 포함하는 소결된 세라믹으로 구성되는,
    물품.
  11. 방법으로서,
    그래파이트 바디를 포함하는 물품을 제공하는 단계;
    상기 그래파이트 바디의 표면 상에 제1 보호 층을 증착하는 단계 ― 상기 제1 보호 층은 열 전도성 세라믹이며, 상기 제1 보호 층은 실리콘 탄화물을 포함하고 5-100 미크론의 두께를 가짐 ―; 및
    상기 제1 보호 층 위에 제2 보호 층을 증착하기 위해, 이온 보조 증착(ion assisted deposition)을 수행하는 단계
    를 포함하고,
    상기 제2 보호 층은, 최고 섭씨 650도의 온도들에서 크래킹에 저항적인 플라즈마 저항성 세라믹 박막을 포함하며,
    상기 제2 보호 층은, 하부 표면의 형상을 따르는 컨포밍 층이며 1% 미만의 공극율을 갖고,
    상기 제2 보호 층은, 5-50 미크론의 두께를 가지며 Er3Al5O12, Y3Al5O12 및 YF3으로 이루어진 그룹으로부터 선택되는 세라믹을 포함하는,
    방법.
  12. 제 11 항에 있어서,
    상기 물품을 섭씨 200-400도의 온도로 가열하는 단계; 및
    상기 물품이 가열되는 동안, 상기 이온 보조 증착을 수행하는 단계
    를 더 포함하는,
    방법.
  13. 제 11 항에 있어서,
    상기 제1 보호 층을 증착하는 단계는 화학 기상 증착 프로세스를 수행하는 단계를 포함하는,
    방법.
  14. 삭제
  15. 제 11 항에 있어서,
    상기 방법은:
    상기 제1 보호 층을 증착하는 단계를 수행하기 전에 또는 상기 이온 보조 증착을 수행하는 단계 전에, 상기 그래파이트 바디 내의 복수의 홀들 내로 복수의 플라즈마 저항성 플러그들을 삽입하는 단계를 더 포함하고,
    상기 복수의 플라즈마 저항성 플러그들은, AlN, Y2O3, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물 중 적어도 하나를 포함하는 소결된 세라믹으로 구성되는,
    방법.
KR1020167033014A 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅 KR102388784B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227012498A KR102493316B1 (ko) 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461984691P 2014-04-25 2014-04-25
US61/984,691 2014-04-25
US14/693,745 US9976211B2 (en) 2014-04-25 2015-04-22 Plasma erosion resistant thin film coating for high temperature application
US14/693,745 2015-04-22
PCT/US2015/027345 WO2015164638A1 (en) 2014-04-25 2015-04-23 Plasma erosion resistant thin film coating for high temperature application

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012498A Division KR102493316B1 (ko) 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅

Publications (2)

Publication Number Publication Date
KR20160145816A KR20160145816A (ko) 2016-12-20
KR102388784B1 true KR102388784B1 (ko) 2022-04-19

Family

ID=54333203

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227012498A KR102493316B1 (ko) 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
KR1020167033014A KR102388784B1 (ko) 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227012498A KR102493316B1 (ko) 2014-04-25 2015-04-23 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅

Country Status (5)

Country Link
US (3) US9976211B2 (ko)
JP (3) JP6709164B2 (ko)
KR (2) KR102493316B1 (ko)
CN (3) CN106133885B (ko)
WO (1) WO2015164638A1 (ko)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US20210202282A1 (en) * 2017-10-19 2021-07-01 Evatec Ag Method and apparatus for treating a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI713961B (zh) * 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP2023502137A (ja) * 2019-11-22 2023-01-20 ラム リサーチ コーポレーション プラズマチャンバの低温焼結コーティング
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
WO2021241645A1 (ja) * 2020-05-28 2021-12-02 京セラ株式会社 通気性プラグ、基板支持アセンブリおよびシャワープレート
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7242612B2 (ja) * 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113698208B (zh) * 2021-08-24 2022-06-14 南通三责精密陶瓷有限公司 一种等离子刻蚀用碳化硅载盘的制造方法及碳化硅载盘
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
US11735212B1 (en) * 2022-04-25 2023-08-22 Sae Magnetics (H.K.) Ltd. Thermally assisted magnetic head including a record/read separate protective structure, head gimbal assembly and hard disk drive each having the thermally assisted magnetic head
US20240093355A1 (en) * 2022-09-21 2024-03-21 Applied Materials, Inc. Glassy Carbon Shutter Disk For Physical Vapor Deposition (PVD) Chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001262346A (ja) 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
JP2004508728A (ja) * 2000-09-05 2004-03-18 サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド 多孔領域を有する静電チャック
JP2009231448A (ja) 2008-03-21 2009-10-08 Sumco Corp 気相成長装置用サセプタ
JP2012508467A (ja) * 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2012517701A (ja) 2009-02-11 2012-08-02 アプライド マテリアルズ インコーポレイテッド 非接触基板処理

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
EP1013623B1 (en) * 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4503270B2 (ja) 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP2008016795A (ja) 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7772752B2 (en) * 2007-03-29 2010-08-10 Ngk Spark Plug Co., Ltd. Plasma-jet spark plug
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5227466B2 (ja) * 2011-02-25 2013-07-03 日本特殊陶業株式会社 プラズマジェット点火プラグ
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
CN104066873A (zh) * 2011-09-09 2014-09-24 英诺文特科技公司 带涂层坩埚和制造带涂层坩埚的方法
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
BR112014015249B1 (pt) 2011-12-19 2021-04-13 Praxair S.T. Technology, Inc Composição de pasta fluida aquosa
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001262346A (ja) 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
JP2004508728A (ja) * 2000-09-05 2004-03-18 サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド 多孔領域を有する静電チャック
JP2009231448A (ja) 2008-03-21 2009-10-08 Sumco Corp 気相成長装置用サセプタ
JP2012508467A (ja) * 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2012517701A (ja) 2009-02-11 2012-08-02 アプライド マテリアルズ インコーポレイテッド 非接触基板処理

Also Published As

Publication number Publication date
JP7175289B2 (ja) 2022-11-18
WO2015164638A1 (en) 2015-10-29
JP2023017933A (ja) 2023-02-07
KR102493316B1 (ko) 2023-01-27
CN106133885B (zh) 2020-03-03
US20180230587A1 (en) 2018-08-16
JP2017514991A (ja) 2017-06-08
KR20220051276A (ko) 2022-04-26
CN111254436B (zh) 2023-02-17
CN111270223A (zh) 2020-06-12
US20210010126A1 (en) 2021-01-14
US9976211B2 (en) 2018-05-22
US10815562B2 (en) 2020-10-27
JP6709164B2 (ja) 2020-06-10
US11773479B2 (en) 2023-10-03
CN106133885A (zh) 2016-11-16
US20150307982A1 (en) 2015-10-29
KR20160145816A (ko) 2016-12-20
CN111254436A (zh) 2020-06-09
JP2020080412A (ja) 2020-05-28

Similar Documents

Publication Publication Date Title
US11773479B2 (en) Plasma erosion resistant thin film coating for high temperature application
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10119188B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20150311043A1 (en) Chamber component with fluorinated thin film coating
KR102516707B1 (ko) 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착
TWI798594B (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant