CN111270223A - 用于高温应用的耐等离子体腐蚀的薄膜涂层 - Google Patents

用于高温应用的耐等离子体腐蚀的薄膜涂层 Download PDF

Info

Publication number
CN111270223A
CN111270223A CN202010090413.9A CN202010090413A CN111270223A CN 111270223 A CN111270223 A CN 111270223A CN 202010090413 A CN202010090413 A CN 202010090413A CN 111270223 A CN111270223 A CN 111270223A
Authority
CN
China
Prior art keywords
protective layer
plasma
plasma resistant
article
ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010090413.9A
Other languages
English (en)
Inventor
V·菲鲁兹多尔
B·P·卡农戈
J·Y·孙
M·J·萨里纳斯
J·A·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111270223A publication Critical patent/CN111270223A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)

Abstract

诸如基座之类的制品包括热传导材料的主体,所述主体由所述主体表面上方的第一保护层与第二保护层涂覆。第一保护层是热传导陶瓷。第二保护层覆盖第一保护层,并且是可在650℃温度下抵抗破裂的耐等离子体的陶瓷薄膜。

Description

用于高温应用的耐等离子体腐蚀的薄膜涂层
本申请是2015年4月23日提交的申请号为201580017814.9、题为“用于高温应用的耐等离子体腐蚀的薄膜涂层”的申请的分案申请。
技术领域
一般而言,本发明的实施例总体上关于频繁地暴露于高温以及直接或远程等离子体环境的保护性腔室部件。
背景技术
在半导体产业中,通过生产不断减小尺寸的结构的多个制造工艺来制造器件。一些制造工艺(诸如,等离子体蚀刻与等离子体清洁工艺)使基板暴露于高速等离子体流以蚀刻或清洁基板。等离子体可以是高度侵蚀性的,并且可侵蚀暴露于等离子体的处理腔室和其他表面。因此,等离子体喷涂的保护涂层常用来保护处理腔室部件免于侵蚀。
一些制造工艺在高温(例如,超过400℃的温度)下执行。传统的等离子体喷涂的保护涂层对于用于此类工艺的一些腔室部件可能是不适合的。
发明内容
在示例实施例中,制品包括具有热传导材料的主体。制品进一步包括主体表面上的第一保护层,第一保护层是热传导陶瓷。制品进一步包括第一保护层上的第二保护层,第二保护层包括可在高达650℃温度下抵抗破裂的耐等离子体陶瓷薄膜。
在另一示例实施例中,方法包括:提供包括热传导材料主体的制品。方法进一步包括:在热传导材料主体的表面上沉积第一保护层,第一保护层是热传导陶瓷。方法进一步包括:执行离子辅助沉积以在第一保护层上方沉积第二保护层,第二保护层包括可在高达650℃温度下抵抗破裂的耐等离子体的陶瓷薄膜。
在另一示例实施例中,用于原子层沉积腔室的基座包括石墨主体。基座进一步包括石墨主体的表面上的第一保护层,第一保护层包括碳化硅。基座进一步包括第一保护层上的第二保护层,第二保护层包括可在高达650℃温度下抵抗破裂的耐等离子体的陶瓷薄膜,其中第二保护层包括从由Er3Al5O12、Y3Al5O12与YF3构成的组中选出的陶瓷。
附图说明
在所附附图的图中通过示例而非限制方式来阐释本发明,所附附图中,相似的附图标记指示相似的元件。应当注意,在本公开对“一”或“一个”实施例的不同的引用不一定是指相同的实施例,并且此类引用意味着至少一个实施例。
图1描绘处理腔室的一个实施例的剖面图。
图2A描绘用于原子层沉积(ALD)且在一个表面上具有薄膜保护涂层的基座。
图2B描绘用于原子层沉积腔室且具有插入到孔中的耐等离子体的插塞的基座的放大的横剖面图。
图3-5描绘在一个表面上具有保护层叠层的示例制品的横剖面侧视图。
图6阐释在制品上方形成一个或多个保护层的工艺的一个实施例。
图7A描绘适用于利用高能粒子的各种沉积技术(例如,离子辅助沉积(IAD))的沉积机制。
图7B描绘IAD沉积设备的示意图。
图8阐释根据本发明的实施例而形成的薄膜保护层的腐蚀速率。
具体实施方式
本发明的实施例提供在制品的一个或多个表面上具有薄膜保护层的制品,诸如,用于原子层沉积(ALD)腔室的腔室部件。保护层可具有低于约50微米的厚度,并且可提供等离子体侵蚀抗性以保护制品。在晶片处理期间,可将腔室部件暴露至高温。例如,可将腔室部件暴露至超过450℃的温度。以能够在这些高温下抵抗破裂或有效地对破裂免疫的方式来形成薄膜保护层。薄膜保护层可以是使用离子辅助沉积(IAD)而沉积在经加热的基板上的致密的共形薄膜。薄膜保护层可由Y3Al5O12、Er3Al5O12或YF3形成。由薄膜保护层提供的改善的腐蚀抗性可改善制品的使用寿命,同时降低维持与制造成本。
图1是根据本发明的一个实施例的处理腔室100的剖面图,处理腔室100具有以薄膜保护层涂覆的一个或多个腔室部件。处理腔室100可以是ALD处理腔室。在一个实施例中,处理腔室100利用远程等离子体单元以将氟自由基(F*)递送到处理腔室100中以进行腔室清洁。或者,其他类型的处理腔室可用于本文所述的实施例。
处理腔室100可用于高温ALD工艺。例如,处理腔室100可用于氮化钛(TiN)的沉积。TiN沉积工艺典型地是在450℃或高于450℃的温度下执行的ALD工艺。另一示例高温ALD工艺是二氯硅烷(DCS)硅化钨的沉积。DCS硅化钨工艺通过WF6、DCS与SiH4在约500-600℃温度下的反应来执行。可通过处理腔室100执行其他高温ALD工艺。
可包括薄膜保护层的腔室部件的示例包括基座134、腔室主体105、喷淋头110,等等。在下文中更详细地描述的薄膜保护层可包括Y3Al5O12(YAG)、Er3Al5O12(EAG)和/或YF3。在一些实施例中,薄膜保护层也可包括其他陶瓷。此外,薄膜保护层可以是保护层叠层中的一层。如图所示,根据一个实施例,基座134具有薄膜保护层(第二保护层136)。然而,应当理解,其他腔室部件中任何一者(例如,上文列举的那些)也可包括薄膜保护层。
在一个实施例中,处理腔室100包括封围内部容积106的腔室主体105与喷淋头110。腔室主体105可由铝、不锈钢或其他适当的材料制造。腔室主体105通常包括侧壁与底部。喷淋头110、侧壁和/或底部中的任何一者可包括薄膜保护层。
腔室排放装置125以及一个或多个排放端口137可将废气排出腔室的内部容积106。排放端口137可连接至泵系统,所述泵系统包括一个或多个泵160以及节流阀156和/或闸阀154,用于排空并调节处理腔室100的内部容积106的压力。
喷淋头110可支撑在腔室主体105的侧壁上。喷淋头110(或盖)可经开启以允许对处理腔室100的内部容积106的接取,并且可在关闭时提供对处理腔室100的密封。喷淋头110可包括气体分配板以及一个或多个注入器122、123、124。喷淋头110可由铝、不锈钢或其他适当的材料制成。或者,在一些实施例中,喷淋头110可由盖与喷嘴取代。
气体面板152可经由一个或多个气体递送管线138-146、通过喷淋头110而将工艺和/或清洁气体提供至内部容积106。取决于待沉积的层,可用于执行CVD操作以将层沉积在基板上的处理气体的示例包括NH3、TiCl4、四(二甲胺基)钛(TDMAT)、WF6、DCS、SiH4等等。远程等离子体源(RPS)150可在清洁期间生成氟自由基(F*),并且可经由一个或多个气体递送管线138-146来递送氟自由基。气体递送管线138-146、排放端口137与喷淋头110可由圆盖180覆盖,圆盖180可以是铝或另一适当的材料。
腔室部件(诸如,腔室主体105的内壁、喷淋头110、基座134等)在处理期间累积沉积的材料层。为了减轻沉积性质的改变和微粒污染,可使用远程等离子体清洁工艺来周期性地将此类沉积的层从腔室部件上清洁掉。可用于将沉积的材料从腔室部件的表面清洁掉的清洁气体示例包括含卤素气体(诸如,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3与SiF4等等)与其他气体(诸如,O2或N2O)。载气的示例包括N2、He、Ar与其他对清洁气体呈现惰性的气体(例如,非反应性气体)。在一个实施例中,NF3与Ar用于执行等离子体清洁工艺。
基座134设置在处理腔室100的内部容积106中,且在喷淋头110下方,并且由底座132支撑。基座134在处理期间固持一个或多个基板。基座134配置成在ALD工艺期间围绕轴向中心自旋以确保与一个或多个基板相互作用的工艺气体的均匀分布。此类均匀的分布改善沉积在一个或多个基板上的层的厚度均匀性。
基座134配置成在工艺期间被加热,并且遍布整个基座134维持均匀的热。因此,基座134可具有由对热冲击具有高度抵抗性的热传导材料构成的主体。在一个实施例中,所述主体是半金属材料,诸如,石墨。基座134也可具有由其他具有高热冲击抗性材料(诸如,玻璃-碳)构成的主体。
基座134具有多个凹部。每一个凹部大约是将固持在此凹部中的基板(例如,晶片)的尺寸。在处理器件,基板可被真空附着(夹持)至基座134。
在一个实施例中,基座134的主体在至少一个表面上具有第一保护层135,并且在此第一保护层135上方具有第二保护层136。在一个实施例中,第一保护层是SiC,而第二保护层是Y3Al5O12(YAG),Er3Al5O12(EAG)或YF3中的一者。在另一实施例中,基座134仅具有单个保护层,所述单个保护层是Y3Al5O12(YAG)、Er3Al5O12(EAG)或YF3中的一者。在其他实施例中,也可使用附加的保护层。参照图2A-2B更详细地示出一个示例基座。
在一个实施例中,一个或多个加热元件130设置在基座134下方。一个或多个热遮蔽件也可设置在加热器件130附近以保护不应当被加热至高温的部件。在一个实施例中,加热元件130是电阻式或电感式加热器件。在另一实施例中,加热元件是辐射加热灯。在一些实施例中,加热元件130可将基座134加热至高达700℃或更高的温度。
图2A描绘用于ALD腔室的示例基座200。基座200具有薄膜保护涂层。在一个实施例中,薄膜保护涂层仅涂覆基座的上表面。或者,薄膜保护涂层涂覆基座的上表面与下表面。薄膜保护层也可涂覆基座的侧壁。基座200的目的在于同时支撑并均匀地加热多个晶片。可使用电阻式加热元件或灯来辐射地加热基座200。在处理期间,经由原子单层沉积(ALD)或其他CVD工艺沿来涂覆基座200(以及所制成的晶片)。为了增加多次清洁之间的平均时间(MTBC),应当周期性地清洁基座200以避免涂层由于在顺序的处理期间而产生的内部膜应力而剥落。可通过热或远程等离子体工艺来清洁基座200。在使用NF3的远程等离子体清洁的情况下,远程地生成氟自由基(F*),并将氟自由基递送到工艺区域中以去除沉积膜。然而,高温下的F*也将腐蚀基座材料(例如,CVD的SiC与石墨)。因此,施加对所使用的化学品具有腐蚀抗性的保护涂层。保护涂层也允许“过度蚀刻”时段以确保去除沉积膜的整体。
在一个实施例中,基座200包括半金属热传导底座,诸如,石墨。基座200可具有可能大到足以支撑多个基板(例如,多个晶片)的圆盘状形状。在一个实施例中,基座具有超过1米的直径。
基座200可包括一个或多个凹部(也称为袋部)201-206,凹部中的每一个都可配置成在处理期间支撑晶片或其他基板。在所阐释的示例中,基座200包括6个凹部201-206。然而,其他基座可具有更多或更少的凹部。
凹部201-206中的每一个都包括许多表面特征。凹部201中的表面特征的示例包括外环208、多个凸台206以及凸台206之间的沟槽或气体通道。在一些实施例中,特征的高度约为10-80微米。
在一个实施例中,基座200进一步包括在热传导半金属底座的一个或多个表面上方的CVD沉积的SiC或SiN层。凹部201-206与表面特征(诸如,凸台206与外环208)可经由在基座200中钻出的孔而流体地耦接至热传递(或背侧)气体源(例如,He)。在操作中,可在受控的压力下将背侧气体到气体通路中以增强基座200与基板之间的热传递。
在沉积第一保护层之前,可在基座200的主体中形成凹部与表面特征。或者,当上方沉积了第一保护层之后,可在第一保护层中形成凹部和/或表面特征。第二保护层可以是与凹部和表面特征共形的共形薄膜保护层。或者,可在第二保护层中形成表面特征。因此,所有的表面特征(诸如,凸台206与外环208)都存在于第二保护层的表面处。在一个实施例中,第二保护层具有约5-50微米的厚度。在另一实施例中,第二保护层具有小于20微米的厚度。在另一实施例中,第二保护层的具有高达1000微米的厚度。
基座200附加地包括举升销孔210。例如,基座200可包括支撑举升销(例如,Al2O3举升销)的三个举升销孔。举升销能够将晶片装载到基座200上,并能够从基座200上卸载晶片。基座200可包括凹部215,所述凹部215可用于将基座夹持至旋转轴杆。凹部215可包括孔220,所述孔220可用于将基座200机械地紧固至旋转轴轴。
图2B描绘具有插入到孔中的耐等离子体的插塞250的基座200的放大的横剖面图。IAD与PVD是视线(line of sight)工艺。因此,薄膜保护涂层可能不涂覆基座中的孔(诸如,举升销孔210、孔220或氦气孔)的内部。在一个实施例中,初始孔以过大的尺度形成在基座中。耐等离子体的插塞(例如,耐等离子体插塞250)可分开制造,并且可插入到过大尺寸的孔中。耐等离子体的插塞250可被按压配合(例如,机械地按压)到过大尺寸的孔中。耐等离子体的插塞250可由块状烧结耐等离子体陶瓷材料(诸如,AlN、Y2O3、包括Y4Al2O9与Y2O3-ZrO2的固体-溶液的陶瓷化合物或另一稀土氧化物)形成。
耐等离子体的插塞250本身可在耐等离子体的插塞250的中心处具有最终孔,其中最终孔具有期望的直径。CVD沉积的层和/或薄膜保护层可仅涂覆基座,或涂覆基座与耐等离子体的插塞250两者。在一个实施例中,在插入耐等离子体的插塞250之前,沉积CVD沉积的层。随后,可在插入了耐等离子体的插塞250之后沉积薄膜保护层。薄膜保护层可填充和/或桥接插塞250的外壁与插塞250插入其中的初始孔之间的任何间隙。在一些实例中,薄膜保护层可能不够厚而无法桥接插塞与插塞插入到其中的初始孔之间的间隙。因此,可在插入插塞之后沉积CVD涂层以桥接任何间隙。随后,可在CVD涂层上方沉积薄膜保护层。
在一个实施例中,耐等离子体的插塞的基底比耐等离子体的插塞的顶部窄(如图所示)。这使得耐等离子体的插塞能够被按压配合到基座200中的预定深度。
图3-5阐释由一个或多个薄膜保护层覆盖的制品(例如,腔室部件)的横剖面侧视图。图3阐释具有第一保护层330与第二保护层308的制品300的一个实施例的横剖面侧视图。第一保护层可以是SiC、SiN或另一陶瓷材料。可能已经通过CVD工艺将第一保护层330沉积至主体305上。第一保护层可具有高达200微米的厚度。在一个实施例中,第一保护层约为5-100微米厚。
第二保护层308可以是使用IAD而施加在第一保护层330上方的陶瓷薄膜保护层。可用于沉积第二保护层308的两个示例IAD工艺包括电子束IAD(EB-IAD)与离子束溅射IAD(IBS-IAD)。第二保护层308可充当顶涂层,并且可充当耐腐蚀阻挡层,并且可密封第一保护层330的被暴露表面(例如,密封第一保护层330中的固有的表面破裂与孔)。
IAD沉积的第二保护层308可具有相对低(例如,相比由等离子体喷涂或建设而导致的膜应力)的膜应力。IAD沉积的第二保护层308可附加地具有低于1%的孔隙率,并且在一些实施例中具有低于约0.1%的孔隙率。因此,IAD沉积的保护层是致密的结构,这对于在腔室部件上的应用具有性能益处。此外,可在无需先粗糙化第一保护层330或执行其他耗时的表面制备步骤的情况下来沉积IAD沉积的第二保护层308。
可用于形成第二保护层308的陶瓷的示例包括Y3Al5O12(YAG)、Er3Al5O12(EAG)与YF3。可使用的另一示例陶瓷为Y4Al2O9(YAM)。前述陶瓷中的任一者可包括微量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
制品300的主体305和/或第一保护层330可包括一个或多个表面特征。对于基座,表面特征可包括凹部、凸台、密封带、气体通道、氦气孔,等等。对于喷淋头,表面特征可包括数百或数千个用于气体分配的孔、围绕气体分配孔的凹陷(divot)或凸块(bump)等等。其他腔室部件可具有其他表面特征。
第二保护层308可共形于主体305与第一保护层330的表面特征。例如,第二保护层308可维持第一保护层330的上表面的相对形状(例如,流露第一保护层330中的特征的形状)。此外,第二保护层308可以足够薄而不至于塞住主体305和/或第一保护层330中的孔。第二保护层可具有小于1000微米的厚度。在一个实施例中,第二保护层308具有小于约20微米的厚度。在进一步的实施例中,第二保护层具有在约0.5微米至约7微米之间的厚度。
在替代实施例中,可省略第一保护层330。因此,可在主体305的一个或多个表面上方仅沉积单个的Y3Al5O12(YAG)、Er3Al5O12(EAG)、YF3或Y4Al2O9(YAM)保护层。
Figure BDA0002383520890000081
Figure BDA0002383520890000091
表1:IAD沉积的YAM、YF3、YAG与EAG的材料性质
表1示出IAD沉积的YAM、YF3、YAG与EAG的材料性质。如表所示,5微米(μm)的IAD沉积的YAM涂层具有695伏(V)的击穿电压。5μm的IAD沉积的YF3涂层具有522V的击穿电压。5μm的IAD沉积的YAG涂层具有1080V的击穿电压。5μm的IAD沉积的EAG涂层具有900V的击穿电压。
1.6mm的氧化铝上方的YF3的介电常数约为9.2,YAG薄膜的介电常数约为9.76,而EAG薄膜的介电常数约为9.54。1.6mm的氧化铝上方的YF3薄膜的损耗正切约为9E-4,YAG薄膜的损耗正切约为4E-4,而EAG薄膜的损耗正切约为4E-4。YAG薄膜的热传导率约为20.1W/m-K,而EAG薄膜的热传导率约为19.2W/m-K。
对于所标示的陶瓷材料中的每一种材料,薄膜保护层对氧化铝基板的附着强度可以是高于27兆帕(MPa)。可通过测量将薄膜保护层从基板分开所使用的力的量来测量附着强度。
密封性衡量使用薄膜保护层可实现的密封能力。如表所示,使用YF3可实现每秒约2.6E-9立方厘米(cm3/s)的He漏率,使用YAG可实现约4.4E-10的He漏率,而使用EAG可实现约9.5E-10的He漏率。较低的He渗漏率指示改善的密封。示例薄膜保护层中的每一个具有低于典型Al2O3的He漏率。
Y3Al5O12、Y4Al2O9、Er3Al5O12与YF3中的每一个都具有可抵抗等离子体处理期间的磨损的硬度。如表所示,YF3具有约3.411千兆帕(GPa)的维氏(Vickers)硬度(5Kgf),YAG具有约8.5GPa的硬度,而EAG具有约9.057GPa的硬度。YAG的所测量的磨耗率约为每射频小时0.28纳米(nm/RFhr),而EAG的磨耗率约为0.176nm/RFhr。
值得注意的是,在一些实施例中,可修改Y3Al5O12、Y4Al2O9、Er3Al5O12与YF3,使得上方标示的材料性质与特性可变化高达30%。因此,这些材料性质的所描述的值应当理解为示例可实现的值。本文所述的陶瓷薄膜保护层不应被解读为受限于所提供的值。
图4阐释制品400的一个实施例的横剖面侧视图,制品400具有沉积于制品400的主体405上方的薄膜保护层叠层406。在替代实施例中,薄膜保护层叠层406可沉积在SiC或SiN的第一保护层上方。
薄膜保护层叠层406中的一个或多个薄膜保护层(诸如,第一层408和/或第二层410)可以是YAG、YAM、EAG或YF3中的一者。此外,保护层中的一些可包括Er2O3、Gd2O3、Gd3Al5O12或包括Y4Al2O9与Y2O3-ZrO2的固体-溶液(solid-solution)的陶瓷化合物。在一个实施例中,相同的陶瓷材料不用于两个相邻的薄膜保护层。然而,在另一实施例中,相邻层可由相同的陶瓷构成。
图5阐释制品500的另一实施例的横剖面侧视图,制品500具有沉积在制品500的主体505上方的薄膜保护层叠层506。或者,薄膜保护层叠层506可沉积在SiC或SiN层上方。制品500与制品400类似,例外在于,薄膜保护层叠层506具有四个薄膜保护层508、510、515、518。
薄膜保护层叠层(例如,所阐释的那些叠层)可具有任何数目的薄膜保护层。叠层中的薄膜保护层可都具有相同的厚度,或者它们可具有不同的厚度。薄膜保护层中的每一层可具有低于约50微米的厚度,并且在一些实施例中可具有低于约10微米的厚度。在一个示例中,第一层408可具有3微米的厚度,而第二层410可具有3微米的厚度。在另一示例中,第一层508可以是具有2微米厚度的YAG层,第二层510可以是具有1微米厚度的化合物陶瓷层,第三层515可以是具有1微米厚度的YAG层,而第四层518可以是具有1微米厚度的化合物陶瓷层。
选择要使用的陶瓷层的数目与陶瓷层的组合物可基于经涂覆的制品的期望应用和/或类型。由IAD形成的EAG、YAG与YF3薄膜保护层典型地具有非晶结构。相比之下,IAD沉积的化合物陶瓷与Er2O3层典型地具有结晶或纳米结晶结构。结晶与纳米结晶结构陶瓷层一般可比非晶陶瓷层更能抵抗腐蚀。然而,在一些实例中,具有结晶结构或纳米结晶结构的薄膜陶瓷层可能经历偶发性竖直破裂(大约在膜厚度方向中且大致上垂直于经涂覆的表面行进的破裂)。此类竖直破裂可能由晶格失配导致,并且可以是等离子体化学物的攻击点。每当加热与冷却制品时,薄膜保护层与薄膜保护层所涂覆的基板之间的热膨胀系数的失配便导致薄膜保护层上的应力。此类应力可能集中在竖直破裂处。这会导致薄膜保护层最终从薄膜保护层所涂覆的基板剥离。相比之下,如果没有竖直破裂,则应力跨薄膜大致均匀地分布。
因此,在一个实施例中,薄膜保护层叠层406中的第一层408是非晶陶瓷(诸如,YAG或EAG),而薄膜保护层叠层406中的第二层410是结晶或纳米结晶陶瓷(诸如,陶瓷化合物或Er2O3)。在此类实施例中,第二层410可提供相比第一层408更大的等离子体耐受性。通过在第一层408上方而不是直接在主体405上方(或在SiC或SiN保护层上方)形成第二层410,第一层408充当缓冲物以使后续层上的晶格失配最小化。因此,可增加第二层410的寿命。
在另一示例中,主体、Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及包括Y4Al2O9与Y2O3-ZrO2的固体-溶液的陶瓷化合物中的每一个可具有不同的热膨胀系数。两种相邻的材料之间的热膨胀系数的失配越大,那些材料中的一种材料最终破裂、剥离或以其他方式失去至其他材料的粘结的可能性就越大。能以使相邻的层之间(或层与主体405、505之间)的热膨胀系数的失配最小化的方式来形成保护层叠层406、506。例如,主体505可以是石墨,而EAG可具有最接近石墨的热膨胀系数的热膨胀系数,接着是YAG的热膨胀系数,接着是化合物陶瓷的热膨胀系数。因此,在一个实施例中,第一层508可以是EAG,第二层510可以是YAG,而第三层515可以是化合物陶瓷。
在另一示例中,保护层叠层506中的层可以是两种不同陶瓷的交替层。例如,第一层508与第三层515可以是YAG,而第二层510与第四层518可以是EAG或YF3。此类交替层可提供与上文在用于交替层中的一种材料为非晶的而用于交替层中的另一材料为结晶或纳米结晶的情况下所陈述的优点类似的优点。
在另一示例中,可在薄膜保护层叠层406或506中的某点处沉积具有可辨别颜色的薄膜涂层。例如,可在薄膜叠层的底部沉积具有可辨别的颜色的薄膜涂层。例如,具有可辨别的颜色的薄膜涂层可以是Er2O3或SmO2。当技术员看见此可辨别的颜色时,可警觉到应当替换或修整基座。
在一些实施例中,薄膜保护层叠层406、506中的一个或多个层是使用热处理而形成的过渡层。如果主体405、505是陶瓷主体,则可执行高温热处理以促进薄膜保护层与主体之间的相互扩散。此外,可执行热处理以促进相邻的薄膜保护层之间、或厚保护层与薄膜保护层之间的相互扩散。值得注意的是,过渡层可以是非多孔层。过渡层可充当两个陶瓷之间的扩散接合,并且可提供相邻的陶瓷之间的改善的附着。这可有助于在等离子体处理期间防止保护层破裂、剥落或剥除。
热处理可以是在高达约1400-1600℃下持续高达约24小时的持续时间(例如,在一个实施例中,3-6小时)的热处理。这可在第一薄膜保护层与以下各者中的一者或多者之间产生相互扩散层:相邻的陶瓷主体、厚保护层或第二薄膜保护层。
图6阐释用于在制品上形成一个或多个保护层的工艺600的一个实施例。工艺600的框605处,提供基座。基座可用于ALD处理腔室。在一个实施例中,基座具有热传导半金属主体(具有良好热传导率的半金属主体)。在一个实施例中,热传导半金属主体是石墨主体。或者,可提供非热传导基座。非热传导基座可具有碳-玻璃构成的主体。在其他实施例中,可提供除基座以外的制品。例如,可提供用于ALD处理腔室的铝喷淋头。
在一个实施例中,在框608处,将耐等离子体的陶瓷插塞插入基座中的孔中。耐等离子体陶瓷插塞可被压配合到孔中。在替代实施例中,在框610之后,将耐等离子体的陶瓷插塞插入基座中的孔中。在另一实施例中,没有耐等离子体的陶瓷插塞被插入基座中的孔中。
在框610处,执行CVD工艺以在所提供的基座上方沉积第一保护层。在一个实施例中,第一保护层仅覆盖基座的面向等离子体的表面。在另一实施例中,第一保护层覆盖基座的正面与背面。在另一实施例中,第一保护层覆盖基座的正面、背面与侧面。在一个实施例中,第一保护层是SiC。或者,第一保护层可以是SiN或另一适当的材料。第一保护层可具有高达约200微米的厚度。可将基座的表面特征加工到石墨中。在一个实施例中,在沉积之后,抛光第一保护层。
在框615处,将基座加热至高于200℃的温度。例如,可将基座加热至200-400℃的温度。在一个实施例中,基座被加热至300℃的温度。
在框620处,当加热基座时,执行IAD以在第一保护层的一个或多个表面上方沉积第二保护层。在一个实施例中,第二保护层仅覆盖第一保护层的面向等离子体的表面。在另一实施例中,第二保护层覆盖基座的正面与背面上的第一保护层。在另一实施例中,第二保护层覆盖第一保护层的每一个表面。在一个实施例中,在IAD沉积之前,通过离子枪将氧和/或氩离子引导至基座。氧与氩离子可烧蚀掉第一保护层上的任何表面有机污染物,并且驱散任何残余微粒。
可执行的两种IAD类型包括EB-IAD与IBS-IAD。可通过蒸镀来执行EB-IAD。可通过溅射固体靶材材料来执行IBS-IAD。第二保护层可以是Y3Al5O12、Y4Al2O9、Er3Al5O12或YF3。第二保护层可以是非晶的,并且可在450℃温度下抵抗破裂。在一个实施例中,甚至在高达550℃的重复的热循环之后,保护层可能不经历任何破裂。在进一步的实施例中,第二保护层在高达650℃的室温温度下抵抗破裂。虽然第二保护层沉积在第一保护层与基座上方(第一保护层与基座两者都具有与第二保护层不同的热膨胀系数),但是第二保护层可抵抗破裂。
第二保护层的沉积速率可以约为每秒1-8埃,并且可通过调谐沉积参数来加以改变。在一个实施例中,沉积速率为每秒1-2埃(A/s)。沉积速率也可在沉积过程中改变。在一个实施例中,使用约0.25-1A/s的初始沉积速率以实现基板上的共形良好的附着涂层。随后,使用2-10A/s的沉积速率以在较短且较具成本效益的涂覆轮次(run)中实现较厚的涂层。
第二保护层可以是符合以下各项的薄膜保护层:可以是共形性非常好的,可以是厚度均匀的,并且可具有至此薄膜保护层沉积在其上的的材料的良好附着。在一个实施例中,第二保护层具有低于1000微米的厚度。在进一步的实施例中,第二保护层具有5-50微米的厚度。在更进一步的实施例中,第二保护层具有低于20微米的厚度。
在框625处,进行关于是否将沉积任何附加的保护层(例如,任何附加的薄膜保护层)的判定。如果将沉积附加的保护层,则工艺继续进行至框630。在框630处,使用IAD在第二保护层上方形成另一保护层。
在一个实施例中,另一保护层由与第二保护层的陶瓷的不同陶瓷构成。在一个实施例中,另一保护层是以下各项中的一者:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3或Y4Al2O9与Y2O3-ZrO2的固体-溶液的陶瓷化合物。
在另一实施例中,另一保护层由与第二保护层的陶瓷相同的陶瓷构成。例如,在第二保护层形成之后,可将掩模放置在基座上方。此掩模可具有开口,在所述开口处,特征(诸如凸台与密封)将形成于基座上(例如,在基座中的凹部中)。随后,可沉积附加的保护层以形成这些特征。在一个实施例中,特征(例如,凸台)具有10-20微米的高度。
方法随后返回框625。如果在框625处,没有附加的薄膜保护层将被施加,则工艺结束。
图7A描绘适用于利用高能微粒的各种沉积技术(诸如,离子辅助沉积(IAD))的沉积机制。示例性IAD方法包括并入离子轰击的沉积工艺,诸如,在离子轰击存在的情况下的蒸镀(诸如,活性反应蒸镀(activated reactive evaporation,ARE)或EB-IAD)与溅射(例如,IBS-IAD)以形成本文所述的耐等离子体的涂层。可在反应性气体物质(诸如,O2、N2、卤素等)存在的情况下执行IAD方法中的任一种。
如图所示,通过在高能微粒703(例如,离子)存在的情况下累积沉积材料702来形成薄膜保护层715。沉积材料702包括原子、离子、自由基或上述各项的混合物。高能微粒703在薄膜保护层715形成时撞击并压紧薄膜保护层715。
在一个实施例中,如先前在本文中别处所述,利用IAD来形成薄膜保护层715。图7B描绘IAD沉积设备的示意图。如图所示,材料源752(也称为靶材主体)提供沉积材料702的通量,同时高能微粒源755提供高能微粒703的通量,这两者贯穿IAD工艺撞击在制品750上。高能微粒源755可以是氧或其他离子源。高能微粒源755也可提供其他类型的高能微粒,诸如,来自微粒生成源(诸如,来自等离子体、反应性气体或来自提供沉积材料的材料源)的惰性自由基、中子原子和纳米尺寸的微粒。用于提供沉积材料702的材料源(例如,靶材主体)752可以是对应于将构成薄膜保护层715的相同陶瓷的块状烧结陶瓷。例如,材料源可以是块状烧结的陶瓷化合物主题,或块状烧结的YAG、Er2O3、Gd2O3、Er3Al5O12、YF3或Gd3Al5O12。IAD可利用一个或多个等离子体或射束来提供材料源与高能离子源。或者,材料源可以是金属。
也可在沉积耐等离子体的涂层期间提供反应性物质。在一个实施例中,高能微粒703包括非反应性物质(例如,Ar)或反应性物质(例如,O)中的至少一者。在进一步的实施例中,在形成耐等离子体涂层期间,也可引入反应性物质(诸如,CO与卤素(Cl、F、Br等),以进一步增加选择性地去除最弱地接合至薄膜保护层715的所沉积材料的倾向。
利用IAD工艺,可独立于其他沉积参数、通过高能离子(或其他微粒)源755来控制高能微粒703。可调整高能离子通量的能量(例如,速度)、密度与入射角来控制薄膜保护层的组成、结构、结晶取向与晶粒尺寸。可调整的附加参数是沉积期间制品的温度以及沉积的持续时间。
使用离子辅助能量来使涂层致密,并且加速材料在基板的表面上的沉积。可使用离子源的电压与电流两者来改变离子辅助能量。可调整电压与电流以实现高涂层密度与低涂层密度,以操控涂层的应力以及涂层的结晶度。离子辅助能量的范围为约50-500V以及约1-50安培(A)。也可利用离子辅助能量以刻意地改变涂层的化学计量。例如,可在沉积期间应用金属靶材,并将此金属靶材转化成金属氧化物。
可通过使用加热器来加热沉积腔室和/或基板并通过调整沉积速率来控制涂层温度。沉积期间的基板(制品)温度可粗略地分成低温(约为120-150℃,在一个实施例中为典型的室温)与高温(在一个实施例中,约为270℃或更高)。在一个实施例中,使用约300℃的沉积温度。或者,可使用较高(例如,高达450℃)或较低(例如,低至室温)的沉积温度。可使用沉积温度来调整膜应力、结晶度与其他涂层性质。
工作距离使电子束(或离子束)枪与基板之间的距离。可改变工作距离以实现具有最高均匀性的涂层。此外,工作距离可影响涂层的沉积速率与密度。
沉积角度是电子束(或离子束)与基板之间的角度。可通过改变基板的位置和/或取向来改变沉积角度。通过优化沉积角度,可实现三维几何结构的均匀涂层。
EB-IAD与IBS-IAD沉积适用于广泛范围的表面条件。然而,优选经抛光的表面以实现均匀的涂层覆盖。可使用各种固定装置以在IAD沉积期间固持基板。
图8阐释根据本发明实施例而形成的薄膜保护层的腐蚀速率。图8示出薄膜保护层在暴露于NF3等离子体化学物时的腐蚀速率。如图所示,IAD沉积的薄膜保护层显示出相比SiC的改善的腐蚀抗性。例如,SiC显示出每射频小时超过2.5μm(μm/RFHr)的腐蚀速率。相比之下,IAD沉积的EAG、YAG与YF3薄膜保护层都显示出低于0.2μm/RFHr的腐蚀速率。
前文描述陈述了众多特定细节(诸如,特定系统、部件、方法等的实施例)以提供对本发明的若干实施例的良好理解。然而,对本领域技术人员将显而易见的是,可在不具有这些特定细节的情况下实践本发明的至少一些实施例。在其他实例中,未详细地描述或仅以简单的框图格式呈现公知的部件或方法以避免不必要地使本发明含糊。因此,陈述的特定细节仅是示例性的。特定的实现方式可与这些示例性细节不同,并仍然被视为在本发明的范围内。
本说明书通篇提及“一个实施例”或“实施例”意指结合该实施例所描述的特定的特征、结构或特性被包含在至少一个实施例中。因此,在说明书通篇不同地方出现短语“在一个实施例中”或“在实施例中”不一定全都是指相同的实施例。此外,术语“或”旨在意味着包括性的“或”而非排他性的“或”。当本文中使用术语“约”或“大致”时,旨在意味着所呈现的标称值在±30%内时精确的。
虽然以特定的顺序示出并描述了本文中方法的操作,但是可改变每一个方法的操作顺序,使得可逆序地执行一些操作,或者使得可至少部分地与其他操作并发地执行某些操作。在另一实施例中,不同操作的指令或子操作可以是间歇性和/或交替性方式的。
可理解,上文描述旨在是描述性而非限制性的。在本领域技术人员阅读并理解了上述描述之后,许多其他实施例对于他们将是明显的。因此,本发明的范围应当参照所附权利要求书以及所附权利要求书被授予的等效方案的完整范围来确定。

Claims (20)

1.一种制品,包括:
主体,所述主体包括热传导材料,其中所述主体包括孔;
耐等离子体插塞,所述耐等离子体插塞插入在所述孔中;
第一保护层,所述第一保护层在所述主体的表面上,所述第一保护层是热传导陶瓷;以及
第二保护层,所述第二保护层在所述第一保护层上,所述第二保护层包括耐等离子体的陶瓷薄膜,所述耐等离子体的陶瓷薄膜在高达650℃的温度下抵抗破裂。
2.如权利要求1所述的制品,其特征在于,所述热传导材料包括石墨。
3.如权利要求1所述的制品,其特征在于,所述热传导材料包括热传导半金属,并且所述第一保护层包括碳化硅。
4.如权利要求1所述的制品,其特征在于,所述制品是用于原子层沉积腔室的基座。
5.如权利要求1所述的制品,其特征在于,所述第二保护层包括从由Er3Al5O12、Y3Al5O12和YF3构成的组中选出的陶瓷。
6.如权利要求1所述的制品,其特征在于,所述第二保护层具有5-50微米的厚度。
7.如权利要求1所述的制品,其特征在于,所述耐等离子体插塞由烧结陶瓷构成,所述烧结陶瓷包括以下各项中的至少一者:AlN、Y2O3或包括Y4Al2O9与Y2O3-ZrO2固体-溶液的陶瓷化合物。
8.如权利要求1所述的制品,其特征在于,所述孔具有第一直径,所述第一直径对应于所述耐等离子体插塞的外径,且其中所述耐等离子体插塞包括第二孔,所述第二孔具有小于所述第一直径的第二直径。
9.如权利要求1所述的制品,其特征在于:
所述第一保护层不覆盖所述耐等离子体插塞;且
所述第二保护层覆盖所述耐等离子体插塞。
10.如权利要求1所述的制品,其特征在于:
所述耐等离子体插塞的基底具有小于所述耐等离子体插塞的顶部的外径。
11.如权利要求1所述的制品,其特征在于:
在所述耐等离子体插塞的外壁与被插入所述耐等离子体插塞的所述孔的壁之间有间隙;且
所述第一保护层覆盖所述耐等离子体插塞并至少部分地填充所述孔的所述壁与所述耐等离子体插塞的所述外壁之间的所述间隙。
12.一种方法,包括以下步骤:
在热传导主体中钻孔;
在所述孔中插入耐等离子体插塞;
在所述热传导主体的表面上沉积第一保护层,所述第一保护层是热传导陶瓷;以及
执行离子辅助沉积以在所述第一保护层上沉积第二保护层,所述第二保护层包括耐等离子体的陶瓷薄膜,所述耐等离子体的陶瓷薄膜在高达650℃的温度下抵抗破裂。
13.如权利要求12所述的方法,进一步包括以下步骤:
将所述热传导主体加热至近似200-400℃的温度;以及
在加热所述热传导主体的同时,执行所述离子辅助沉积。
14.如权利要求12所述的方法,其特征在于,沉积所述第一保护层的步骤包括:执行化学气相沉积工艺。
15.如权利要求12所述的方法,其特征在于,所述热传导主体包括用于原子层沉积腔室的基座,所述基座包括石墨,所述第一保护层包括碳化硅,且所述第二保护层包括从由Er3Al5O12、Y3Al5O12和YF3构成的组中选出的陶瓷。
16.如权利要求12所述的方法,其特征在于,所述第二保护层具有5-50微米的厚度。
17.如权利要求12所述的方法,其特征在于,在沉积所述第一保护层之后和在执行所述离子辅助沉积之前,将所述耐等离子体插塞插入所述孔中。
18.如权利要求12所述的方法,其特征在于,所述耐等离子体插塞由烧结陶瓷构成,所述烧结陶瓷包括以下各项中的至少一者:AlN、Y2O3或包括Y4Al2O9与Y2O3-ZrO2固体-溶液的陶瓷化合物,且其中所述耐等离子体插塞包括附加孔,所述附加孔具有的直径小于被插入所述耐等离子体插塞的所述孔。
19.如权利要求12所述的方法,其特征在于,在沉积所述第一保护层之前将所述耐等离子体插塞插入所述孔中,其中在所述耐等离子体插塞的外壁与被插入所述耐等离子体插塞的所述孔的壁之间有间隙,且其中所述第一保护层覆盖所述耐等离子体插塞并至少部分地填充所述孔的所述壁与所述耐等离子体插塞的所述外壁之间的所述间隙。
20.一种用于原子层沉积腔室的部件,包括:
石墨主体;
多个孔,所述多个孔在所述石墨主体中;
多个耐等离子体插塞,其中所述多个耐等离子体插塞中的每一个被插入所述多个孔中的一个;
第一保护层,所述第一保护层在所述石墨主体的表面上,所述第一保护层包括碳化硅;以及
第二保护层,所述第二保护层在所述第一保护层上,所述第二保护层包括耐等离子体的陶瓷薄膜,所述耐等离子体的陶瓷薄膜在高达650℃的温度下抵抗破裂,其中所述第二保护层包括从由Er3Al5O12、Y3Al5O12和YF3构成的组中选出的陶瓷,
其中,所述第一保护层或所述第二保护层中的至少一个覆盖所述多个耐等离子体插塞。
CN202010090413.9A 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层 Pending CN111270223A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461984691P 2014-04-25 2014-04-25
US61/984,691 2014-04-25
US14/693,745 US9976211B2 (en) 2014-04-25 2015-04-22 Plasma erosion resistant thin film coating for high temperature application
US14/693,745 2015-04-22
CN201580017814.9A CN106133885B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580017814.9A Division CN106133885B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层

Publications (1)

Publication Number Publication Date
CN111270223A true CN111270223A (zh) 2020-06-12

Family

ID=54333203

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202010090438.9A Active CN111254436B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN201580017814.9A Active CN106133885B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN202010090413.9A Pending CN111270223A (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN202010090438.9A Active CN111254436B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN201580017814.9A Active CN106133885B (zh) 2014-04-25 2015-04-23 用于高温应用的耐等离子体腐蚀的薄膜涂层

Country Status (5)

Country Link
US (3) US9976211B2 (zh)
JP (3) JP6709164B2 (zh)
KR (2) KR102388784B1 (zh)
CN (3) CN111254436B (zh)
WO (1) WO2015164638A1 (zh)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7050912B2 (ja) * 2017-10-19 2022-04-08 エヴァテック・アーゲー 基板を処理するための方法及び装置
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20220104779A (ko) * 2019-11-22 2022-07-26 램 리써치 코포레이션 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
WO2021241645A1 (ja) * 2020-05-28 2021-12-02 京セラ株式会社 通気性プラグ、基板支持アセンブリおよびシャワープレート
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7242612B2 (ja) * 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113698208B (zh) * 2021-08-24 2022-06-14 南通三责精密陶瓷有限公司 一种等离子刻蚀用碳化硅载盘的制造方法及碳化硅载盘
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
US11735212B1 (en) * 2022-04-25 2023-08-22 Sae Magnetics (H.K.) Ltd. Thermally assisted magnetic head including a record/read separate protective structure, head gimbal assembly and hard disk drive each having the thermally assisted magnetic head
US20240093355A1 (en) * 2022-09-21 2024-03-21 Applied Materials, Inc. Glassy Carbon Shutter Disk For Physical Vapor Deposition (PVD) Chamber

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001262346A (ja) * 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US20040058070A1 (en) * 2002-09-20 2004-03-25 Jun Takeuchi Method for coating internal member having holes in vacuum processing apparatus and the internal member having holes coated by using the coating method
US20080238281A1 (en) * 2007-03-29 2008-10-02 Ngk Spark Plug Co., Ltd. Plasma-jet spark plug
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层
US20120242214A1 (en) * 2011-02-25 2012-09-27 Ngk Spark Plug Co., Ltd. Plasma jet ignition plug
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
DE69920152T2 (de) * 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP4503270B2 (ja) 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP2008016795A (ja) 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
CN102308381B (zh) 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
CN104066873A (zh) * 2011-09-09 2014-09-24 英诺文特科技公司 带涂层坩埚和制造带涂层坩埚的方法
PL2794956T3 (pl) 2011-12-19 2019-06-28 Praxair S.T. Technology, Inc. Zawiesina wodna do produkcji powłokowych barier termicznych i środowiskowych
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
JP2001262346A (ja) * 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US20040058070A1 (en) * 2002-09-20 2004-03-25 Jun Takeuchi Method for coating internal member having holes in vacuum processing apparatus and the internal member having holes coated by using the coating method
US20080238281A1 (en) * 2007-03-29 2008-10-02 Ngk Spark Plug Co., Ltd. Plasma-jet spark plug
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层
US20120242214A1 (en) * 2011-02-25 2012-09-27 Ngk Spark Plug Co., Ltd. Plasma jet ignition plug
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
王治乐: "《薄膜光学与真空镀膜技术》", 30 June 2013 *

Also Published As

Publication number Publication date
CN106133885A (zh) 2016-11-16
JP6709164B2 (ja) 2020-06-10
US11773479B2 (en) 2023-10-03
KR20220051276A (ko) 2022-04-26
WO2015164638A1 (en) 2015-10-29
KR102493316B1 (ko) 2023-01-27
JP2017514991A (ja) 2017-06-08
US20210010126A1 (en) 2021-01-14
CN111254436B (zh) 2023-02-17
CN111254436A (zh) 2020-06-09
KR20160145816A (ko) 2016-12-20
CN106133885B (zh) 2020-03-03
US10815562B2 (en) 2020-10-27
JP7175289B2 (ja) 2022-11-18
US9976211B2 (en) 2018-05-22
JP2020080412A (ja) 2020-05-28
KR102388784B1 (ko) 2022-04-19
US20180230587A1 (en) 2018-08-16
US20150307982A1 (en) 2015-10-29
JP2023017933A (ja) 2023-02-07

Similar Documents

Publication Publication Date Title
US11773479B2 (en) Plasma erosion resistant thin film coating for high temperature application
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US11566319B2 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311043A1 (en) Chamber component with fluorinated thin film coating
TWI798594B (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination