JP5506678B2 - イットリウム含有保護皮膜による半導体処理装置の被覆方法 - Google Patents

イットリウム含有保護皮膜による半導体処理装置の被覆方法 Download PDF

Info

Publication number
JP5506678B2
JP5506678B2 JP2010519236A JP2010519236A JP5506678B2 JP 5506678 B2 JP5506678 B2 JP 5506678B2 JP 2010519236 A JP2010519236 A JP 2010519236A JP 2010519236 A JP2010519236 A JP 2010519236A JP 5506678 B2 JP5506678 B2 JP 5506678B2
Authority
JP
Japan
Prior art keywords
mol
range
oxide
mole percent
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010519236A
Other languages
English (en)
Other versions
JP2010535288A5 (ja
JP2010535288A (ja
Inventor
ジェニファー ワイ サン
セン サチ
ジム デンプスター
リー シュー
ケネス エス コリンズ
レングアン デュアン
トーマス グラベス
シャオミング ヒー
ジエー ユアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010535288A publication Critical patent/JP2010535288A/ja
Publication of JP2010535288A5 publication Critical patent/JP2010535288A5/ja
Application granted granted Critical
Publication of JP5506678B2 publication Critical patent/JP5506678B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)

Description

関連出願
本願は、2004年7月22日に出願された現在係属中のジェニファー・Y・サン(Jennifer Y.Sun)らによる出願第10/898113号「Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus」及び2007年4月27日に出願された現在係属中のジェニファー・Y・サンらによる出願番号第11/796210号「Method of Reducing The Erosion Rate of Semiconductor Processing Apparatus Exposed To Halogen−Containing Plasmas」の一部継続出願である。本願は、本願と共通する発明者要件を有する一連の出願にも関連する。以下に記載の追加の関連出願は全て、半導体処理装置において有用なプラズマ耐性表面を提供する酸化イットリウム含有セラミックの使用に関する。追加の関連出願には、2007年4月27日に出願された現在係属中のサンらによる第11/796211号「Method And Apparatus Which Reduce The Erosion Rate Of Surfaces Exposed To Halogen−Containing Plasmas」、2004年8月13日に出願された現在係属中のサンらによる米国特許出願第10/918232号「Gas Distribution Plate Fabricated From A Solid Yttrium Oxide−Comprising Substrate」及び2002年2月14日に出願されたサンらによる米国特許出願第10/075967号「Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers」(2004年8月17日に米国特許第6776873号として発行)が含まれる。上記の出願の分割及び継続出願である追加の関連出願には、2006年11月10日に出願された現在係属中であり米国特許出願第10/898113号の分割出願であるワン(Wang)らによる米国特許出願第11/595484号「Cleaning Method Used In Removing Contaminants From The Surface Of An Oxide or Fluoride Comprising a Group III Metal」及び2006年11月3日に出願された現在係属中であり且つ米国特許出願第10/918232号の分割出願であるワンらによる米国特許出願第11/592905号「Cleaning Method Used In Removing Contaminants From A Solid Yttrium Oxide−Containing Substrate」が含まれる。これらの特許及び出願全ての主題は、参照により本願に組み込まれる。
背景
1.分野
本発明の実施形態は、半導体処理装置内に存在する類のプラズマへの耐性が高い、主に固溶体セラミックを含む特殊酸化イットリウム含有セラミックの溶射被覆方法に関する。
2.背景技術
この項では、本発明の開示の実施形態に関連した背景の主題について説明する。この項で論じる背景技術が法的に従来技術を構成すると表明又は含意する意図はない。
コロージョン耐性(エロージョンを含む)は、腐食性の環境が存在する半導体処理チャンバにおいて使用される装置部品及びライナにとって欠くことのできない特性である。腐食性のプラズマは、プラズマ化学気相蒸着(PECVD)及び物理気相蒸着(PVD)を含む大多数の半導体処理環境に存在しているが、最も腐食性が高いプラズマ環境は、処理装置の清浄化に使用されるもの及び半導体基板のエッチングに使用されるものである。これは高エネルギーのプラズマが存在し、この高エネルギーのプラズマが、その環境内の部品の表面に作用する化学反応性を併せ持つ場合に特に当てはまる。装置の部品表面又は処理チャンバライナ表面への化学反応性の低さは、例えプラズマが存在していなくても、腐食性ガスが処理装置表面と接触する場合の重要な特性である。
電子デバイス及び微小電子機械システム(MEMS)の作製に使用される処理チャンバ内に存在する処理チャンバライナ及び部品装置は、アルミニウム及びアルミニウム合金から構成されることが多い。処理チャンバ及び部品装置(チャンバ内に存在)の表面を陽極酸化させることにより、腐食性の環境からある程度保護することが多い。しかしながら、陽極酸化層の完全性はアルミニウム又はアルミニウム合金中の不純物によって劣化する場合があり、コロージョンが早期に始まり、保護皮膜の寿命は短くなる。酸化アルミニウムのプラズマ耐性は、その他のセラミック材料と比較すると確実なものではない。このため、様々な組成のセラミック皮膜が、上記の酸化アルミニウム層の代わりに使用されており、場合によっては、陽極酸化層の表面上に使用することにより、その下のアルミニウム系材料をより高く保護する。
酸化イットリウムは、半導体デバイスの作製に使用される類のハロゲン含有プラズマに曝露されるアルミニウム及びアルミニウム合金表面の保護において極めて将来性があると判明している材料である。酸化イットリウム皮膜を使用し高純度アルミニウム合金処理チャンバ表面又は処理部品表面の陽極酸化表面上に適用することにより、コロージョンから高く保護している(例えば、上記のサンらによる米国特許第6777873号)。
高いコロージョン耐性及び絶縁性を必要とするチャンバの内壁表面及びチャンバ内の部材の露出面上にはAlの又はAlとYとの膜が形成される。模範的な応用例において、チャンバの母材はセラミック材料(Al、SiO、AIN等)、アルミニウム、ステンレススチール、その他の金属又は金属合金であり、母材上に溶射膜を有する。この膜は、周期表のIII−B元素の化合物(Y等)から形成することができる。この膜は、実質的にAl及びYから成る複合酸化物を含む。イットリウム・アルミニウム・ガーネット(YAG)の溶射膜を使用することもできる。溶射皮膜の典型的な厚さは約50μm〜300μmである。
ハロゲン含有プラズマを使用する半導体処理条件下でコロージョンに耐える特殊焼結セラミック材料が開発された。この特殊材料は、半導体処理装置でこれまで使用されていた焼結セラミック材料より改善されたプラズマ耐性と目的に沿った機械的性質を有するように改質されている。この焼結セラミック材料の電気的特性は、材料の電気抵抗特性(プラズマ処理チャンバにおいて影響がある)が重要なチャンバ部品の要件を満たすように調節されている。これらの電気抵抗特性要件は、以前は低プラズマ耐性を示す材料によってしか満たされないものであった。本発明の特殊材料(様々な組み合わせのプラズマ耐性、機械的性質及び電気抵抗特性が得られる)は、これまで使用されていた半導体処理装置の材料に十分類似している。類似した電気的特性の1つの利点は、半導体デバイスの作製において現在使用されているプロセスレシピ又は一般的な処理条件を変更する必要がないことである。
本発明の焼結セラミック材料は、酸化イットリウム系固溶体を含む。一実施形態において、この酸化イットリウム含有焼結セラミック材料の電気抵抗率は変化させられる。ある例示的な実施形態の技法においては、その他の酸化物を酸化イットリウムに添加し、その混合物を焼結する。このその他の酸化物の正イオンは、Y空格子点を形成して電気抵抗率の低下をもたらす、Y3+イオンとは異なる価数を有する。このようなその他の酸化物の例には、例えばであって限定するものではないが、CeO、TiO、ZrO、HfO及びNbが含まれる。別の例示的な実施形態の技法においては、その他の酸化物を酸化イットリウムに添加し、その混合物を焼結する。このその他の酸化物の正イオンはY3+イオンと同じ価数を示すが、Y3+イオンとは著しく異なるイオン半径を有する。前駆体混合物を還元雰囲気中で焼結するとO空格子点が生じ、電気抵抗率も低下する。Y3+イオンと同じ価数を示すが著しく異なるイオン半径を有する酸化物の例には、例えばであって限定するものではないが、Nd、Sm、Sc、Yb、Er、Ho及びDyが含まれる。
イットリウム含有焼結セラミックに典型的なものより低い抵抗率を必要とする半導体処理チャンバの主要な部品の1つが静電チャックである。静電チャックの設計者は、静電チャックでのプラズマアーク放電の可能性を低下させるためには、静電チャックの誘電体表面の抵抗率を半導体処理条件下で約10〜1011Ω・cmの範囲内におさめることを推奨している。この抵抗率の範囲は、約10−9〜10−7S/mの範囲内の導電率に相当する。これは、例えば10−13S/mの導電率を示すバルクSiよりもかなり低い抵抗率である。プラズマアーク放電が問題となり得るその他のコロージョン耐性表面(昇降ピン等)の場合でも、静電チャックに要求される抵抗率の範囲内の抵抗率が有用である。処理チャンバライナ等のコロージョン耐性表面の場合、抵抗率はより高く、約1014Ω・cmにもなる又はそれを超える場合もあるが、依然として許容範囲にある。
少なくとも1種の固溶体が、電気的に改質されたコロージョン耐性材料として有用な焼結セラミック材料の大半のモル%を占める。固溶体を形成するのに2種類の酸化物を使用する場合、これらの酸化物は典型的には、別の酸化物と組み合わせた酸化イットリウムを含み、この別の酸化物は典型的には酸化ジルコニウム、酸化セリウム、酸化ハフニウム、酸化ニオブ及びこれらの組み合わせから成る群から選択される。酸化スカンジウム、酸化ネオジム、酸化サマリウム、酸化イッテルビウム、酸化エルビウム及び及び酸化セリウム(及びその他のランタニド系元素酸化物)等のその他の酸化物の使用も、場合によっては許容可能と見なされる。
3種類以上の酸化物を使用して1種以上の固溶体を形成する場合、これらの酸化物は典型的には酸化イットリウム、酸化ジルコニウム及び少なくとも1種のその他の酸化物を含み、この酸化物は典型的には酸化ハフニウム、酸化スカンジウム、酸化ネオジム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせから成る群から選択される。その他のランタニド系元素の使用も特定の場合に可能である。焼結セラミックが複数の固溶体相を含む場合、典型的には2つ又は3つの相がある。少なくとも1つの固溶体相に加えて、化合物又は元素金属であるその他の相が焼結セラミック内に存在し得る。
例えばであって限定するものではないが、2種類の前駆体酸化物を使用する焼結セラミックに関して、約40モル%〜100モル%未満の範囲で酸化イットリウムが存在し且つ0モル%より多い〜約60モル%の範囲で酸化ジルコニウムが存在する固溶体を含む焼結セラミックからは、室温で約10〜約1015Ω・cmの範囲の抵抗率を有する焼結酸化物が得られることが実験により確認された。同じ範囲の抵抗率が、酸化イットリウムが0モル%より多く100モル%未満の範囲で存在し且つ酸化セリウムが0モル%より多く最高10モル%未満の範囲で存在する前駆体酸化物の組み合わせから得られることが予測される。約10〜約1011Ω・cmの範囲の抵抗率もまた、酸化イットリウムが0モル%より多く100モル%未満の範囲で存在し且つ酸化ハフニウムが0モル%より多く最高100モル%未満の範囲で存在する前駆体酸化物の組み合わせから得られることが予測される。約10〜約10Ω・cmの範囲の抵抗率を示す焼結セラミックもまた、酸化イットリウムが約48モル%〜100モル%未満の範囲で存在し且つ酸化ニオブが0%より多く最高約52モル%の範囲で存在する前駆体酸化物の組み合わせから得られることが予測される。
例えばであって限定するものではないが、3種類以上の前駆体酸化物を使用する焼結セラミックの場合、一実施形態において、焼結セラミックは、この焼結セラミックが固溶体を含み且つ焼結セラミック材料が酸化物(酸化イットリウムが約40モル%〜100モル%未満の範囲で存在し、酸化ジルコニウムが0モル%より多い〜約50モル%の範囲で存在し、酸化スカンジウムが約0モル%より多く最高100モル%未満の範囲で存在する)から形成される場合、約10〜約1015Ω・cmの範囲の抵抗率を示す。
別の実施形態において、焼結セラミックは、この焼結セラミックが固溶体を含み且つ焼結セラミック材料が酸化物(酸化イットリウムが約40モル%〜10モル%未満の範囲で存在し、酸化ジルコニウムが0モル%より多い〜約50モル%の範囲で存在し、酸化ハフニウムが約0モル%より多く最高100モル%未満で存在する場合)から形成される場合、約10〜約1015Ω・cmの範囲の電気抵抗率を示す。
更に別の実施形態において、焼結セラミックは、この焼結セラミックが固溶体を含み且つ焼結セラミック材料が酸化物(酸化イットリウムが約40モル%〜100モル%未満の範囲で存在し、酸化ジルコニウムが0モル%より多い〜約45モル%の範囲で存在し、酸化ニオブが約0モル%より多く最高80モル%の範囲で存在する場合)から形成される場合、約10〜約1015Ω・cmの範囲の電気抵抗率を示す。
一実施形態において、焼結セラミック材料は3つの相を含み、これらの相にはY−ZrO−Nbを含む第1相固溶体(焼結セラミック材料の約60モル%〜約90モル%を構成する)と、焼結セラミック材料の約5モル%〜約30モル%を構成するYNbOの第2相と元素形態のNbの第3相(焼結セラミック材料の約1モル%〜約10モル%を構成する)が含まれる。
3つの相を含む焼結セラミック材料の別の実施形態において、酸化イットリウムは約60モル%〜約75モル%の範囲で存在し、酸化ジルコニウムは約15モル%〜約25モル%の範囲で存在し、酸化ニオブは約5モル%〜約15モル%の範囲で存在する。
上記の類のY−ZrO−M材料から形成される焼結セラミック試験片において、Mがスカンジウム、ハフニウム、ニオブ又はネオジムである実施形態において、エロージョン速度は、CF/CHFプラズマへの76時間に亘る曝露後、0.16μm/時間以下であると実証された。同様のエロージョン速度が、Mがセリウム、サマリウム、エルビウム又はその他のランタニド系元素である場合に予測される。プラズマは、アプライドマテリアルズ社から入手可能なトレンチエッチプラズマ処理チャンバ(Trench Etch plasma processing chamber)用のイネーブラ(Enabler)内で生成された。プラズマ源電力は最高2000Wであり、処理チャンバ圧力は10〜500mTorrであり、基板温度は40℃であった。0.16μm/時間以下のこのエロージョン速度は、純粋なYのエロージョン速度と同等である。従って、焼結セラミックのエロージョン速度は、低抵抗率の焼結セラミックを得るための焼結セラミックの改質による影響を受けていない。
上記の焼結セラミック材料は、下層となる構造体の表面上に適用することができる。焼結セラミック材料の形成に使用する酸化物の混合物は互いに反応して、溶射工程中に上記の固溶体及びいずれの化合物を生成する。溶射工程により形成される焼結セラミックの最終相組成は、バルク焼結工程により形成されるセラミックのものと同じである。
半導体処理装置は多数の異なる基板から形成されるが、半導体産業においてはアルミニウムが、この材料について長い間観察されてきた性能特性から好まれている。2000シリーズ又は5000〜7000シリーズのアルミニウム合金を処理チャンバ及び処理部品の作製において基板として使用することが可能である。ここでアルミニウム合金は上記の類のプラズマ耐性皮膜により保護される。被覆されたアルミニウム合金はその寿命を通して優れたプラズマコロージョン耐性を有し、その寿命は、本発明の皮膜で保護していないアルミニウム合金の寿命の少なくとも2倍、最長で4倍に延びる。
上記の長い寿命を通してのコロージョン耐性を付与するために、皮膜を圧縮状態で配置するのが役立つ。これは、皮膜の適用中の堆積条件を制御することにより達成される。十分に圧縮して皮膜を配置することは、アルミニウム合金基板中の移動性の不純物が基板から皮膜中に移動して皮膜に欠陥を生じさせ、皮膜の外面と接触している反応種が皮膜に穴を開けてしまうのを防止するのに役立つ。圧縮下で皮膜を配置することにより、皮膜の密度も上昇する。皮膜の密度が上昇することで腐食性のプラズマからの保護もより良好となり、また溶射膜により保護された基板の機械加工性も改善される。多孔率は、皮膜の密度の指標である。つまり、皮膜の多孔率が低ければ低いほど、皮膜の密度は高い。多孔率は、皮膜の総体積における空間の割合として表される。本発明の方法に従って適用される酸化イットリウム皮膜は約1.4%の多孔率を有する。対照的に、従来法を使用して堆積された酸化イットリウム皮膜は、典型的には約3%〜約5%の範囲内の多孔率を有する。
適用皮膜/膜を圧縮して配置するためには、アルミニウム合金の上面を皮膜/膜の適用中に少なくとも呼び深さにまで加熱することが必要であり、そうすると基板と皮膜との間の界面を冷却した際に、収縮するアルミニウム合金により皮膜が圧縮して配置される。アルミニウム合金の上面は少なくとも250ミル(0.25インチ)の深さ及び少なくとも約150〜200℃にまで予備加熱されるべきである。基板を予備加熱する温度の上限は基板の組成に依存しており、基板は、基板のガラス転移温度より低い温度にまで加熱されるべきである。
膜/皮膜は、熱/火炎溶射、プラズマ放電溶射に加えたその他の方法を使用して適用してもよい。例えば、焼結バルクセラミックのターゲットをスパッタする形態の物理気相蒸着(PVD)及び化学気相蒸着(CVD)も採用することができる。得られる皮膜の構造は各ケースによって若干異なるが、当業者ならすぐさま調節して皮膜を所望の性能特性にすることが可能である。皮膜をスパッタリング又はCVDにより適用する場合、適用速度はずっと遅くなるため、皮膜を酸化アルミニウムの下層と組み合わせて使用するのが有利である。プラズマ溶射被覆及び熱溶射被覆により、アルミニウム合金上への直接の適用でもアルミニウム合金上の酸化アルミニウム層上への適用でも素晴らしい皮膜が得られた。
上述したように、プラズマ又は熱/火炎溶射皮膜は、裸のアルミニウム合金表面上に適用してもよい。典型的には、アルミニウム合金は、アルミニウム表面の空気への曝露に起因する天然の酸化アルミニウムの極めて薄い膜をその表面上に有している。熱/火炎溶射又はプラズマ溶射皮膜を裸のアルミニウム合金表面又は天然の酸化物しか有していない表面に適用するのが有利であるが、これは保護皮膜との間により良好な結合が得られるからである。
被覆済みの部品を塩素種に曝露されるプラズマ処理チャンバ内で使用する場合、下層のアルミニウム合金を腐食性の塩素プラズマからより良好に保護するために、プラズマ溶射又は熱/火炎溶射皮膜を、アルミニウム合金表面上に意図的に形成された酸化アルミニウム膜上に適用すべきである。この場合、酸化アルミニウム膜の厚さは約0.5ミル〜約4ミルの範囲内であり、酸化アルミニウム膜の温度は、酸化イットリウム含有保護皮膜の適用時に少なくとも約150〜200℃であるべきである。保護皮膜適用時の酸化アルミニウム膜の温度は、酸化アルミニウムのガラス転移温度を超えてはならない。
典型的には、アルミニウム合金表面を、表面の陽極酸化及び被覆前に予備的に粗面化する。アルミニウム合金表面は、例えばであって限定するものではないが、ビードブラスト法、より典型的には電気化学エッチング等の技法を使用して予備的に粗面化することができる。
改善された機械的強度を付与し且つより低い電気抵抗率をもたらし得る酸化イットリウム含有保護皮膜の適用厚さは、アルミニウム合金部品又は構造体が使用中に曝露される環境に左右される。部品又は構造体が曝露される温度が低い場合、プラズマ溶射又は熱/火炎溶射皮膜の厚さを、膨張係数の問題を引き起こすことなく上げることが可能である。例えば、部品又は構造体が約15℃〜約120℃の熱サイクルに曝露され、保護皮膜が2000シリーズ又は5000〜7000シリーズからのアルミニウム合金(その表面上に天然の酸化物を有する)上に熱/火炎溶射又はプラズマ溶射される場合、タイプAセラミック材料又はタイプBセラミック材料の酸化イットリウム含有皮膜の厚さは、約12ミル〜約20ミルとなる。約15ミルの厚さを有する皮膜は、素晴らしい結果をもたらす。厚さ約10ミルの薄い皮膜は、下層となる酸化アルミニウム皮膜と組み合わせて使用することができる。
プラズマ溶射又は熱/火炎溶射で適用されたプラズマ耐性皮膜により素晴らしい結果が得られるが、プラズマ耐性皮膜の性能を更に改良するためには、基板への適用後に皮膜を清浄化するのが有利である。清浄化処理により、半導体処理中に問題を起こす可能性のある微量の金属不純物が除去される。また、被覆済みの表面に隣接した製品(特のその製品が半導体デバイスの場合)の処理中に汚染粒子になりやすい皮膜の表面から取れた粒子が除去される。
清浄化処理により、保護皮膜の性能に影響を与えたり下層のアルミニウム合金表面に悪影響を及ぼすことなく、望ましくない汚染物質及び堆積処理副生成物が除去される。皮膜を清浄化している間、アルミニウム合金表面を保護するために、まず最初に皮膜に、接触してもアルミニウム合金に悪影響を与えない不活性溶媒を含浸させる。典型的には、被覆済みの基板を脱イオン水の超音波浴に周波数約40kHz(例えばであって限定するものではない)で約5分〜約30分に亘って浸漬する。引き続いて、化学的に活性な溶媒を適用することにより、保護皮膜から汚染物質を除去する。典型的には、被覆済み基板の表面を、希釈酸性溶液で濡らした柔らかいワイパーで約3分間〜約15分間に亘って拭う。希釈酸性溶液は典型的には約0.1〜約5容量%のHF(より典型的には、約1〜約5容量%)、約1〜約15容量%のHNO(より典型的には、約5〜約15容量%)、約80〜約99容量%の脱イオン水を含む。拭った後、次に部品を脱イオン水ですすぎ、次に脱イオン水の超音波浴に周波数約40kHz(例えばであって限定するものではない)で約30分〜約2時間(典型的には、約40分〜約1時間)に亘って浸漬する。
皮膜表面から不純物及び汚染物質を除去することに加え、希釈HF溶液での被覆済み部品の拭き取り工程により、皮膜表面がフッ素化される。皮膜表面のフッ素化により、反応性プラズマに不活性な堅牢で安定した皮膜が得られる。皮膜表面のフッ素化は、被覆済み表面をプラズマ含有フッ素種に曝露することによっても得ることが可能である。
上述したように、本願で詳細に説明した特殊セラミック材料は、基板表面上での火炎/熱溶射又はプラズマ溶射中に焼結される間に形成される。当該分野で既知のその他の適用技法に加え、焼結した材料のターゲットからのスパッタリング又は基板表面への化学気相蒸着等を使用して、様々な基板の表面にセラミック皮膜を形成することができる。このような基板には金属及びセラミック基板が含まれ、例えばアルミニウム、アルミニウム合金、ステンレススチール、アルミナ、窒化アルミニウム及び石英が含まれるがこれらに限定はされない。
上記の実施形態についての理解を支援するために、上記の具体的な実施形態を添付の図面を参照しながらより詳細に説明する。しかしながら、添付の図面は典型的な実施形態の一部しか図示しておらず、従って本願に記載の発明の範囲を限定するものとは解釈されないことに留意すべきである。本発明は、その他の同等に効果的な実施形態を含む。
様々な材料についての温度の関数としての電気抵抗率を示すグラフ100であり、印加電圧は空気環境において1000Vであった。 −ZrO−Alの相図200である。この相図は、その他の組成の中でもとりわけこの相図で領域Aとして識別された特殊材料の組成を参考までに示す。タイプAセラミック材料は、ハロゲンプラズマによるエロージョンに対して素晴らしい耐性を示すセラミック組成物である。 −ZrO−Nbの相図300である。この相図は、その他の組成の中でもとりわけこの相図で領域Bとして識別された特殊材料の組成を参考までに示す。タイプBセラミック材料は、ハロゲンプラズマによるエロージョンに耐性を示すだけでなく、例えばタイプAセラミック材料よりも制御された低い電気抵抗率も示すセラミック組成物である。 様々な材料についての印加電圧の関数としての電気抵抗率を示すグラフ400であり、測定は、空気環境において室温(約27℃)で行われた。 CF及びCHFソースガスから発生したプラズマに曝露された様々な焼結セラミック材料についての、純粋な酸化イットリウムのエロージョン速度に対して正規化された模範的な平均エロージョン速度を示す棒グラフ500である。 本願に記載の類の特殊酸化イットリウム含有皮膜の適用に有用なプラズマ溶射システムの1つのタイプの断面図600である。
例示的実施形態の詳細な説明
詳細な説明の序文として、本明細書及び添付の請求項において、明確に指示がない限り、単数形の冠詞には複数の指示対象が含まれることに留意すべきである。
本願において「約」という単語を使用する場合、これは記載の公称値が±10%以内で正確であることを意味すると意図している。
ここではハロゲン含有プラズマを使用する半導体デバイス処理条件下においてコロージョン耐性を示すように開発された特殊セラミック材料について説明する。特定の実施形態において、この特殊材料は、プラズマエロージョン耐性を付与するようにこれまでに開発された同様のセラミック材料より低い電気抵抗率を有するように改質されている。低電気抵抗率は、半導体処理チャンバ内の様々な部品でのプラズマアーク放電の可能性を低下させるのに役立つ(例えばであって限定するものではないが、静電チャックの表面又は基板昇降ピンでよく起こり、プラズマアーク放電がもっと問題となる)。今までは部品又は少なくとも部品の表面を窒化アルミニウム又は酸化アルミニウムから作製し、電気的特性を付与する場合はドープを施していた。この材料により所望の電気的特性は得られたが、コロージョン/エロージョン速度は比較的早く、特定の部品の有効寿命を制限し、また部品の修理及び交換により長い休止時間を必要としていた。
更に、プラズマ処理半導体装置内の処理チャンバライナ及び機能部品として使用される様々な材料の電気的特性は、プラズマの挙動に影響を与える。プラズマの挙動における変化はプラズマ処理特性に影響を与え、その影響が大きい場合、その他の処理変数を変更してプラズマ挙動における変化を調整する必要がある。デバイス作製のための処理変数を再設定するより、許容可能な電気的特性を有するエロージョン耐性セラミック材料を開発するほうが実際的である。許容可能なプラズマコロージョン/エロージョン特性を示すセラミック材料の一部だけを改質して、プラズマと接触する部品に有用な所望の範囲内で電気抵抗特性を制御することが可能である。本明細書を読んだ当業者なら、比較的自信をもってセラミック材料を生成するための酸化物の組み合わせを選択することができるであろう。
利便性により、所望の電気的特性を有する許容可能なハロゲンプラズマコロージョン/エロージョン耐性セラミック材料の開発は、焼結セラミックの使用により行われた。焼結セラミックは、当該分野で周知の技法により製造された。その他の実施形態において、同じ一般組成を有する許容可能なハロゲンプラズマコロージョン/エロージョンセラミック材料は、下層材料(アルミニウム又はアルミニウム合金等)上に皮膜として、例えば熱/火炎溶射又はプラズマ溶射を利用して適用される。別の実施形態においては、焼結セラミック材料を使用して、下層材料上への物理気相蒸着によるセラミック材料の適用に使用できるターゲットを作製する(特に、処理チャンバライナ等の保護セラミック材料の適用対象である装置が大きい場合)。
上述したように、本発明の焼結セラミック材料は酸化イットリウムを含む。イットリウム含有焼結セラミック材料の抵抗率は変化させられ得る。一例示的な技法においては、少なくとも1種のその他の酸化物を酸化イットリウムに添加して、混合物を焼結する。この少なくとも1種のその他の酸化物の正イオンは、Y3+イオンとは異なる価数を有しており、Y空格子点を形成し、電気抵抗率の低下につながる。このような酸化物の例にはCeO、TiO、ZrO、HfO及びNbが含まれるが、これらは例であって限定はされない。別の例示的な技法においては、少なくとも1種のその他の酸化物を酸化イットリウムに添加し、混合物を還元雰囲気中で焼結するが、この少なくとも1種のその他の酸化物の正イオンは、Y3+イオンと同じ価数を示すものの、Y3+イオンとは著しく異なるイオン半径を有する。この結果、O空格子点が生じ、電気抵抗率も抵抗する。Y3+イオンと同じ価数を示すが著しく異なるイオン半径を有する酸化物の例には、例えばであって限定するものではないが、Nd、Sm、Sc、Yb、Er、Ho、Dyが含まれる。
半導体処理装置は多数の異なる基板から形成することができるが、半導体産業においてはアルミニウムが、この材料について長い間観察されてきた性能特性から好まれている。2000シリーズ又は5000〜7000シリーズのアルミニウム合金を処理チャンバ及び処理部品の作製における基板として使用することが可能である。ここでアルミニウム合金は、酸化イットリウムの結晶質固溶体を使用するタイプAセラミック材料又はタイプBセラミック材料として上述した類のプラズマ耐性皮膜により保護される。被覆されたアルミニウム合金はその寿命を通して優れたプラズマコロージョン耐性を有し、その寿命は、本発明の皮膜で保護していないアルミニウム合金の寿命の少なくとも2倍、最長で4倍に延びる。
上記の長い寿命を通してのコロージョン耐性を付与するために、皮膜を圧縮状態で配置するのが役立つ。十分に圧縮して皮膜を配置することは、アルミニウム合金基板中の移動性の不純物が基板から皮膜中に移動して皮膜に欠陥を生じさせるのを防止するのに役立つ。圧縮下で皮膜を配置することにより、皮膜の密度も上昇する。多孔率は、皮膜の密度の指標である。つまり、皮膜の多孔率が低ければ低いほど、皮膜の密度は高い。多孔率は、皮膜の総体積における空間の割合として表される。本発明の方法に従って適用される酸化イットリウム皮膜は約1.4%の多孔率を有する。対照的に、従来法を使用して堆積された酸化イットリウム皮膜は、典型的には約3%〜約5%の範囲内の多孔率を有する。適用皮膜/膜を圧縮して配置するためには、アルミニウム合金基板の上面を皮膜/膜の適用中に少なくとも呼び深さにまで加熱することが必要であり、そうすると基板と皮膜との間の界面を冷却した際に、収縮するアルミニウム合金により皮膜が圧縮して配置される。アルミニウム合金の上面は少なくとも250ミル(0.25インチ)の深さ及び少なくとも約150〜200℃にまで予備加熱されるべきである。基板を予備加熱する温度の上限は基板の組成に依存しており、基板は、基板のガラス転移温度より低い温度にまで加熱されるべきである。
被覆済みの部品を塩素種に曝露されるプラズマ処理チャンバ内で使用する場合、下層のアルミニウム合金を腐食性の塩素プラズマからより良好に保護するために、プラズマ溶射又は熱/火炎溶射皮膜を、アルミニウム合金表面上に意図的に形成された酸化アルミニウム膜上に適用すべきである。この場合、酸化アルミニウム膜の厚さは約0.5ミル〜約4ミルの範囲内であり、酸化アルミニウム膜の温度は、酸化イットリウム含有保護皮膜の適用時に少なくとも約150〜200℃であるべきである。保護皮膜適用時の酸化アルミニウム膜の温度は、酸化アルミニウムのガラス転移温度を超えてはならない。
典型的には、アルミニウム合金表面を、表面の陽極酸化及び被覆前に予備的に粗面化する。アルミニウム合金表面は、例えばであって限定するものではないが、ビードブラスト法、より典型的には電気化学エッチング等の技法を使用して予備的に粗面化することができる。
結晶質固溶体を使用する酸化イットリウム含有保護皮膜の適用厚さは、アルミニウム合金部品又は構造体が使用中に曝露される環境に左右される。部品又は構造体が曝露される温度が低い場合、プラズマ溶射又は熱/火炎溶射皮膜の厚さを、膨張係数の問題を引き起こすことなく上げることが可能である。例えば、部品又は構造体が約15℃〜約120℃の熱サイクルに曝露され、保護皮膜が2000シリーズ又は5000〜7000シリーズからのアルミニウム合金(その表面上に天然の酸化物を有する)上に熱/火炎溶射又はプラズマ溶射される場合、酸化イットリウム含有皮膜の厚さは、約12ミル〜約20ミルとなる。約15ミルの厚さを有する皮膜は、素晴らしい結果をもたらす。厚さ約10ミルの薄い皮膜は、下層となる酸化アルミニウム皮膜と組み合わせて使用することができる。
熱/火炎溶射又はプラズマ溶射を使用する場合、プラズマ耐性保護皮膜の性能を更に改良するためには、基板への適用後に皮膜を清浄化するのが有利である。清浄化処理により、半導体処理中に問題を起こす可能性のある微量の金属不純物が除去される。また、被覆済みの表面に隣接した製品(特のその製品が半導体デバイスの場合)の処理中に汚染粒子になりやすい皮膜の表面から取れた粒子が除去される。
清浄化処理により、保護皮膜の性能に影響を与えたり下層のアルミニウム合金表面に悪影響を及ぼすことなく、望ましくない汚染物質及び堆積処理副生成物が除去される。皮膜を清浄化している間、アルミニウム合金表面を保護するために、まず最初に皮膜に、接触してもアルミニウム合金に悪影響を与えない不活性溶媒を含浸させる。典型的には、被覆済みの基板を脱イオン水の超音波浴に周波数約40kHz(例えばであって限定するものではない)で約5分〜約30分に亘って浸漬する。引き続いて、化学的に活性な溶媒を適用することにより、保護皮膜から汚染物質を除去する。典型的には、被覆済み基板の表面を、希釈酸性溶液で濡らした柔らかいワイパーで約3分間〜約15分間に亘って拭う。希釈酸性溶液は典型的には約0.1〜約5容量%のHF(より典型的には、約1〜約5容量%)、約1〜約15容量%のHNO(より典型的には、約5〜約15容量%)、約80〜約99容量%の脱イオン水を含む。拭った後、次に部品を脱イオン水ですすぎ、次に脱イオン水の超音波浴に周波数約40kHz(例えばであって限定するものではない)で約30分〜約2時間(典型的には、約40分〜約1時間)に亘って浸漬する。
皮膜表面から不純物及び汚染物質を除去することに加え、希釈HF溶液での被覆済み部品の拭き取り工程により、皮膜表面がフッ素化される。皮膜表面のフッ素化により、反応性プラズマに不活性な堅牢で安定した皮膜が得られる。皮膜表面のフッ素化は、被覆済み表面をプラズマ含有フッ素種(約1x10/cmの範囲の密度を有するCFプラズマ又はCHF/CFプラズマ)に少なくとも部分的にフッ素化された被覆表面を得るのに十分な条件下及び時間に亘って曝露することによっても得ることが可能である。
本願で詳細に説明した特殊セラミック材料は、基板表面上で火炎/熱溶射又はプラズマ溶射中に焼結された。しかしながら、上述したように、特殊セラミック材料を使用したその他の皮膜適用方法も考えられる。例えば、皮膜を、当該分野で周知の技法を使用して、焼結セラミック材料のターゲットからスパッタしてもよい。加えて、本願に記載の特殊な組成を有する皮膜を、化学気相蒸着(CVD)を使用して適用してもよい。皮膜は様々な基板に適用することができ、限定するものではないがアルミニウム、アルミニウム合金、ステンレススチール、アルミナ、窒化アルミニウム及び石英が含まれる。これらの被覆技法は例えばであって限定するものではない。
典型的には、機械的性質を改善する溶射被覆セラミック材料は、主に少なくとも1種の固溶体相、より典型的には2種類の固溶体相を含み、化合物及び/又は元素相との組み合わせとして存在してもよい。例えば、多相セラミックは、典型的に、酸化イットリウム、酸化ジルコニウム及び/又は希土類酸化物から成る1種以上の固溶体相を、イットリウム−アルミニウム化合物と組み合わせて含有する。Y(酸化イットリウム)のモル濃度が約50モル%〜約75モル%であり、ZrO(酸化ジルコニウム)のモル濃度が約10モル%〜約30モル%であり、Al(酸化アルミニウム)のモル濃度が約10モル%〜約30モル%である開始組成物から成るセラミック材料により、ハロゲン含有プラズマへの優れたエロージョン耐性が得られる一方で進化した機械的性質が付与され、部品の損傷をあまり心配せずに固体セラミック処理部品を取り扱うことができるようになる。酸化アルミニウムに代わる、機械的性質の改善に役立つその他の酸化物には、HfO(酸化ハフニウム)、Sc(酸化スカンジウム)、Nd(酸化ネオジム)、Nb(酸化ニオブ)、Sm(酸化サマリウム)、Yb(酸化イッテルビウム)、Er(酸化エルビウム)、Ce(又はCeO)(酸化セリウム)又はこれらの組み合わせが含まれる。
一般的な参考として、複合材料は、物理的又は化学的な特性が著しく異なる、最終構造体内において巨視的なレベルではっきりと分離したままの2種類以上の構成材料から成る。構成材料はマトリックス及び補強剤から成る。マトリックス材料は補強材料に対しての相対位置を維持することにより少なくとも1種の補強材料を取り巻き及び支持する。しかしながら、構成材料は著しく異なる特性を有するため、完成した構造体において巨視的なレベルではっきりと分離したままである。この類の材料は、本願で記載するような熱/火炎溶射又はプラズマ溶射によって形成される類のセラミック材料とは異なる。
改善された機械的強度を示す溶射被覆された特殊酸化イットリウム含有材料に加え、低電気抵抗率を提供する同様のセラミック材料を同様に溶射被覆してもよい。低電気抵抗率は、半導体処理チャンバ内の様々な部品でのプラズマアーク放電の可能性を低下させるのに役立つ(例えばであって限定するものではないが、静電チャックの表面又は基板昇降ピンでよく起こる)。今までは部品又は少なくとも部品の表面を窒化アルミニウムから作製し、電気的特性を付与する場合はドープを施していた。この材料により所望の電気的特性は得られたが、窒化アルミニウムのコロージョン/エロージョン速度は比較的早く、特定の部品の有効寿命を制限し、また部品の修理及び交換により長い休止時間を必要としていた。
上述したように、本発明の焼結セラミック材料は酸化イットリウムを含む。このイットリウム含有焼結セラミック材料の電気抵抗率は変化させてもよい。ある例示的な技法においては、少なくとも1種のその他の酸化物を酸化イットリウムに添加し、その混合物を焼結する。その少なくとも1種のその他の酸化物の正イオンは、Y3+イオンとは異なる価数を有し、Y空格子点を形成して電気抵抗率の低下をもたらす。このような酸化物の例には、例えばであって限定するものではないが、CeO、TiO、ZrO、HfO及びNbが含まれる。別の例示的な技法においては、少なくとも1種のその他の酸化物を酸化イットリウムに添加し、その混合物を還元雰囲気中で焼結する。この少なくとも1種のその他の酸化物の正イオンはY3+イオンと同じ価数を示すが、Y3+イオンとは著しく異なるイオン半径を有する。これによりO空格子点が生じ、電気抵抗率も低下する。Y3+イオンと同じ価数を示すが著しく異なるイオン半径を有する酸化物の例には、例えばであって限定するものではないが、Nd、Sm、Sc、Yb、Er、Ho及びDyが含まれる。
ここに至るまで多数の例示的な焼結セラミック材料について調査してきた。以下の表は、生成して評価した焼結セラミック材料の一部を示す。これらの材料の評価については後に説明する。
Figure 0005506678
実施例1
図1は、本発明の例示的な実施形態に従って生成されたタイプA及びタイプB材料を含む様々なセラミック材料の電気抵抗率を示すグラフ100である。抵抗率は、軸102の温度の関数として軸104に示される。抵抗率は、ASTM D1829−66又はJIS C2141に準拠した標準試験条件を採用して空気環境内で1000Vで測定された。
図1に図示の曲線106は、表において試料4として記載のNb含有焼結セラミック材料を表す。Nbを含む焼結セラミック材料に関して、許容可能な電気抵抗率値は、図3の相図によって示されるように、追加の組成についても同様に得られることが予測される。焼結セラミック材料は3種類の相を含み、Y−ZrO−Nbを含む第1相固溶体(焼結セラミック材料の約60モル%から約90モル%を構成する)と、焼結セラミック材料の約5モル%から約30モル%を構成するYNbOの第2相と元素形態のNbの第3相(焼結セラミック材料の約1モル%から約10モル%を構成する)が含まれる。この材料は、アーク放電を防止するのに抵抗率を低くする必要がある際に特に有用である。抵抗率は、室温で約1011Ω・cm未満であり、200℃で約10Ω・cmであり、典型的な半導体処理条件下において10Ω・cmの範囲の抵抗率を示す。
図1に図示のNb含有焼結セラミック材料の一実施形態は、Nb−ZrO−Yとして言及される。図3を参照すると、相図のある領域がBと分類されている。この表示は、焼結セラミック材料の固溶体組成物が、Yを濃度約55モル%〜約80モル%、ZrOを濃度約5モル%〜約25モル%、Nb、HfO、Nd又はSc等の添加物を濃度約5モル%〜約25モル%で含むことを示している。
実施例2
図1の曲線108は、本発明に従って生成されたHfO含有焼結セラミック材料を表し、表においては試料1として記載されている。このセラミック材料は、Nb含有材料より高い抵抗率を示すが、静電チャック又は基板昇降ピンよりアーク放電が問題とならない半導体処理装置部品の作製に有用である。
実施例3
図1の曲線110は、本発明に従って生成されたSc含有焼結セラミック材料を表し、表においては試料2として記載されている。ここでもまた、この材料は抵抗率要件が1011Ω・cmである用途において使用され得る。
実施例4(比較例)
図1の曲線112は、図2の相図に図示されるY−ZrO−Al材料を表す。この材料は制御された抵抗率のセラミック材料に関してのみ比較例として説明される。この焼結セラミック材料は、Y及びZrOから成る固溶体及びY及びAl酸化物から成る化合物を含む。典型的な焼結セラミック材料は、濃度約60モル%〜約65モル%のY、濃度約20モル%〜約25モル%のZrO、濃度約10モル%〜約15モル%のAlから生成される。図2の相図において領域Aとして図示され、また図1においてY−ZrO−Alについてのグラフによって表される焼結セラミック材料の一実施形態は、立方晶イットリアタイプの結晶構造を有する約60モル%の固溶体(ここでc−Yは溶媒であり、Zrは溶質である)と、ホタル石タイプの結晶構造を有する約2モル%の固溶体(ここでZrOは溶媒であり、Yは溶質である)と、約38モル%のYAM(YAl)化合物を含有する。
実施例5(比較例)
図1の曲線114は、表において試料3として記載のNd含有焼結セラミック材料を表す。この材料は、放電を防止するのに必要な要件を満たしておらず、本発明を構成する独自のセラミック材料の一部ではない比較例であると見なされる。
実施例6(比較例)
図1の曲線116は、純粋なYの焼結セラミックについて観察された電気抵抗特性を表す。この材料もまた比較例であり、ベースラインとして有用であるが、これは多数の半導体装置部品が純粋なYから作製されているからである。純粋なYの抵抗率と比較すると、本発明によって達成される電気抵抗率における極めて著しい改善がわかる。
図1では曲線120(この曲線は静電チャックの作製に一般的に使用される類のドープ窒化アルミニウムを表す)及び曲線122(同じく低電気抵抗率を必要とする静電チャック及びその他の半導体処理装置の作製に使用される第2のドープ窒化アルミニウムを表す)も図示されている。
実施例7
図4は、多数の焼結セラミック試験試料についての、抵抗率試験中に印加された電圧の関数としての電気抵抗率を示すグラス400である。抵抗率は軸404に、電圧は軸402に示される。試験温度は室温(約27℃)である。このグラフの目的は、抵抗率が低下するように制御された本発明のコロージョン耐性セラミックの実施形態と、現行のドープ窒化アルミニウムセラミックとの間における抵抗率の違いを示すことである。ドープ窒化アルミニウムセラミックは若干低い抵抗率を有するが、そのコロージョン速度は、抵抗率が低下するよう改質された酸化イットリウム含有セラミックのコロージョン速度より少なくとも2倍速い。
特に、図4の曲線422は、静電チャックの作製に現在使用されている類のドープ窒化アルミニウムセラミックを表す。曲線420は、静電チャック及びその他の低抵抗率の部品の作製に使用される別のドープ窒化アルミニウムセラミックを表す。
図4の曲線406は、表においては試料4と記載のNb含有焼結セラミック材料を表す。抵抗率が低下するように改質されたこの酸化イットリウム含有材料は、AIN−1として識別されたドープ窒化アルミニウムの抵抗率に極めて近い抵抗率を示す。しかしながら依然として、ドープ窒化アルミニウムのコロージョン速度は、図5の棒グラフ500により表されるように、曲線406で表される酸化イットリウム含有材料のコロージョン速度より10倍も速い。
図4の曲線408は、表において試料1として記載のHfO含有焼結セラミック材料を表す。このセラミック材料は、Nb含有材料より高い抵抗率を示し、室温においては、プラズマアーク放電がより起こりやすい部品に関して推奨される範囲を超えた抵抗率を示す。しかしながら、一部の半導体処理において存在する温度である200℃において、抵抗率は、図1の曲線108で示されるように、許容範囲内におさまる。
図4の曲線410は、表において試料2として記載のSc含有セラミック材料を表す。ここでもまた、この材料は、抵抗率要件が1011Ω・cmである用途において、処理温度が200℃の場合に使用することができる。
(イットリア含有固溶体を含有する制御された電気抵抗率のセラミックに対する)比較を目的として、図4の曲線412は、図2に示すY、ZrO及びAlを含むセラミックタイプAの材料を表す。図1に示されるこのようなタイプA材料の一実施形態は、約60モル%の立方晶イットリアタイプ構造体(c−Yを溶媒、Zrを溶質とする)、約2モル%のホタル石タイプ構造固溶体(ZrOを溶媒、Yを溶質とする)、約38モル%のYAM(YAl)化合物を含有する。タイプAのHPM材料は許容可能なコロージョン耐性及び賞賛に値する機械的性質を示すが、電気抵抗率は所望の範囲の最高1011Ω・cmより著しく高い。図1の曲線112によって表されるように、200℃でもこの通りである。この材料は、電気抵抗率を変えたコロージョン耐性セラミックについての実施形態には含まれない。
比較を目的として、図4の曲線414は、表において試料3として記載のNd含有焼結セラミック材料を示す。この材料は、放電を防止するのに必要な要件を満たしておらず、本発明を構成する独自のセラミック材料の一部ではない比較例であると見なされる。
比較を目的として、図4の曲線416は、純粋なYの焼結セラミックについて観察された電気抵抗特性を表す。この材料もまた比較例であり、ベースラインとして有用であるが、これは多数の半導体装置部品が純粋なYから作製されているからである。純粋なYの抵抗率と比較すると、本発明によって達成される電気抵抗率における極めて著しい改善がわかる。
実施例8
図5は、プラズマに曝露された様々な焼結セラミック材料についての、Yのエロージョン速度に正規化された平均エロージョン速度を示す棒グラフ500である。プラズマはCF及びCHFソースガスから発生させた。プラズマ処理チャンバはアプライドマテリアルズ社から入手可能なトレンチエッチのためのイネーブラであった。プラズマ源電力は最高2000W、処理チャンバ圧力は10〜500mTorr、基板温度は約40℃、時間は76時間であった。軸502は、エロージョン耐性について試験した様々な材料を示す。Y−10ZrOと識別された試験片は、100重量部のYを10重量部のZrOと共に焼結することにより形成された焼結固溶体セラミック試験片を表す。Nb又はHfO又はNd又はScを含有すると識別された試験片は、これらの材料のそれぞれを含有すると記載された表の組成物を表す。軸504に示されるエロージョン速度を比較すると、抵抗率を変化させた酸化イットリウム含有焼結セラミック材料のエロージョン速度は、基本的に純粋な酸化イットリウムのエロージョン速度と同じであることを示す。更に、抵抗率を変化させた酸化イットリウム含有焼結セラミックのエロージョン速度は、実質的にAl、AlN、ZrO、石英、W/ZrC、BC、SiC、半導体処理チャンバライナ用及び半導体処理装置内部部品用のハロゲンプラズマコロージョン耐性材料を得るのに使用されてきたその他のセラミック材料のエロージョン速度よりも良好である。
上記の実施例をもたらした実験中に得られた結果及びその他の参考資料からのデータに基づいて、プラズマ漏れ電流における紫外線の作用を見積もるための計算が行われた。(半導体処理において使用される類の)プラズマ環境における紫外線は、電気抵抗率を変化させた酸化イットリウム含有焼結セラミック材料の漏れ電流に影響しない。
193nmの紫外線(一部の半導体処理操作で採用される)がNbタイプB焼結セラミック材料及びHfOタイプB焼結セラミック材料における漏れ電流に与える影響についての研究は、これらの材料の電気的性能は、このような紫外線照射により影響されないことを示した。
プラズマと接触する半導体処理装置として有用なセラミック含有物には、例えばであって限定するものではないが、蓋、ライナ、ノズル、ガス分散プレート、シャワーヘッド、静電チャック部品、シャドーフレーム、基板保持フレーム、処理キット、チャンバライナが含まれる。
図6は、本発明の皮膜を適用する際に有用な、あるタイプのプラズマ溶射システム(ツインアノードアルファトーチ638)の概略断面図600である。図6に図示のこの特定の装置は、エアロプラズマK.K.(日本、東京)から入手可能なAPS7000シリーズのエアロプラズマ溶射システムである。装置600は、以下の部品:第1DC主電極602、第1補助電極604、第1アルゴン源606、第1空気源608、溶射材料粉末源610、カソードトーチ612、アクセレレータノズル614、プラズマアーク616、第2DC主電極618、第2補助電極620、デュアルアノードトーチ622A及び622B、第2アルゴン源626、第2空気源(プラズマトリミング)628A及び628B、第3アルゴン源636、プラズマジェット632、溶融粉末源634、溶射する母材源624を含む。
ツインアノードαトーチ638は2つのアノードトーチから成るため、各アノードトーチは熱負荷の半分を担う。ツインアノードトーチα638を使用して、高電圧を比較的低い電流で得ることが可能なため、各トーチの熱負荷は低くなる。トーチの各ノズル及び電極ロッドは別々に水冷され、アーク開始点及び終了点は不活性ガスにより保護されるため、200時間以上に亘る安定した運転が確保され、消耗部品の寿命は伸び、メンテナンスのコストが低下する。
高温の安定したアークが、カソードトーチ612とアノードトーチ622との間に形成され、溶射材料をアーク内に直接供給することが可能である。溶射材料は高温のアーク柱により完全に溶融する。アーク開始点及び終了点は不活性ガスにより保護されるため、空気又は酸素を、アクセレレータノズル614を通して導入されるプラズマガスに使用することが可能である。
プラズマトリミング機能628をツインアノードαに使用する。プラズマトリミングにより溶射材料の溶融に貢献しないプラズマジェットの熱をトリムし、基板材料及び膜への熱負荷を軽減し、短距離での溶射を可能にする。
当業者なら、本発明の方法を同様のタイプの溶射被覆装置に適合させることができる。上記の例示的な実施形態は本発明の範囲を限定することを意図しておらず、当業者なら、本開示を考慮して、このような実施形態を発展させて以下の本発明の特許請求の範囲の主題に対応させることが可能である。

Claims (12)

  1. 半導体プラズマ処理チャンバ内で使用される物品に溶射被覆セラミック面を提供する方法であって、溶射被覆セラミック面は、ハロゲン含有プラズマへのエロージョン耐性と、100V及び300℃の温度で測定されたとき、10〜1014Ω・cmの範囲内の電気抵抗率を示し、これによって前記半導体処理チャンバ内でのプラズマアーク放電の可能性を低下させ、皮膜が火炎溶射、熱溶射及びプラズマ溶射から成る群から選択された技法を使用して溶射され、前記溶射被覆セラミック皮膜が、前記溶射プロセスの間、固溶体皮膜を形成するために用いられる少なくとも2種類の前駆体酸化物から形成され、前記少なくとも2種類の前駆体酸化物は、酸化イットリウムと、酸化ジルコニウム、酸化セリウム、酸化ハフニウム、酸化ニオブ、酸化スカンジウム、酸化ネオジム、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、及びそれらの組み合わせから成る群から選択された少なくとも1種の他の酸化物である方法。
  2. 皮膜が、約80モル%より多い〜100モル%未満の範囲で存在する酸化イットリウム及び0モル%より多い〜約20モル%の範囲で存在する酸化セリウムの前駆体材料から形成される請求項1記載の方法。
  3. 皮膜が、約0モル%より多い〜100モル%未満の範囲で存在する酸化イットリウム及び0モル%より多い〜約100モル%の範囲で存在する酸化ハフニウムの前駆体材料から形成される請求項1記載の方法。
  4. 皮膜が、約48モル%より多い〜100モル%未満の範囲で存在する酸化イットリウム及び0モル%より多い〜約52モル%の範囲で存在する酸化ニオブの前駆体材料から形成される請求項1記載の方法。
  5. 皮膜が、約40モル%〜約100モル%未満の範囲で存在する酸化イットリウム及び0モル%より多い〜約50モル%の範囲で存在する酸化ジルコニウム及び約0モル%より多く最高100モル%未満の範囲で存在する酸化スカンジウムの前駆体材料から形成される請求項1記載の方法。
  6. 皮膜が、約40モル%〜約100モル%未満の範囲で存在する酸化イットリウム、0モル%より多い〜約50モル%の範囲で存在する酸化ジルコニウム及び約0モル%より多く最高100モル%未満の範囲で存在する酸化ハフニウムの前駆体材料から形成される請求項1記載の方法。
  7. 皮膜が、約40モル%〜約100モル%未満の範囲で存在する酸化イットリウム、0モル%より多い〜約45モル%の範囲で存在する酸化ジルコニウム、約0モル%より多く最高80モル%未満の範囲で存在する酸化ニオブの前駆体材料から形成される請求項1記載の方法。
  8. 前記溶射被覆セラミック皮膜は、溶射被覆セラミック皮膜の約5モル%から約30モル%を構成し、酸化イットリウム、酸化ジルコニウム、及び酸化ニオブを含む第1相固溶体と、溶射被覆セラミック皮膜の約5モル%から約30モル%を構成するYNbOの第2相と、溶射被覆セラミック皮膜の約1モル%から約10モル%を構成する元素形態のNbの第3相とを含む3種類の相を含む請求項7記載の方法。
  9. 前記皮膜の下層にある前記物品は、アルミニウム、アルミニウム合金、ステンレススチール、アルミナ、窒化アルミニウム、石英、及びそれらの組み合わせから成る群から選択された材料を含む請求項1〜8のいずれか1項記載の方法。
  10. 皮膜が、50モル%〜75モル%の範囲で存在する酸化イットリウム、10モル%〜30モル%の範囲で存在する酸化ジルコニウム及び10モル%〜30モル%の範囲で存在する酸化スカンジウムの前駆体材料から形成される請求項5記載の方法。
  11. 皮膜が、50モル%〜75モル%の範囲で存在する酸化イットリウム、10モル%〜30モル%の範囲で存在する酸化ジルコニウム及び10モル%〜30モル%の範囲で存在する酸化ハフニウムの前駆体材料から形成される請求項6記載の方法。
  12. 皮膜が、50モル%〜75モル%の範囲で存在する酸化イットリウム、10モル%〜30モル%の範囲で存在する酸化ジルコニウム、10モル%〜30モル%の範囲で存在する酸化ニオブの前駆体材料から形成される請求項7記載の方法。
JP2010519236A 2007-08-02 2008-07-30 イットリウム含有保護皮膜による半導体処理装置の被覆方法 Active JP5506678B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/890,221 2007-08-02
US11/890,221 US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
PCT/US2008/009221 WO2009017766A1 (en) 2007-08-02 2008-07-30 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014054887A Division JP5978236B2 (ja) 2007-08-02 2014-03-18 イットリウム含有保護皮膜による半導体処理装置の被覆方法

Publications (3)

Publication Number Publication Date
JP2010535288A JP2010535288A (ja) 2010-11-18
JP2010535288A5 JP2010535288A5 (ja) 2011-09-15
JP5506678B2 true JP5506678B2 (ja) 2014-05-28

Family

ID=40304675

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010519236A Active JP5506678B2 (ja) 2007-08-02 2008-07-30 イットリウム含有保護皮膜による半導体処理装置の被覆方法
JP2014054887A Expired - Fee Related JP5978236B2 (ja) 2007-08-02 2014-03-18 イットリウム含有保護皮膜による半導体処理装置の被覆方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014054887A Expired - Fee Related JP5978236B2 (ja) 2007-08-02 2014-03-18 イットリウム含有保護皮膜による半導体処理装置の被覆方法

Country Status (6)

Country Link
US (1) US20080213496A1 (ja)
JP (2) JP5506678B2 (ja)
KR (1) KR101491437B1 (ja)
CN (2) CN103436836A (ja)
TW (1) TWI441794B (ja)
WO (1) WO2009017766A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013063904A (ja) * 2007-08-02 2013-04-11 Applied Materials Inc 制御された電気抵抗率を備えた耐プラズマ性セラミック

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
EP2350334A2 (en) * 2008-11-04 2011-08-03 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
FR2944293B1 (fr) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions Procede d'elaboration par projection thermique d'une cible
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
JP5837733B2 (ja) * 2009-04-24 2015-12-24 国立大学法人東北大学 水分発生用反応炉
KR101101910B1 (ko) * 2009-06-03 2012-01-02 한국과학기술연구원 반도체 제조 장비용 다성분계 열용사 코팅물질, 그 제조방법 및 코팅방법
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
JP5692772B2 (ja) * 2009-11-26 2015-04-01 国立大学法人東北大学 表面保護膜、接ガス部材、ガス処理装置及びメカニカルポンプ
JP5665408B2 (ja) * 2010-08-04 2015-02-04 国立大学法人東北大学 水分発生用反応炉
JP2012036053A (ja) * 2010-08-10 2012-02-23 Covalent Materials Corp 耐食性部材
SG2014008239A (en) 2011-08-10 2014-03-28 Entegris Inc Aion coated substrate with optional yttria overlayer
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
TWI546415B (zh) 2011-09-26 2016-08-21 福吉米股份有限公司 含有稀土類元素之熔射用粉末及皮膜、以及具備前述皮膜之構件
KR20140072110A (ko) 2011-09-26 2014-06-12 가부시키가이샤 후지미인코퍼레이티드 희토류 원소를 포함한 용사용 분말 및 피막 및 상기 피막을 구비한 부재
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
CN103074563B (zh) * 2011-10-26 2017-09-12 中国科学院微电子研究所 一种y2o3耐侵蚀陶瓷涂层的改进方法
US20140360407A1 (en) * 2011-12-28 2014-12-11 Fujimi Incorporated Yttrium oxide coating film
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103866286B (zh) * 2012-12-18 2016-12-28 中微半导体设备(上海)有限公司 用于半导体基片反应室内部的部件及制造方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
WO2015077601A1 (en) * 2013-11-21 2015-05-28 Entegris, Inc. Surface coating for chamber components used in plasma systems
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6221818B2 (ja) * 2014-02-25 2017-11-01 日本ゼオン株式会社 グラビア塗工装置
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105225923B (zh) * 2014-05-29 2019-11-26 上海矽睿科技有限公司 用于键合的铝材料的预处理方法及键合方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
WO2015200432A1 (en) * 2014-06-27 2015-12-30 Meacham Kirby G B Variable compression connecting rod
WO2016002480A1 (ja) * 2014-06-30 2016-01-07 日本碍子株式会社 MgO系セラミックス膜、半導体製造装置用部材及びMgO系セラミックス膜の製法
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6350380B2 (ja) * 2015-04-28 2018-07-04 信越化学工業株式会社 希土類磁石の製造方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6668024B2 (ja) * 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
FR3043679B1 (fr) * 2015-11-12 2021-07-23 Aptar Stelmi Sas Procede de traitement d'un element de conditionnement en elastomere, et element de conditionnement ainsi traite.
WO2017128171A1 (zh) * 2016-01-28 2017-08-03 深圳市商德先进陶瓷股份有限公司 耐等离子刻蚀陶瓷体及其制造方法、等离子刻蚀设备
WO2017155711A1 (en) * 2016-03-11 2017-09-14 Applied Materials, Inc. Method for electrochemically grown yttria or yttrium oxide on semiconductor processing equipment
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
JP6650385B2 (ja) 2016-11-07 2020-02-19 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110004393A (zh) * 2019-04-08 2019-07-12 中国科学院金属研究所 一种超音速火焰喷涂技术制备y2o3陶瓷涂层的方法
US11987503B2 (en) 2019-04-26 2024-05-21 Nippon Yttrium Co., Ltd. Powder for film formation or sintering
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
JP7438070B2 (ja) 2020-09-11 2024-02-26 新光電気工業株式会社 静電チャック、基板固定装置及び基板固定装置の製造方法
WO2023106357A1 (ja) * 2021-12-10 2023-06-15 トーカロ株式会社 プラズマエッチング装置用部材等に好適な成膜材料およびその製造方法
CN116199514B (zh) * 2023-02-28 2024-04-23 常州市卓群纳米新材料有限公司 液相等离子喷涂高纯氧化钇悬浮液及其制备方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU381643A1 (ru) * 1971-03-22 1973-05-22 Ордена Трудового Красного Знамени институт проблем материаловедени Украинской ССР Высокоогнеупорный керамический материал
US4166880A (en) * 1978-01-18 1979-09-04 Solamat Incorporated Solar energy device
US4576874A (en) * 1984-10-03 1986-03-18 Westinghouse Electric Corp. Spalling and corrosion resistant ceramic coating for land and marine combustion turbines
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
DE69603627T2 (de) * 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
JPH09232301A (ja) * 1996-02-26 1997-09-05 Sumitomo Sitix Corp 半導体製造装置
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JP2003526191A (ja) * 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
TW488010B (en) * 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
JP2001308011A (ja) * 2000-04-18 2001-11-02 Ngk Insulators Ltd 半導体製造装置用チャンバー部材
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP4688307B2 (ja) * 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
AU2002344320A1 (en) * 2001-05-17 2002-11-25 Tokyo Electron Limited Cylinder-based plasma processing system
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP2003257321A (ja) * 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
KR100618630B1 (ko) * 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006097114A (ja) * 2004-09-30 2006-04-13 Tosoh Corp 耐蝕性溶射膜部材
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI350828B (en) * 2005-10-31 2011-10-21 Covalent Materials Corp Transparent rare-earth oxide sintered body and manufacturing method thereof
US7248457B2 (en) * 2005-11-15 2007-07-24 Toto Ltd. Electrostatic chuck
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013063904A (ja) * 2007-08-02 2013-04-11 Applied Materials Inc 制御された電気抵抗率を備えた耐プラズマ性セラミック

Also Published As

Publication number Publication date
CN101772589A (zh) 2010-07-07
US20080213496A1 (en) 2008-09-04
JP2014159637A (ja) 2014-09-04
TW200914394A (en) 2009-04-01
CN101772589B (zh) 2013-08-28
KR101491437B1 (ko) 2015-02-10
JP5978236B2 (ja) 2016-08-24
TWI441794B (zh) 2014-06-21
CN103436836A (zh) 2013-12-11
WO2009017766A1 (en) 2009-02-05
JP2010535288A (ja) 2010-11-18
KR20100052502A (ko) 2010-05-19

Similar Documents

Publication Publication Date Title
JP5506678B2 (ja) イットリウム含有保護皮膜による半導体処理装置の被覆方法
US8871312B2 (en) Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US11373882B2 (en) Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
JP5674479B2 (ja) 還元プラズマに耐性のイットリウム含有セラミックコーティング
US8067067B2 (en) Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US8247080B2 (en) Coating structure and method
US8034734B2 (en) Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110729

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130813

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130913

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140318

R150 Certificate of patent or registration of utility model

Ref document number: 5506678

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250