TWI441794B - 在半導體處理設備上塗佈含釔塗層的方法 - Google Patents

在半導體處理設備上塗佈含釔塗層的方法 Download PDF

Info

Publication number
TWI441794B
TWI441794B TW097129360A TW97129360A TWI441794B TW I441794 B TWI441794 B TW I441794B TW 097129360 A TW097129360 A TW 097129360A TW 97129360 A TW97129360 A TW 97129360A TW I441794 B TWI441794 B TW I441794B
Authority
TW
Taiwan
Prior art keywords
mole
cerium oxide
mol
coating
content
Prior art date
Application number
TW097129360A
Other languages
English (en)
Other versions
TW200914394A (en
Inventor
Jennifer Y Sun
Renguan Duan
Thomas Graves
Xiaoming He
Jie Yuan
Kenneth S Collins
Senh Thach
Jim Dempster
Li Xu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200914394A publication Critical patent/TW200914394A/zh
Application granted granted Critical
Publication of TWI441794B publication Critical patent/TWI441794B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment

Description

在半導體處理設備上塗佈含釔塗層的方法
本發明之實施例關於噴塗包含特化氧化釔陶瓷(specialized yttrium oxide-comprising ceramic)的方法,該特化氧化釔陶瓷主要包含陶瓷固溶體(solid solution),其對半導體處理設備中存在的電漿類型具有高度抗性。
此節討論與本發明之揭露實施例有關的背景標的。無論是明示或暗示,都沒有意圖將此節所討論之背景技術視為合法的先前技術。
對用於存在有腐蝕環境之半導體處理腔室內的設備組件與襯裡來說,腐蝕(crrosion)(包括侵蝕(erosion))抗性是相當關鍵的性質。雖然半導體處理環境(包括電漿強化化學氣相沉積(PECVD)和物理氣相沉積(PVD))經常出現腐蝕性電漿,但最具腐蝕性的電漿環境是那些用來清潔處理設備以及蝕刻半導體基板的電漿。特別是高能電漿存在,並結合化學反應性而於存在該環境中的組件表面上作用時更是如此。當腐蝕性氣體(即使沒有電漿存在)與處理設備表面接觸時,降低設備組件表面上或處理腔室襯裡表面上的化學反應性是一項相當重要的性質。
用來製造電子元件和微電子機械系統(MEMS)的處理腔室內的處理腔室襯裡與組件設備常由鋁和鋁合金製成。常將處理腔室和組件設備(位於腔室內)之表面陽極化,以提供一 定程度的保護,使不受腐蝕性環境的影響。但是,陽極化層的整體性可能會因鋁和鋁合金內的雜質而減損,使得其提早出現腐蝕而縮短了保護塗層的壽命。相較於某些其它陶瓷材料來說,氧化鋁的電漿抗性並不夠好。結果,已開始使用各種組成的陶瓷塗層來取代上述的氧化鋁塗層;並且,在某些情況下,陶瓷塗層也被用在陽極化層表面來改善對其下方鋁系材料的保護。
氧化釔已被證明可保護因製造半導體元件而暴露在含鹵素電漿下的鋁及鋁合金表面。已有人使用氧化釔塗層在高純度鋁合金處理腔室的陽極化表面上,或處理腔室表面上,以產生絕佳的耐腐蝕保護(如,美國專利第6,777,873號)。
可在腔室內壁表面或腔室內需要高度耐腐蝕性及絕緣性的元件表面上,形成一層Al2 O3 或是Al2 O3 加上Y2 O3 的薄層。在一例示的應用中,腔室底材料可以是陶瓷材料(Al2 O3 、SiO2 、ALN等)、鋁或不鏽鋼,或其他金屬或金屬合金,其具有一噴塗層覆蓋在底材料上。該層可以是由第III-B族元素的化合物製成,例如Y2 O3 。該層實質上包含一種由Al2 O3 和Y2 O3 組成的複合材料。可使用一種由釔-鋁-石榴石(YAG)構成的噴塗層。此噴塗層的厚度一般在約50μm至300μm間。
已研發出對應用含鹵素電漿之半導體處理環境下可抗腐蝕的特色燒結陶瓷材料(specialty sintered ceramic materials)。相較於先前用於半導體處理設備的燒結陶瓷材 料來說,此特色材料已經修飾而具有增進的電漿抗性和客製化的機械性質。此燒結陶瓷材料的電子性質也被調整,使得材料(其對電漿處理腔室有影響)的電阻特性可滿足關鍵腔室組件的需求。之前只有表現出低電漿抗性的材料才能滿足這些電阻特性需求。本特色材料(其提供電漿抗性、機械特性及電阻特性的各種組合)非常類似先前半導體處理設備所用的材料。電子特性相似的優點之一是不需要改變目前半導體元件製程中常用的處理配方或一般處理條件。
本發明有興趣的燒結陶瓷材料包含氧化釔系的固溶體。在一實施例中,改變此燒結的、包含氧化釔陶瓷材料的電阻性質。在一例示的實施技術中,在氧化釔中加入其他氧化物,然後燒結此混合物。其他氧化物的陽離子價數與Y3+ 離子不同,因此可形成Y空缺,導致電阻下降。這類其他氧化物的實例包含,但不限於CeO2 、TiO2 、ZrO2 、HfO2 和Nb2 O5 。在另一例示的實施技術中,在氧化釔中加入其他氧化物,然後燒結此混合物。其他氧化物的陽離子價數與Y3+ 離子相同,但是其離子半徑與Y3+ 明顯不同。在還原性環境下燒結此前驅物混合物。這造成O空缺,進而也導致電阻下降。這類與Y3+ 離子具有相同價數,但離子半徑明顯不同的其他氧化物的實例,包括但不限於,Nd2 O3 、Sm2 O3 、Sc2 O3 、Yb2 O3 、Er2 O3 、Ho2 O3 和Dy2 O3
半導體處理腔室中,需要比一般含釔燒結陶瓷更低電阻的主要組件是靜電吸座(electrostatic chuck)。在半導體 處理條件下,靜電吸座的設計者所建議使用之靜電吸座的介電表面的電阻落入109 至1011 Ω‧cm間,以減少在靜電吸座上出現電漿電弧的機率。此電阻範圍相當於在10-9 至10-7 S/m間的導電性。此導電性遠低於Si3 N4 塊材的導電性,例如,10-13 S/m。對於電漿電弧可能會造成問題的其他具腐蝕抗性之表面(例如舉升梢)來說,其電阻最好是落在靜電吸座所需電阻的範圍內。對諸如處理腔室襯裡的具腐蝕抗性表面來說,電阻可能較高,可能達到或超過約1014 Ω‧cm,且仍屬可接受範圍。
至少一種固溶體形成燒結陶瓷材料的主要莫耳%,有助於電性修飾腐蝕抗性材料。當有兩種氧化物用來形成固溶體時,這些氧化物一般包含氧化釔與另一氧化物之組合,該另一氧化物一般是選自由氧化鋯、氧化鈰、氧化鉿、氧化鈮及其組合所組成之群組。在某些情況下,諸如氧化鈧、氧化釹、氧化釤、氧化鐿、氧化鉺、氧化鈰(及其他鑭系元素的氧化物)等其他氧化物的使用也是可以被接受的。
當使用兩種以上的氧化物來形成一或多固溶體時,這些氧化物一般會包含氧化釔、氧化鋯和至少一種其他氧化物,其一般選自氧化鉿、氧化鈧、氧化釹、氧化鈮、氧化釤、氧化鐿、氧化鉺、氧化鈰及其組合所組成之群組。在特定情況下,也可以使用其他鑭系元素的氧化物。當燒結陶瓷包含多個固溶體相時,一般來說是兩相或三相。除了至少一固溶體相之外,在燒結陶瓷中還可包含由其他化合物或金屬元素形成的物質相。
舉例來說,但不限於此,對使用兩種前驅物氧化物的燒結陶瓷來說,實驗證明包含固溶體的燒結陶瓷,其中氧化釔含量從約40莫耳%到少於100莫耳%,且氧化鋯含量從大於0莫耳%到約60莫耳%,可產生室溫下具有約107 至約1015 Ω‧cm的電阻之燒結氧化物。預期同樣範圍的電阻可由氧化釔含量從大於0莫耳%到少於100莫耳%,且氧化鈰含量從大於0莫耳%到低於10莫耳%之前驅物氧化物的組合中得到。預期在約109 至約1011 Ω‧cm之電阻可由氧化釔含量從大於0莫耳%到少於100莫耳%,且氧化鉿含量從大於0莫耳%到低於100莫耳%之前驅物氧化物的組合中得到。預期表現出電阻在約109 至約1011 Ω‧cm之燒結陶瓷可由氧化釔含量從約48莫耳%到少於100莫耳%,且氧化鈮含量從大於0莫耳%到高達約52莫耳%之前驅物氧化物的組合中得到。
舉例來說,但不限於此,對使用超過兩種前驅物氧化物的燒結陶瓷來說,在一實施例中,當此燒結陶瓷包含固溶體,且燒結陶瓷是由以下氧化物形成時:氧化釔含量從約40莫耳%到少於100莫耳%;氧化鋯含量從大於0莫耳%到約50莫耳%;且氧化鈧含量約從大於約0莫耳%到少於100莫耳%,燒結陶瓷將會表現出在約107 至約1015 Ω‧cm間之電阻。
在另一實施例中,當此燒結陶瓷包含一固溶體,且此燒結陶瓷是由以下氧化物形成時:氧化釔量約從40莫耳%到少於10莫耳%間,且氧化鋯量約從0莫耳%到約50莫耳%,且氧化鉿含量從大於約0莫耳%到低於100莫耳%,此燒結 陶瓷將會表現出在約107 至約1015 Ω‧cm間之電阻。
在另一實施例中,當此燒結陶瓷包含一固溶體,且此燒結陶瓷是由以下氧化物形成時:氧化釔含量從約40莫耳%到少於100莫耳%;氧化鋯含量從大於0莫耳%到約45莫耳%;且氧化鈮含量從大於約0莫耳%到高達約80莫耳%,此燒結陶瓷將會表現出在約107 至約1015 Ω‧cm間之電阻。
在一實施例中,此燒結陶瓷材料包含三相,其包括:第一相固溶體包含Y2 O3 -ZrO2 -Nb2 O5 ,其佔燒結陶瓷材料的約60莫耳%到約90莫耳%;Y3 NbO7 之第二相,其佔燒結陶瓷材料的約5莫耳%到約30莫耳%;以及元素態Nb之第三相,其佔燒結陶瓷材料的約1莫耳%到約10莫耳%。
在含有三相之燒結陶瓷材料的另一實施例中,氧化釔含量從約60莫耳%到約75莫耳%;氧化鋯含量從約15莫耳%到約25莫耳%;且氧化鈮含量從約5莫耳%到約15莫耳%。
在由上述Y2 O3 -ZrO2 -Mx Oy 種類材料形成的燒結陶瓷測試樣品中,在M是鈧、鉿、鈮或釹的實施例中,暴露在CF4 /CHF3 電漿下達76小時後的侵蝕速率(erosion rate)為0.16μm/小時或更低。當M是鈰、釤、鉺或其他鑭系元素時,預期會有類似的侵蝕速率。電漿是在美商應用材料公司的推動者溝渠蝕刻電漿處理室(Enabler for Trench Etch plasma processing chamber)中形成。電漿源電力高達2000瓦,處理腔室壓力則在10至500mTorr,且基板溫度為40℃。此0.16μm/小時或更低的侵蝕速率約相當於純Y2 O3 的侵蝕速率。因此,改良燒結陶瓷以提供較低電阻的燒結陶瓷 並不會影響燒結陶瓷的侵蝕速率。
可將上述的燒結陶瓷材料施加在下方結構的表面上。用來形成燒結陶瓷材料的氧化物混合物在噴塗製程期間將彼此反應,以形成固溶體及任何上述的化合物。由此噴塗製程所形成的燒結陶瓷之最終相組成與塊材燒結製程所形成之陶瓷一樣。
雖然可從數種不同基板來形成半導體處理設備,但是半導體產業中較喜歡使用鋁,因為對此材料的效能特性之觀察已有很長的歷史。可使用2000系列或5000至7000系列的鋁合金做為製造製程腔室及處理組件中的基板,其中鋁合金是被上述的電漿抗性塗層種類所保護。相較於沒有本發明塗層保護的鋁合金來說,有塗層保護的鋁合金在其使用壽命期限(其被延長至少2倍,甚至高達4倍)中都具有優異的電漿腐蝕抗性。
為提供上述延長使用壽命腐蝕抗性,將塗層置於壓縮情況下將有助益。此係利用控制施加塗層期間的沉積條件來達成。將塗層放在足夠壓縮條件下有助於防止鋁合金基板中游移的雜質自基板遷移到塗層內,導致塗層出現缺陷,使塗層易於被與塗層外表面接觸之反應性物種侵入。將塗層置於壓縮情況下也可提高塗層的密度。增加密度的塗層可提供對腐蝕性電漿更佳的保護,並改善被噴塗膜保護之基板的可加工性(machinability)。孔隙度(porosity)是塗層密度的一項指標,亦即,塗層孔隙度愈低,塗層愈緊密。孔隙度是以塗層總體積中開放空間的百分比來表示。依據本發明之方法所施 加的氧化釔塗層的孔隙度約1.4%。相對的,以先前技藝的方法所沉積之氧化釔塗層,其孔隙度一般在約3%至約5%間。
為了讓所施加塗層/膜被壓縮,在施加塗層/膜期間,必須加熱鋁合金基板的上表面至少達標稱深度,使得基板與塗層間的介面在冷卻時,塗層會因為鋁合金收縮而被壓縮。鋁合金上表面應在至少250密耳(0.25英吋)的深度預熱到至少約150至200℃的溫度密耳。基板可預熱溫度的上限視基板組成而定,且基板應被加熱到比基板之玻璃轉換溫度低的溫度。
除了熱/火焰噴塗、電漿放電噴塗以外,可使用其他方法施加塗層/膜。例如,可使用以濺鍍燒結塊材陶瓷標靶的形式存在的物理氣相沉積法(PVD),及化學氣相沉積法(CVD)。每一情況下所獲得塗層的結構可稍有不同,但是,習知技藝人士可輕易地進行調整以使塗層具有所欲的效能特徵。當以濺鍍或CVD來施加塗層時,施加速率很緩慢,且組合使用塗層及其下方的氧化鋁層可能較具優勢。就鋁合金及覆蓋鋁合金之氧化鋁二者而言,電漿噴塗和熱噴塗可分別提供優異的結果。
如上述,可施加電漿或熱/火焰噴塗到裸露的鋁合金表面上方。一般來說,因為鋁表面暴露在空氣下之故,鋁合金表面有一層極薄的原生氧化鋁。較有利的是在裸露的鋁合金表面上或是僅表現出原生氧化物的表面上,施加熱/火焰噴塗或電漿噴塗塗層,因為可在保護性塗層間形成較佳的鍵結。
當此種經塗佈組件是要用在可能會暴露在氯物種下的 電漿處理腔室內時,應在鋁合金表面上特意創造出來的氧化鋁膜上方,施加電漿噴塗或熱/火焰噴塗塗層,以更好的保護下方的鋁合金不會受到腐蝕性氯電漿的侵蝕。在這種情況下,氧化鋁膜的厚度是在約0.5密耳至約4密耳間,且施加保護性含氧化釔塗層時的氧化鋁膜溫度應至少約150至200℃。施加保護性塗層時的氧化鋁膜溫度應不超過氧化鋁的玻璃轉換溫度。
典型地,在陽極化或塗佈鋁合金表面之前,先粗糙化鋁合金表面。可利用諸如珠擊,或更典型的,利用電化學蝕刻之類的技術,來粗糙化此鋁合金表面,但不限於這些技術。
可提供改良機械強度,並降低電阻之包含氧化釔的保護性塗層的厚度,視使用時鋁合金組件或結構將被暴露之環境而定。當組件或結構被暴露之溫度較低時,可提高電漿噴塗或熱/火焰噴塗塗層的厚度而不造成膨脹係數問題。舉例來說,當組件或結構將被暴露在約15℃至約120℃之熱循環下,且保護性塗層是電漿噴塗或熱/火焰噴塗在2000系列或5000至7000系列的鋁合金(其表面上有原生氧化物)上時,A型陶瓷材料或B型陶瓷材料之包含有氧化釔塗層的厚度應介於約12密耳至約20密耳之間。厚度約15密耳的塗層可提供優異的效果。可組合使用厚度低至約10密耳的較薄的塗層與其下方的氧化鋁塗層。
雖然電漿噴塗或熱/火焰噴塗所施加的抗電漿塗層可產生優異的效果,但是為更進一步改良抗電漿塗層的效能,較有利的是在將塗層施加到基板之後,清潔該塗層。此清潔 處理可將半導體處理期間可能造成問題的微量金屬雜質移除,並且還可移除塗層表面上鬆脫的粒子(其可能成為日後處理有塗層表面鄰近產物時的汙染物來源,特別是在此鄰近產物為半導體元件時)。
此清潔處理應在不影響保護塗層效能且不傷害下方鋁合金表面的情況下,移除非所欲的汙染物和沉積副產物。在清潔塗層期間,為了保護鋁合金表面,先以接觸時不會傷害鋁合金的惰性溶劑浸透塗層。一般來說,將有塗層的基板浸泡在頻率約40kHz(舉例,但不以此為限)的去離子水超音波浴中約5分鐘至約30分鐘。接著,施加一化學活性溶劑來移除保護塗層上的污染物。一般來說,可以被稀釋酸溶液濕潤約3分鐘至約15分鐘的軟拭巾擦拭經塗佈基板的表面。此稀釋酸溶液一般包含約0.1%至約5體積%的HF(更典型地,約1至約5體積%);約1至約15體積%的HNO3 (更典型地,約5至約15體積%),和約80至約99體積%的去離子水。擦拭後,再以去離子水將組件潤溼,接著浸泡在頻率約40kHz(舉例,但不以此為限)的去離子水超音波浴中約30分鐘至約2小時(一般來說,約40分鐘至約1小時)。
除了從塗層的表面上移除汙染物與雜質之外,以稀釋HF溶液擦拭經塗佈組件的步驟可氟化塗層表面。塗層表面的氟化可導致堅固、穩定的塗層,其具有對反應性電漿的惰性。也可藉由將經塗佈表面暴露在含氟物種的電漿下,來獲得塗層表面的氟化。
如上述,可於燒結期間、火焰/熱噴塗或電漿噴塗基板 表面期間,創造出在此所述的特化陶瓷材料。除了已知的應用技術外,可使用例如從燒結材料標靶濺鍍或化學氣相沉積到基板表面,來在各式基板表面形成陶瓷塗層。這類基板包括金屬和陶瓷基板,例如,但不限於鋁、鋁合金、不銹鋼、氧化鋁、氮化鋁及石英。
需知在本說明書及附隨之申請專利範圍中,除非另外指明,否則單數名詞「一(a)」、「一(an)」及「該(the)」皆涵蓋複數的指涉對象。
當在本文中使用詞彙「約(about)」時,其指的是以±10%之範圍內的精確度所表示之標稱值。
在此揭示特化陶瓷材料(specialized ceramic materials),其被研發成可在使用含鹵素電漿之半導體處理環境下抗腐蝕。在某些實施例中,相較於之前被研發來提供電漿侵蝕抗性之類似的陶瓷材料來說,此特化材料已被修飾成具有較低的電阻特性。此低電阻特性有助於降低半導體處理腔室內各組件上出現電漿電弧的機率,尤其是電漿電弧會造成更多問題的靜電吸座表面或基板舉升梢(舉例而言但不以此為限)。在過去,組件或至少各組件的表面是由氮化鋁或氧化鋁製成,其可能經摻雜以提供電子特性。雖然此類材料可提供期望的電子特性,但其被腐蝕/侵蝕速率也相對較快,因而限制了特定組件的使用壽命,並且需經常停機來更換或修復各組件部分。
此外,做為電漿處理半導體設備內之腔室襯裡和功能性組件之各種材料的電子特性可影響電漿的行為。電漿行為改變會影響電漿處理特性,且當此變化具有實質效果時,就必須改變其他處理參數,以配合電漿行為上的變化。與其重新找出製造元件所需的處理變數,較實際的做法是研發出具有可接受的電子特性之抗侵蝕陶瓷材料。只有一部分表現出具有可接受的電漿腐蝕/侵蝕特性的陶瓷材料可被進一步改良,以將其電阻特性控制在對接觸電漿之組件有幫助的期望範圍內。習知技藝人士在閱讀過本說明書之後,將可成功地挑選出可用來形成陶瓷材料的氧化物組合。
為簡便起見,利用燒結陶瓷研發出具有期望電子性質及可接受的鹵素電漿腐蝕/侵蝕抗性的陶瓷材料。此燒結陶瓷是利用此領域中熟知的技術做成的。在其他實施例中,可利用熱/火焰噴塗或電漿噴塗法,而將同一類型之具有可接受的鹵素電漿腐蝕/侵蝕抗性的陶瓷材料施加在諸如鋁或鋁合金的下方材料上做為塗層。或者,特別是當所欲施加保護性陶瓷材料的設備範圍很大時,例如處理腔室襯裡,可利用燒結陶瓷材料來製造一標靶,並利用物理氣相沉積法將此陶瓷材料施加在下方材料上。
如前述,有興趣的燒結陶瓷材料包含氧化釔。可改變此燒結之含釔陶瓷材料的電阻特性。在一例示的技術中,在氧化釔中加入至少一種其他氧化物,再把此混合物加以燒結。至少一種其他氧化物的陽離子價數與Y3+ 離子不同,因此會形成Y空缺,造成電阻下降。這類氧化物的實例包括, 但不限於CeO2 、TiO2 、ZrO2 、HfO2 和Nb2 O5 。在另一例示的實施技術中,在氧化釔中加入至少一種其他氧化物,然後在還原性氣氛下燒結此混合物,但是,此至少一種其他氧化物的陽離子價數與Y3+ 離子相同,但是其離子半徑與Y3+ 離子明顯不同。此造成O空缺,進而也導致電阻下降。這類與Y3+ 離子具有相同價數,但離子半徑明顯不同的其他氧化物的實例,包括但不限於,Nd2 O3 、Sm2 O3 、Sc2 O3 、Yb2 O3 、Er2 O3 、Ho2 O3 和Dy2 O3
雖然可由數種不同的基板來形成半導體處理設備,但是半導體產業中較喜歡使用鋁,因為對此材料的效能特性之觀察已有很長的歷史。可使用2000系列或5000至7000系列的鋁合金做為製造製程腔室及處理組件中的基板,其中鋁合金是被上述的電漿抗性塗層所保護(例如A型陶瓷或材料,或是B型陶瓷材料,其使用氧化釔的結晶形固溶體)。相較於沒有本發明塗層保護的鋁合金來說,有塗層保護的鋁合金在其使用壽命期限(其被延長至少2倍,甚至高達4倍)中都具有優異的電漿腐蝕抗性。
為提供上述延長使用壽命腐蝕抗性,將塗層置於壓縮情況下將有助益。將塗層放在足夠壓縮條件下有助於防止鋁合金基板中游移的雜質自基板遷移到塗層內,導致塗層出現缺陷,使塗層易於被與塗層外表面接觸之反應性物種侵入。將塗層置於壓縮情況下也可提高塗層的密度。孔隙度(porosity)是塗層密度的一項指標,亦即,塗層孔隙度愈低,塗層愈緊密。孔隙度是以塗層總體積中開放空間的百分比來 表示。依據本發明之方法所施加的氧化釔塗層的孔隙度約1.4%。相對的,以先前技藝的方法所沉積之氧化釔塗層,其孔隙度一般在約3%至約5%間。為了讓所施加塗層/膜被壓縮,在施加塗層/膜期間,必須加熱鋁合金基板的上表面至少達標稱深度,使得基板與塗層間的介面在冷卻時,塗層會因為鋁合金收縮而被壓縮。鋁合金上表面應在至少250密耳(0.25英吋)的深度預熱到至少約150至200℃的溫度。基板可預熱溫度的上限視基板組成而定,且基板應被加熱到比基板之玻璃轉換溫度低的溫度。
當此種經塗佈組件是要用在可能會暴露在氯物種下的電漿處理腔室內時,應在鋁合金表面上特意創造出來的氧化鋁膜上方,施加電漿噴塗或熱/火焰塗層,以更好的保護下方的鋁合金不會受到腐蝕性氯電漿的侵蝕。在這種情況下,氧化鋁膜的厚度是在約0.5密耳至約4密耳間,且施加保護性含氧化釔塗層時的氧化鋁膜溫度應至少約150至200℃。施加保護性塗層時的氧化鋁膜的溫度應不超過氧化鋁之玻璃轉換溫度。
典型地,在陽極化及塗佈鋁合金表面之前,先粗糙化鋁合金表面。可利用諸如珠擊,或更典型的,利用電化學蝕刻之類的技術,來粗糙化此鋁合金表面,但不限於這些技術。
利用結晶固溶體之包含有氧化釔的保護性塗層的施加厚度,可視使用時鋁合金組件或結構將被暴露之環境而定。當組件或結構將被暴露之溫度較低時,可提高電漿噴塗或熱/火焰塗層的厚度而不造成膨脹係數問題。舉例來說,當組件 或結構將被暴露在約15℃至約120℃之熱循環下,且保護性塗層是電漿噴塗或熱/火焰噴塗在2000系列或5000至7000系列的鋁合金(其表面上有原生氧化物)上時,包含有氧化釔塗層的厚度應介於約12密耳至約20密耳之間。厚度約15密耳的塗層可提供優異的效果。可組合使用厚度低至約10密耳的較薄的塗層與其下方的氧化鋁塗層。
當使用熱/火焰噴塗或電漿噴塗時,為更進一步改良保護性抗電漿塗層的效能,較有利的是在將塗層施加到基板之後,清潔該塗層。此清潔處理可將半導體處理期間可能造成問題的微量金屬雜質移除,並且還可移除塗層表面上鬆脫的粒子(其可能成為日後處理有塗層表面鄰近產物時的汙染物來源,特別是在此鄰近產物是半導體元件時)。
此清潔處理應在不影響保護塗層效能且不傷害下方鋁合金表面的情況下,移除非所欲的汙染物和沉積副產物。在清潔塗層期間,為了保護鋁合金表面,先以接觸時不會傷害鋁合金的惰性溶劑來使塗層表面飽和。一般來說,將有塗層的基板浸泡在頻率約40kHz(舉例,但不以此為限)的去離子水超音波浴中約5分鐘至約30分鐘。接著,施加一化學活性溶劑來移除保護塗層上的污染物。一般來說,可以被稀釋酸溶液濕潤約3分鐘至約15分鐘的軟拭巾擦拭經塗佈基板的表面。此稀釋酸溶液一般包含約0.1%至約5體積%的HF(更典型地,約1至約5體積%);約1至約15體積%的HNO3 (更典型地,約5至約15體積%),和約80至約99體積%的去離子水。擦拭後,再以去離子水將組件潤溼,接著浸泡在頻率 約40kHz(舉例,但不以此為限)的去離子水超音波浴中約30分鐘至約2小時(一般來說,約40分鐘至約1小時)。
除了從塗層的表面上移除雜質與汙染物外,以稀釋HF溶液擦拭經塗佈的組件的步驟可氟化塗層表面。塗層表面的氟化可導致堅固、穩定的塗層,其具有對反應性電漿的惰性。也可藉由將經塗佈的表面暴露在含氟物種的電漿(例如,密度在約1x109 e- /cm3 範圍內之CF4 電漿或CF3 /CF4 電漿)條件下達一段足夠長的時間,以提供至少部分氟化的塗層表面。
可於火焰/熱噴塗或電漿噴塗基板表面期間,燒結本文所詳述的特化陶瓷材料。但是,如上述,本發明也涵蓋其他使用此特化陶瓷材料之塗層的施加方法。舉例來說,可利用習知技術,而由燒結陶瓷材料標靶濺鍍沉積塗層。此外,也可利用化學氣相沉積法(CVD)來施加具有本文所述之特化成分的塗層。可將此塗層施加在各式基板表面上,包括但不限於鋁、鋁合金、不銹鋼、氧化鋁、氮化鋁及石英。這些塗佈技術僅用於示例而非限制。
一般來說,可改善機械性質的噴塗陶瓷材料主要包含至少一種固溶體相,更典型地,其包含兩種固溶體相,其可與化合物及/或元素相一起存在。舉例來說,多相陶瓷一般包含由氧化釔、氧化鋯和/或烯土氧化物,加上釔鋁化合物一起組成的一或兩種固溶體相。陶瓷材料是由起始組合物形成,起始組合物包含莫耳濃度範圍在約50莫耳%至約75莫耳%之Y2 O3 ,氧化釔;莫耳濃度範圍在約10莫耳%至約30莫耳 %之ZrO2 ,氧化鋯;莫耳濃度範圍在約10莫耳%至約30莫耳%之Al2 O3 ,氧化鋁,提供優異的含鹵素電漿侵蝕抗性,同時提供較佳的機械性質,使得在操作固體陶瓷處理組件時,無需擔心會傷害到組件。也可以其他的氧化物(包括HfO2 ,氧化鉿;Sc2 O3 ,氧化鈧;Nd2 O3 ,氧化釹;Nb2 O5 ,氧化鈮;Sm2 O3 ,氧化釤;Yb2 O3 ,氧化鐿;Er2 O3 ,氧化鉺;Ce2 O3 (或CeO2 ),氧化鈰,或其之組合)來取代氧化鋁,以幫助改良機械性質。
作為一般參照事項,複合材料是由二或多種具有明顯不同之物理或化學性質之組成材料構成,且巨觀上,其在最終結構上分別保持彼此分開且獨特的性質。此組成材料是由基質和強化材料所構成。基質材料是藉由維持相對於強化材料的相對位置來環繞並支持至少一強化材料。但是,此組成材料具有明顯不同的性質,巨觀上,其在最終結構上保持彼此分開且獨特的性質。但這類材料與本文所述以熱/火焰噴塗或電漿噴塗等方式形成的陶瓷材料類型並不相同。
除了表現出改良機械強度之經噴塗之含有特化氧化釔材料外,也可噴塗其他可提供較低電阻性之類似陶瓷材料。降低電阻有助於降低半導體處理室中各組件上出現電漿電弧的機率,尤其是在靜電吸座或基板舉升梢上,但不以此為限。在過去,可摻雜由氮化鋁製成之組件,或至少該組件之表面,以提供電子特性。雖然這類材料可提供期望的電子特性,但氮化鋁的腐蝕/侵蝕速率也相對較快,因而限制了特定組件的使用壽命,且需經常停機以更換或修復該些組件部 分。
如上述,有興趣之燒結陶瓷材料包含氧化釔。可改變已燒結、內含釔的陶瓷材料之電阻特性。在一例示技術中,在氧化釔中加入至少一種其他氧化物,並將此混合物燒結。該至少一其他氧化物的陽離子價數與Y3+ 離子不同,因此會形成Y空缺,造成電阻下降。這類氧化物的實例包括,但不限於CeO2 、TiO2 、ZrO2 、HfO2 和Nb2 O5 。在另一例示的實施技術中,在氧化釔中加入至少一種其他氧化物,然後在還原性氣氛下燒結此混合物,但是,此至少一種其他氧化物的陽離子價數與Y3+ 相同,但是其離子半徑與Y3+ 離子明顯不同。此造成O空缺,進而也導致電阻下降。這類與Y3+ 離子具有相同價數,但離子半徑明顯不同的其他氧化物的實例,包括但不限於,Nd2 O3 、Sm2 O3 、Sc2 O3 、Yb2 O3 、Er2 O3 、Ho2 O3 和Dy2 O3
目前已有數種燒結的陶瓷材料被研發出來,下表提供已被創造出來並評估過的部分燒結陶瓷材料的示例,至於這些材料的評估討論於後。
實施例
實施例1
第1圖的圖100示出各式陶瓷材料的電阻,包括依據本發明的示範實施例所製造出來的A型與B型材料。電阻示於軸104,其為溫度的函數,溫度示於軸102。電阻是在空氣環境下,於1000V下測量,其係使用依據ASTMD 1829-66或JIS C2141的標準測試狀況。
第1圖中的曲線106代表上述表格中樣品#4之含有Nb2 O5 的燒結陶瓷材料。有關含有Nb2 O5 及額外組成的燒結陶瓷材料,預計可獲得可接受的電阻值,如第3圖的相圖所示。此燒結陶瓷材料包含有三相,其包括第一相固溶體,包含Y2 O3 -ZrO2 -Nb2 O5 ,其佔燒結陶瓷材料的約60莫耳%至約90莫耳%;Y3 NbO7 的第二相,其佔燒結陶瓷材料的約5莫耳%至約30莫耳%;和元素態Nb之第三相,其約佔燒結陶瓷材料的約1莫耳%至約10莫耳%。此材料有助於降低電阻特性到足以防止電弧出現。室溫下電阻低到約1011 Ω‧cm,在200℃下,則低到約108 Ω‧cm,且在一般半導體處理條件下,其電阻在約109 Ω‧cm的範圍。
第1圖所繪示之含有Nb2 O5 的燒結陶瓷材料的一實施例稱為Nb2 O5 -ZrO2 -Y2 O3 。參照第3圖,相圖中的一個區域被標示為「B」。這個標示代表此燒結陶瓷材料之固溶體組成包含濃度約55莫耳%至約80莫耳%的Y2 O3 、濃度約5莫 耳%至約25莫耳%的ZrO2 ,以及濃度約5莫耳%至約25莫耳%的添加物(如,Nb2 O5 、HfO2 、Nd2 O3 或Sc2 O3 )。
實施例2
第1圖的曲線108代表上述表格中樣品#1之含有HfO2 的燒結陶瓷材料。此陶瓷材料表現出比含有Nb2 O5 的材料更高的電阻,但其可用來製造相較於靜電吸座或基材舉升梢來說電弧並非那麼關鍵的半導體處理設備組件。
實施例3
第1圖的曲線110代表根據本發明所製造,同樣描述於上述表格中樣品#2之含有Sc2 O3 的燒結陶瓷材料。此材料可用在電阻要求為1011 Ω‧cm的應用中。
實施例4(比較實施例)
第1圖的曲線112代表第2圖相圖中的Y2 O3 -ZrO2 -Al2 O3 材料。此材料是以比較實例的目的來描述,其僅用以相對於受控制電阻陶瓷材料作比較。此燒結陶瓷材料包含由Y2 O3 和ZrO2 形成的固溶體,以及由Y2 O3 和Al2 O3 氧化物形成的化合物。典型燒結陶瓷材料是由濃度約60莫耳%至約65莫耳%的Y2 O3 ;濃度約20莫耳%至約25莫耳%的ZrO2 ;以及濃度約10莫耳%至約15莫耳%的Al2 O3 所形成。中心陶瓷材料的一實施例,如第2圖相圖中的區域「A」所示,其是由第1圖的Y2 O3 -ZrO2 -Al2 O3 圖來代表,含有: 約60莫耳%之固溶體,其具有立方氧化釔結晶結構,其中c-Y2 O3 是溶劑,伴隨ZrO2 溶質;約2莫耳%之固溶體,其具有螢石(fluorite)類型結晶結構,其中ZrO2 是溶劑,伴隨Y2 O3 是溶質;以及約38莫耳%之YAM(Y4 Al2 O9 )化合物。
實施例5(比較實施例)
第1圖的曲線114代表第1圖中包含有Nd2 O3 的燒結陶瓷材料,其為上表中標示為樣品#3的材料。此材料無法滿足防止電弧現象的必要需求,因此被視為比較實施例,而非構成本發明的獨特陶瓷材料的部分。
實施例6(比較實施例)
第1圖的曲線116代表純Y2 O3 之燒結陶瓷的電阻特性。此材料也可做為比較實施例,且既然許多半導體設備組件都是用純Y2 O3 製成,其可做為基線。相較於純Y2 O3 之電阻特性來說,本發明的燒結陶瓷材料可顯著地改善電阻特性。
第1圖的曲線120代表一般用來製作靜電吸座之經摻雜的氮化鋁,且曲線122代表第二種經摻雜的氮化鋁,它也是常用來製造需要低電阻特性的靜電吸座和其他半導體處理設備的材料。
實施例7
第4圖中的圖400,顯示多種燒結陶瓷測試樣品之電阻 特性,其為測試電阻期間所施加電位之函數。軸404為電阻,軸402則為電位。測試溫度為室溫(約27℃)。此圖的目地在顯示本發明之抗腐蝕陶瓷實施例(其已被控制以降低電阻)和目前使用之經摻雜氮化鋁陶瓷之間的電阻差異。雖然經摻雜的氮化鋁陶瓷的電阻稍微較低,但其腐蝕速率至少比包含氧化釔之陶瓷(其已被改良以降低電阻)的腐蝕速率高2倍。
特別地,第4圖的曲線422代表目前用來製造靜電吸座之經摻雜的氮化鋁陶瓷。曲線420代表另一用來製造靜電吸座及其他低電阻組件之經摻雜氮化鋁陶瓷。
第4圖的曲線406代表上述表中樣品#4之含有Nb2 O5 之燒結陶瓷材料。包含氧化釔的材料已被修飾以降低電阻,使其表現出非常接近經摻雜的氮化鋁的電阻(識別為AIN-1)。但是,經摻雜的氮化鋁的腐蝕速率卻比包含曲線406所代表的含氧化釔之材料快了超過10倍,如第5圖的柱狀圖500所示。
第4圖的曲線408代表上述表中樣品#1之含有HfO2 之燒結陶瓷材料。此陶瓷材料表現出比包含Nb2 O5 的材料更高的電阻,且在室溫下表現的電阻超過很可能會發生電漿電弧之組件的建議範圍。但是,在某些半導體處理期間存在的溫度(200℃)下,電阻會回跌到可接受的範圍,如第1圖中的曲線108所示。
第4圖的曲線410代表上述表中樣品#2之含有Sc2 O3 之燒結陶瓷材料。同樣的,當處理溫度為200℃時,此材料可用在電阻要求為1011 Ω‧cm的應用中
為比較目的(其係相對於含氧化釔固溶體之控制電阻陶瓷材料而言),第4圖的曲線412示出一種包含有Y2 O3 、ZrO2 及Al2 O3 的「A」型材料,其示於第2圖中。這類「A」型陶瓷材料的一實施例,如第1圖所示,含有約60莫耳%之立方氧化釔型結構,其中c-Y2 O3 是溶劑,伴隨ZrO2 溶質;約2莫耳%之螢石類型結構的固溶體,其中ZrO2 是溶劑,伴隨Y2 O3 是溶質;以及約38莫耳%之YAM(Y4 Al2 O9 )化合物。雖然A型HPM材料表現出可接受的腐蝕抗性和值得讚揚的機械性質,其電阻卻比期望範圍的最大電阻1011 Ω‧cm來的高。即使在約200℃下也是如此,如第1圖之曲線112所示。此材料並未包括在電阻特性經改良之抗腐蝕陶瓷的實施例中。
為比較目的,第4圖的曲線414示出一種包含有Nd2 O3 的燒結陶瓷材料,如表中樣品#3。此材料無法滿足防止電弧發生的必要需求,且被視為比較例而非構成本發明之獨特陶瓷材料的一部分。
為比較目的,第4圖的曲線416示出純Y2 O3 燒結陶瓷所觀察到的電阻特性。此材料也是做為一種比較例,既然許多半導體設備組件是用純Y2 O3 製成,其可做為基線。相較於純Y2 O3 之電阻特性來說,本發明的燒結陶瓷材料可顯著地改善電阻特性。
實施例8
第5圖中的柱狀圖500顯示出多種陶瓷暴露在電漿下 之平均侵蝕速率(相對於Y2 O3 的侵蝕速率進行標準化)。電漿是由CF4 和CHF3 的源氣體所產生。電漿處理腔室為可自美商應用材料公司獲得的推動者溝渠蝕刻電漿處理室(Enabler for Trench Etch plasma processing chamber)。電漿源電力高達2000瓦,處理腔室壓力則在10至500mTorr,且基板溫度約40℃達76小時。軸502顯示用來測試侵蝕抗性的多種材料。標示為Y2O3-10ZrO2的測試樣品,代表燒結的固溶體陶瓷測試樣品,其係由燒結100重量份的Y2 O3 加上10重量份的ZrO2 所形成。標示為含有Nb2 O5 或HfO2 、或Nd2 O3 或Sc2 O3 的測試樣品代表記載於表中含有各該等材料的組成。軸504所示的侵蝕速率比較顯示,電阻經修飾且內含氧化釔的燒結陶瓷材料的侵蝕速率基本上與純氧化釔的侵蝕速率相同。此外,電阻經修飾且內含氧化釔的燒結陶瓷材料的侵蝕速率也明顯優於其他陶瓷材料(如,Al2 O3 、AlN、石英、W/ZrC、B4 C和SiC)的侵蝕速率,所述其他陶瓷材料用來為半導體處理腔室襯裡及半導體處理設備的內部組件提供鹵素電漿腐蝕抗性材料。
根據提供上述實施例的實驗期間所獲得的結果,以及來自其他參考來源的數據,經計算用以估算UV輻射於電漿滲漏電流中的作用。電漿環境(半導體處理中所會使用到的環境)中的UV輻射對電阻經修飾且內含氧化釔的燒結陶瓷材料的漏電流沒有作用。
有關193nm UV輻射(某些半導體處理操作中會使用) 對Nb2 O5 -B型燒結陶瓷材料及HfO2 -B型燒結陶瓷材料中的漏電流的影響之調查,顯示這些材料的電子效能不會受這類UV輻射的影響。
包含陶瓷的物件可用於會與電漿接觸的半導體處理設備,包括蓋、襯裡、噴嘴、氣體分配板、噴頭、靜電吸座組件、陰影框、基板固持框、處理套組以及腔室襯裡等,但不以此為限。
第6圖是有助於施加本發明的塗層之電漿噴塗系統(雙陽極α燈管638)的截面圖600。第6圖中的特定設備是Aeroplasma K.K.(東京,日本)公司的APS 7000系列Aeroplasma噴塗系統。此設備600包括以下組件:第一直流主電極602、第一輔助電極604、第一氬源606、第一空氣源608、噴塗材料粉末源610、陰極燈管612、加速器噴嘴614、電漿電弧616、第二直流主電極618、第二輔助電極620、雙陽極燈管622A和622B、第二氬源626、第二空氣源(修整電漿)628A和628B、第三氬源636、電漿噴射632、融熔粉末源634、和待噴塗基底材料源624。
雙陽極α燈管638是由兩陽極燈管組成,使得每一陽極燈管承載一半的熱負載。使用雙陽極α燈管638,可以相對低的電流量達成高電位,因此每一燈管上的熱負載將較低。燈管之每一噴嘴與電極柱分別為水冷式,且電弧起始點與終點都受到惰性氣體保護,以確保200小時或以上的穩定操作,可延長消耗部件的壽命並降低維護成本。
在陰極燈管612與陽極燈管622間形成高溫穩定電 弧,並可將噴塗材料直接饋送進電弧中。此噴塗材料將被高溫電弧柱完全熔解。電弧的起點與終點都受到惰性氣體保護,因此可使用空氣或氧氣做為從加速器噴嘴614引入之電漿氣體。
在雙陽極α上使用電漿修整功能628,電漿修整可修修掉對熔解噴塗材料無益的電漿噴射的熱,因此可減少基板材料和膜層上的熱負載,使得可在短距離內噴塗。
習知技藝人士將能把本發明方法用在類似的噴塗設備上。上述的實施例並非用以限制本發明範疇,習知技藝人士在閱讀過本發明後,可將本發明的實施例擴大至與本發明請求標的相應的範圍內。
100、400、500‧‧‧圖
102、104、402、404、502、504‧‧‧軸
106、108、110、112、114、116、120、122、406、408、 410、412、414、416、420、422‧‧‧曲線
200、300‧‧‧相圖
600‧‧‧設備
602‧‧‧第一直流主電極
604‧‧‧第一輔助電極
606‧‧‧第一氬源
608‧‧‧第一空氣源
610‧‧‧噴塗材料粉末源
612‧‧‧陰極燈管
614‧‧‧加速器噴嘴
616‧‧‧電漿電弧
618‧‧‧第二直流主電極
620‧‧‧第二輔助電極
622A、622B‧‧‧雙陽極燈管
624‧‧‧底材料源
626‧‧‧第二氬源
628A、628B‧‧‧第二空氣源
632‧‧‧電漿噴射
634‧‧‧融熔粉末源
636‧‧‧第三氬源
為有助於了解上文所記載的實施例,上述的特定實施例的更詳細描述可參照隨附圖式來進行。然而,應注意的是,隨附圖式僅圖解典型實施例的一部份,且因此不應被視為本文所述之本發明的範疇之限制。本發明包括其他等效實施例。
第1圖之圖100示出各式材料之電阻(其為溫度的函數),其中所施加的電位在空氣環境下為1000伏特。
第2圖是Y2 O3 -ZrO2 -Al2 O3 的相圖200。此相圖示出特定材料及其它複合物的組成,其中為了參照之目的,特定材料的組成以此相圖上的區域「A」來識別。此「A」型陶瓷材料是具有優異鹵素電漿侵蝕抗性的陶瓷組合物。
第3圖是Y2 O3 -ZrO2 -Nb2 O5 的相圖300,此相圖示出在其他組成中特定材料的組成,其中為了參照之目的,特定材料的組成以此相圖上的區域「B」來識別。此「B」型陶瓷材料是不僅具鹵素電漿侵蝕抗性且表現出經控制的、且例如較「A」型陶瓷材料更低電阻性的陶瓷組合物。
第4圖的圖400示出各式材料之電阻(其為所施加電位的函數),其中的測量是在空氣環境中於室溫(約27℃)下進行。
第5圖中的柱狀圖500顯示出多種燒結陶瓷材料暴露在由CF4 和CHF3 等源氣體所產生的電漿下,其平均侵蝕速率(相對於純氧化釔的侵蝕速率進行標準化)。
第6圖是有助於施加所述包含特化氧化釔塗層之電漿噴塗系統的截面圖600。
100‧‧‧圖
102、104‧‧‧軸
106、108、110、112、114、116、120、122‧‧‧曲線

Claims (23)

  1. 一種提供一經噴塗的陶瓷表面覆蓋一物件表面的方法,其中該經噴塗的物件係用於一半導體電漿處理腔室中,以提供對一含鹵素電漿之侵蝕抗性與在室溫下範圍在約107 Ω‧cm至1015 Ω‧cm的一電阻,以降低該半導體處理腔室內出現電漿電弧的機率,其中該塗層係使用選自由火焰噴塗、熱噴塗以及電漿噴塗所組成之群組中之一技術來噴塗,且其中該塗層包含至少兩種前驅物氧化物用以在該噴塗製程期間形成一固溶體塗層,且其中該至少兩種前驅物氧化物係氧化釔以及至少一其它氧化物,該至少一其它氧化物係選自由氧化鋯、氧化鈰、氧化鉿、氧化鈮、氧化鈧及其組合所組成之群組。
  2. 如申請專利範圍第1項所述之方法,其中該塗層包含一主要成分,其係一固溶體,該固溶體由氧化釔和氧化鋯之一混合物所形成。
  3. 如申請專利範圍第2項所述之方法,其中該塗層是由含量約40莫耳%至低於100莫耳%之氧化釔,及含量約高於0莫耳%至約60莫耳%之氧化鋯的前驅物材料所形成。
  4. 如申請專利範圍第1項所述之方法,其中該塗層是由含量約高於80莫耳%至低於100莫耳%之氧化釔,及含 量高於0莫耳%至約20%莫耳%之氧化鈰的前驅物材料所形成。
  5. 如申請專利範圍第1項所述之方法,其中該塗層在室溫下具有範圍在約109 Ω‧cm至1011 Ω‧cm之一電阻,以進一步降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層是由含量約高於0莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約100莫耳%之氧化鉿的前驅物材料所形成。
  6. 如申請專利範圍第1項所述之方法,其中該塗層在室溫下具有範圍在約109 Ω‧cm至1011 Ω‧cm之一電阻,以降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層是由含量約高於48莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約52莫耳%之氧化鈮的前驅物材料所形成。
  7. 如申請專利範圍第1項所述之方法,其中該塗層在室溫下具有範圍在約107 Ω‧cm至1015 Ω‧cm之一電阻,以降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約50莫耳%之氧化鋯,及含量高於0莫耳%至低於100莫耳%之氧化鈧的前驅物材料所形成。
  8. 如申請專利範圍第1項所述之方法,其中該塗層在室溫下具有範圍在約107 Ω‧cm至1015 Ω‧cm之一電阻,以降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約50莫耳%之氧化鋯,及含量高於約0莫耳%至低於100莫耳%之氧化鉿的前驅物材料所形成。
  9. 如申請專利範圍第1項所述之方法,其中該塗層在室溫下具有範圍在約107 Ω‧cm至1015 Ω‧cm之一電阻,以降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約45莫耳%之氧化鋯,及含量高於約0莫耳%至低於80莫耳%之氧化鈮的前驅物材料所形成。
  10. 如申請專利範圍第1項所述之方法,其中當該物件之該表面具有一玻璃轉換溫度時,在該物件之該表面處於自約120℃至低於該物件之該表面上之一材料的玻璃轉換溫度之範圍內的溫度的同時,執行該噴塗物件表面的步驟。
  11. 如申請專利範圍第1項所述之方法,其中在噴塗該物件之該表面後,接著使用一技術清潔該表面,該技術包含施加一稀釋酸溶液,該稀釋酸溶液包含約0.1至約5體 積%的HF、約1至約15體積%的HNO3 ,及約80至約99體積%的去離子水。
  12. 如申請專利範圍第1項所述之方法,其中該物件之該表面包含選自由鋁、鋁合金、不銹鋼、氧化鋁、氮化鋁、石英及其之組合所組成之群組中之一材料。
  13. 一種於一物件之一表面上施加一塗層的方法,其中在該物件之該表面上施加該塗層以提供對一含鹵素電漿之侵蝕抗性與在室溫下範圍在約109 Ω‧cm至1011 Ω‧cm的一電阻,以進一步降低該半導體處理腔室內出現電漿電弧的機率,且其中該塗層從一標靶的濺鍍沉積,該標靶包含至少一含釔固溶體。
  14. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約40莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約60莫耳%之氧化鋯的前驅物材料所形成。
  15. 如申請專利範圍第13項所述之方法,其中該標靶是由含量高於約80莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約20莫耳%之氧化鈰的前驅物材料所形成。
  16. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約高於0莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約100莫耳%之氧化鉿的前驅物材料所形成。
  17. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約高於48莫耳%至低於100莫耳%之氧化釔,及含量高於0莫耳%至約52莫耳%之氧化鈮的前驅物材料所形成。
  18. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約50莫耳%至約75莫耳%之氧化釔、含量約10莫耳%至約30莫耳%之氧化鋯,及含量約10莫耳%至約30莫耳%之氧化鋁的前驅物材料所形成。
  19. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約50莫耳%之氧化鋯,及含量約高於0莫耳%至低於100莫耳%之氧化鈧的前驅物材料所形成。
  20. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約50莫耳%之氧化鋯,及含量約高於0莫耳 %至低於100莫耳%之氧化鉿的前驅物材料所形成。
  21. 如申請專利範圍第13項所述之方法,其中該標靶是由含量約40莫耳%至低於約100莫耳%之氧化釔、含量高於0莫耳%至約45莫耳%之氧化鋯,及含量高於約0莫耳%至低於80莫耳%之氧化鈮的前驅物材料所形成。
  22. 如申請專利範圍第13項所述之方法,其中當該物件之該表面處於自約120℃至低於該物件之該表面上之一材料的玻璃轉換溫度之範圍內的溫度時,執行該塗層之濺鍍沉積至該物件表面的步驟,同時該材料具有玻璃轉換溫度。
  23. 如申請專利範圍第13項所述之方法,其中在濺鍍沉積該塗層至該物件之該表面上之後,接著使用一技術清潔該表面,該技術包含施加一稀釋酸溶液,該稀釋酸溶液包含約0.1至約5體積%的HF、約1至約15體積%的HNO3 ,及約80至約99體積%的去離子水。
TW097129360A 2007-08-02 2008-08-01 在半導體處理設備上塗佈含釔塗層的方法 TWI441794B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/890,221 US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings

Publications (2)

Publication Number Publication Date
TW200914394A TW200914394A (en) 2009-04-01
TWI441794B true TWI441794B (zh) 2014-06-21

Family

ID=40304675

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097129360A TWI441794B (zh) 2007-08-02 2008-08-01 在半導體處理設備上塗佈含釔塗層的方法

Country Status (6)

Country Link
US (1) US20080213496A1 (zh)
JP (2) JP5506678B2 (zh)
KR (1) KR101491437B1 (zh)
CN (2) CN103436836A (zh)
TW (1) TWI441794B (zh)
WO (1) WO2009017766A1 (zh)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
US20100272982A1 (en) * 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
FR2944293B1 (fr) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions Procede d'elaboration par projection thermique d'une cible
KR101519036B1 (ko) * 2009-04-20 2015-05-12 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버에 코팅하기 위한 장치 및 방법
JP5837733B2 (ja) * 2009-04-24 2015-12-24 国立大学法人東北大学 水分発生用反応炉
KR101101910B1 (ko) * 2009-06-03 2012-01-02 한국과학기술연구원 반도체 제조 장비용 다성분계 열용사 코팅물질, 그 제조방법 및 코팅방법
WO2011066314A1 (en) * 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5692772B2 (ja) * 2009-11-26 2015-04-01 国立大学法人東北大学 表面保護膜、接ガス部材、ガス処理装置及びメカニカルポンプ
JP5665408B2 (ja) * 2010-08-04 2015-02-04 国立大学法人東北大学 水分発生用反応炉
JP2012036053A (ja) * 2010-08-10 2012-02-23 Covalent Materials Corp 耐食性部材
JP2014522916A (ja) 2011-08-10 2014-09-08 インテグリス・インコーポレーテッド 任意のイットリア被覆層を有するAlONコーティングされた基体
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
CN103930586A (zh) 2011-09-26 2014-07-16 福吉米株式会社 含稀土元素的喷镀用粉末和覆膜、以及具备前述覆膜的构件
TWI625422B (zh) 2011-09-26 2018-06-01 福吉米股份有限公司 含有稀土類元素之熔射用粉末及皮膜、以及具備前述皮膜之構件
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
CN103074563B (zh) * 2011-10-26 2017-09-12 中国科学院微电子研究所 一种y2o3耐侵蚀陶瓷涂层的改进方法
JP6097701B2 (ja) * 2011-12-28 2017-03-15 株式会社フジミインコーポレーテッド 溶射材料、及び酸化イットリウム皮膜の形成方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103866286B (zh) * 2012-12-18 2016-12-28 中微半导体设备(上海)有限公司 用于半导体基片反应室内部的部件及制造方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
EP3071726B1 (en) * 2013-11-21 2022-12-28 Entegris, Inc. Surface coating for chamber components used in plasma systems
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6221818B2 (ja) * 2014-02-25 2017-11-01 日本ゼオン株式会社 グラビア塗工装置
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105225923B (zh) * 2014-05-29 2019-11-26 上海矽睿科技有限公司 用于键合的铝材料的预处理方法及键合方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
WO2015200432A1 (en) * 2014-06-27 2015-12-30 Meacham Kirby G B Variable compression connecting rod
JP6634371B2 (ja) * 2014-06-30 2020-01-22 日本碍子株式会社 MgO系セラミックス膜、半導体製造装置用部材及びMgO系セラミックス膜の製法
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6350380B2 (ja) * 2015-04-28 2018-07-04 信越化学工業株式会社 希土類磁石の製造方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6668024B2 (ja) * 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
FR3043679B1 (fr) * 2015-11-12 2021-07-23 Aptar Stelmi Sas Procede de traitement d'un element de conditionnement en elastomere, et element de conditionnement ainsi traite.
WO2017128171A1 (zh) * 2016-01-28 2017-08-03 深圳市商德先进陶瓷股份有限公司 耐等离子刻蚀陶瓷体及其制造方法、等离子刻蚀设备
CN108779568B (zh) * 2016-03-11 2021-06-01 应用材料公司 在半导体处理设备上以电化学方式形成氧化钇的方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
JP6650385B2 (ja) 2016-11-07 2020-02-19 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110004393A (zh) * 2019-04-08 2019-07-12 中国科学院金属研究所 一种超音速火焰喷涂技术制备y2o3陶瓷涂层的方法
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
JP7438070B2 (ja) 2020-09-11 2024-02-26 新光電気工業株式会社 静電チャック、基板固定装置及び基板固定装置の製造方法
JP7476433B2 (ja) 2021-12-10 2024-04-30 トーカロ株式会社 プラズマエッチング装置用部材等に好適な成膜材料およびその製造方法
CN116199514B (zh) * 2023-02-28 2024-04-23 常州市卓群纳米新材料有限公司 液相等离子喷涂高纯氧化钇悬浮液及其制备方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU381643A1 (ru) * 1971-03-22 1973-05-22 Ордена Трудового Красного Знамени институт проблем материаловедени Украинской ССР Высокоогнеупорный керамический материал
US4166880A (en) * 1978-01-18 1979-09-04 Solamat Incorporated Solar energy device
US4576874A (en) * 1984-10-03 1986-03-18 Westinghouse Electric Corp. Spalling and corrosion resistant ceramic coating for land and marine combustion turbines
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
JPH09232301A (ja) * 1996-02-26 1997-09-05 Sumitomo Sitix Corp 半導体製造装置
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
WO1999009587A2 (en) * 1997-08-13 1999-02-25 Applied Materials, Inc. Method of etching copper for semiconductor devices
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
AU1959501A (en) * 1999-12-14 2001-06-25 Penn State Research Foundation, The Thermal barrier coatings and electron-beam, physical vapor deposition for makingsame
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP2001308011A (ja) * 2000-04-18 2001-11-02 Ngk Insulators Ltd 半導体製造装置用チャンバー部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP4688307B2 (ja) * 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
WO2002093605A2 (en) * 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP2003257321A (ja) * 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
CN1288108C (zh) * 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006097114A (ja) * 2004-09-30 2006-04-13 Tosoh Corp 耐蝕性溶射膜部材
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
CN1958512B (zh) * 2005-10-31 2010-05-12 科发伦材料株式会社 透光性稀土氧化物烧结体及其制造方法
US7248457B2 (en) * 2005-11-15 2007-07-24 Toto Ltd. Electrostatic chuck
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Also Published As

Publication number Publication date
JP5978236B2 (ja) 2016-08-24
JP2010535288A (ja) 2010-11-18
WO2009017766A1 (en) 2009-02-05
JP2014159637A (ja) 2014-09-04
TW200914394A (en) 2009-04-01
KR101491437B1 (ko) 2015-02-10
CN101772589A (zh) 2010-07-07
JP5506678B2 (ja) 2014-05-28
CN101772589B (zh) 2013-08-28
KR20100052502A (ko) 2010-05-19
US20080213496A1 (en) 2008-09-04
CN103436836A (zh) 2013-12-11

Similar Documents

Publication Publication Date Title
TWI441794B (zh) 在半導體處理設備上塗佈含釔塗層的方法
US8871312B2 (en) Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
US8016948B2 (en) Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
KR101967971B1 (ko) 반도체 챔버 구성요소를 위한 방사율 제어된 코팅
EP3443136B1 (en) Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
JP5674479B2 (ja) 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
JP2005240171A (ja) 耐食性部材およびその製造方法
TWI375734B (en) Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
JP2007321183A (ja) 耐プラズマ部材
JPH10279349A (ja) 耐プラズマ性に優れたアルミナセラミックス
JP2004200462A (ja) 静電チャックおよびその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees