CN103436836A - 一种使用保护性含钇涂层涂敷半导体设备的方法 - Google Patents

一种使用保护性含钇涂层涂敷半导体设备的方法 Download PDF

Info

Publication number
CN103436836A
CN103436836A CN201310323450XA CN201310323450A CN103436836A CN 103436836 A CN103436836 A CN 103436836A CN 201310323450X A CN201310323450X A CN 201310323450XA CN 201310323450 A CN201310323450 A CN 201310323450A CN 103436836 A CN103436836 A CN 103436836A
Authority
CN
China
Prior art keywords
mole
approximately
coating
aluminum
ceramic coat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310323450XA
Other languages
English (en)
Inventor
詹尼弗·Y·孙
赛恩·撒奇
吉姆·德姆普斯特
徐理
肯尼思·S·柯林斯
段仁官
托马斯·格瑞斯
贺小明
元洁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103436836A publication Critical patent/CN103436836A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment

Abstract

本发明涉及一种使用保护性含钇涂层涂敷半导体设备的方法。根据本发明,公开一种将特化氧化钇陶瓷材料应用到半导体处理设备的方法,所述特化氧化钇陶瓷可耐含卤素等离子体的腐蚀性。所述特化氧化钇陶瓷材料的一些实施例的电阻率已被改性,以降低其在半导体处理室中出现电弧的几率。

Description

一种使用保护性含钇涂层涂敷半导体设备的方法
本申请是国际申请号为PCT/US2008/009221,国际申请日为2008年7月30日,进入中国国家阶段的申请号为200880101675.8,名称为“一种使用保护性含钇涂层涂敷半导体设备的方法”的发明专利申请的分案申请。
本申请是Jennifer Y.Sun等人于2004年7月22日申请的题为“CleanDense Yttrium Oxide Coating Protecting Semiconductor Apparatus”的审查中美国专利申请No.10/898,113以及Jennifer Y.Sun等人于2007年4月27日申请的题为“Method of Reducing The Erosion Rate Of SemiconductorProcessing Apparatus Exposed To Halogen-Containing Plasmas”的审查中美国专利申请No.11/796,210的部分接续申请。本申请还涉及与本申请具有共同发明人的一系列申请。下面所列的所有其他相关申请适于使用包含氧化钇的陶瓷来提供用于半导体处理设备的抗等离子体表面。这些其他相关申请包括:Sun等人2007年4月27日申请的题为“Method And Apparatus WhichReduce The Erosion Rate Of Surfaces Exposed To Halogen-Containing Plasmas”的审查中美国专利申请No.11/796,211;Sun等人于2004年8月13日申请的题为“Gas Distribution Plate Fabricated From A Solid YttriumOxide-Comprising Substrate”的审查中美国专利申请No.10/918,232;以及Sun等人于2002年2月14日申请的题为“Yttrium Oxide Based Surface CoatingFor Semiconductor IC Processing Vacuum Chambers”、于2004年8月17日公布为美国专利No.6,776,873的美国专利申请No.10/075,967。上面所列申请的接续案和分案的其他申请的相关申请包括:Wang等人于2006年11月10日申请的题为“Cleaning Method Used In Removing Contaminants FromThe Surface Of An Oxide or Fluoride Comprising a Group III Metal”、并且是美国申请No.10/898,113的分案申请的审查中美国专利申请No.11/595,484;以及Wang等人于2006年11月3日申请的题为“CleaningMethod Used In Removing Contaminants From A Solid Yttrium Oxide-ContainingSubstrate”、并且是美国申请No.10/918,232的接续案的审查中美国专利申请No.11/592,905。这些专利和申请的所有主题通过引用而结合与此。
技术领域
本发明涉及一种喷涂包含特化氧化钇陶瓷(specializedyttrium oxide-comprising ceramic)的方法,该特化氧化钇陶瓷主要包含固溶体陶瓷,其对半导体处理设备中常见的等离子体具有高度抵抗性。
背景技术
此部分描述与本发明公开的实施例相关的背景主题。此部分中所讨论的背景技术无论是表述的还是暗示的都不倾向于构成法律意义上的现有技术。
对于经常处于腐蚀环境下的半导体处理室内的设备组件与衬垫来说,耐腐蚀性是相当关键的性质。虽然半导体处理环境(包括等离子体增强化学气相沉积(PECVD)和物理气相沉积(PVD))经常出现腐蚀性等离子体,但最具腐蚀性的等离子体环境是那些用来清洁处理设备以及蚀刻半导体衬底的等离子体,特别是高能等离子体加上组件表面上化学活性下的腐蚀性等离子体环境更是如此。当腐蚀性气体(即使没有等离子体存在)与处理设备表面接触时,降低设备组件表面上或处理室衬垫表面上的化学活性是一项相当重要的性质。
用来制造电子器件和微机电系统(MEMS)的处理室内的处理室衬垫与组件设备通常是由铝和铝合金制成。一般需将处理室和组件设备(位于室内)的表面阳极化,以提供一定程度的保护,使不受腐蚀性环境的影响。但是,阳极化膜的整体性可能会因铝和铝合金内的杂质而减损,使得其提早出现腐蚀而缩短了保护涂层的寿命。相较于其它陶瓷材料来说,氧化铝的等离子体抵抗性并不够好。结果,各种成分的陶瓷涂层已经来取代上述的氧化铝涂层,在某些情况下,也被用在阳极化膜表面来改善对其下方铝基材料的保护。
氧化钇已被证明可保护因制造半导体器件而暴露在含卤素等离子体下的铝及铝合金表面。已有人使用氧化钇涂层在高纯度铝合金处理室的阳极化表面上,或处理室部件表面上,以产生绝佳的耐腐蚀保护(如,上述Sun等人的美国专利No.6,777,873)。
可在室内壁表面或室内需要高度耐腐蚀性及绝缘性的组件表面上,形成一膜Al2O3或是Al2O3和Y2O3的膜。在示例性的应用中,室基体材料可以是陶瓷材料(Al2O3、SiO2、ALN等)、铝或不锈钢,或其它金属或金属合金,其具有喷涂层覆盖在基体材料上。该膜可以是由周期表中的第III-B族元素的化合物制成,例如Y2O3。该膜实质上包含一种由Al2O3和Y2O3组成的复合材料。可使用一种由钇-铝-石榴石(YAG)构成的喷涂层。此喷涂层的厚度一般在约50μm至300μm之间。
发明内容
已经研发出对使用含卤素等离子体的半导体处理环境具有高度耐腐蚀性的特色烧结陶瓷材料(specialty sintered ceramic materials)。相较于先前用于半导体处理设备中的烧结陶瓷材料,此特色材料也被改良成具有更佳等离子体抵抗性和调整的机械性质。此特色烧结陶瓷材料的电子性质也被调整,使得材料的电阻率特性(其对等离子体处理室有影响)可满足关键室组件的要求。这些电阻率特性要求之前只有表现出较低等离子体抵抗性的材料才能满足。本特色材料(其提供等离子体抵抗性、机械性及电阻率的各种组合)非常类似先前半导体处理设备所用的材料。电特性相似的优点之一是不需要改变目前半导体组件制造中常用的处理配方或一般处理条件。
本发明有兴趣的烧结陶瓷材料包含氧化钇系的固溶体。在一个实施例中,改变此烧结的、包含氧化钇陶瓷材料的电阻率。在一个示例性的实施技术中,在氧化钇中加入其它氧化物,然后烧结此混合物。其它氧化物的阳离子价数与Y+3不同,因此可形成Y空缺,导致电阻率下降。这类其它氧化物的实例包含但不限于CeO2、TiO2、ZrO2、HfO2和Nb2O5。在另一个示例性的实施技术中,在氧化钇中加入其它氧化物,然后烧结此混合物。其它氧化物的阳离子价数与Y+3离子相同,但是其离子半径与Y+3离子明显不同。在还原性环境下烧结此前驱混合物,形成O空缺,进而导致电阻率下降。这类与Y+3离子具有相同价数,但离子半径明显不同的其它氧化物的实例包括但不限于Nd2O3、Sm2O3、Se2O3、Yb2O3、Er2O3、Ho2O3和Dy2O3
半导体处理室中,一种需要比一般含钇烧结陶瓷更低电阻的主要组件是静电吸盘(electrostatic chuck)。在半导体处理期间,静电吸盘的设计者所推荐使用的静电吸盘表面电阻率一般落入109-1011Ω·cm间,以减少在静电吸盘上出现等离子体电弧的机率。此电阻率范围相当于导电性在10-9-10-7S/m之间。此导电性比一般Si3N4块材的导电性(其通常为10-13S/m)低很多。对其他的耐腐蚀表面来说,等离子体电弧也会是个问题,例如升降梢,其电阻率最好是落在静电吸盘所需电阻率的范围内。对诸如处理室衬垫的耐腐蚀表面来说,电阻率可能较高,可以达到或超过1014Ω·cm间,仍属可接受范围。
至少一种固溶体形成主要摩尔%的烧结陶瓷材料,有助于其作为电性改性的耐腐蚀材料。当有两种氧化物用来形成固溶体时,这些氧化物一般包含氧化钇与另一种氧化物的组合,该另一种氧化物一般是选自氧化锆、氧化铈、氧化鋡、氧化铌及其组合。在某些情况下,组合使用其它氧化物(如,氧化钪、氧化铷、氧化钐、氧化镱、氧化铒、氧化铈(及其它镧系元素的氧化物))也是可以被接受的。
当使用两种以上的氧化物来形成一种或多种固溶体时,这些氧化物一般会包含氧化钇、氧化锆和至少一种其它氧化物,其一般选自氧化鋡、氧化钪、氧化铷、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及其组合。在特定情况下,也可以使用其它镧系元素的氧化物。当烧结陶瓷包含多个固溶体相时,一般来说是两相或三相。除了该至少一种固溶体相之外,在该烧结陶瓷中还可包含由其它化合物或元素金属形成的其他相。
举例来说,但不限于此,对使用两种前驱体氧化物的烧结陶瓷来说,实验证明烧结陶瓷包含固溶体,其中氧化钇量约从40摩尔%到少于100摩尔%,且氧化锆量约从0摩尔%到约60摩尔%,可产生室温下电阻率在约107-1015Ω·cm的烧结氧化物。预期同样范围的电阻率可由氧化钇量约从0摩尔%到少于100摩尔%,且氧化铈量约从0摩尔%到低于约10摩尔%之前驱体氧化物的组合中得到。预期在约109-1011Ω·cm的电阻率可由氧化钇量约从0摩尔%到少于100摩尔%,且氧化鋡量约从0摩尔%到低于约100摩尔%的前驱体氧化物的组合中得到。预期表现出电阻率在约109-1011Ω·cm的烧结陶瓷可由氧化钇量约从约48摩尔%到少于100摩尔%,且氧化铌量约从0摩尔%到高达约52摩尔%的前驱体氧化物的组合中得到。
举例来说,但不限于此,对使用超过两种前驱体氧化物的烧结陶瓷来说,在一个实施例中,当此烧结陶瓷包含固溶体且此烧结陶瓷是由以下氧化物形成时:氧化钇量约从40摩尔%到少于100摩尔%,且氧化锆量约从0摩尔%到约50摩尔%,且氧化钪量约从约0摩尔%到少于100摩尔%,此烧结陶瓷将会表现出在约107-1015Ω·cm间的电阻率。
在其它实施例中,当此烧结陶瓷包含固溶体且此烧结陶瓷是由以下氧化物形成时:氧化钇量约从40摩尔%到少于100摩尔%间,且氧化锆量约从0摩尔%到约50摩尔%,且氧化鋡量约从约0摩尔%到高达低于100摩尔%,此烧结陶瓷将会表现出在约107-1015Ω·cm间的电阻率。
在另一实施例中,当此烧结陶瓷包含固溶体且此烧结陶瓷是由以下氧化物形成时:氧化钇量约从40摩尔%到少于10摩尔%,且氧化锆量约从0摩尔%到约45摩尔%,且氧化铌量约从约0摩尔%到高达约80摩尔%,此烧结陶瓷将会表现出在约107-1015Ω·cm间的电阻率。
在一个实施例中,此烧结陶瓷材料包含3相,其包括:第一相固溶体包含Y2O3-ZrO2-Nb2O5,其占烧结陶瓷材料量的约60摩尔%到约90摩尔%;Y3NbO7的第二相,其占烧结陶瓷材料量的约5摩尔%到约30摩尔%;以及元素态Nb的第三相,其占烧结陶瓷材料量的约1摩尔%到约10摩尔%。
在包含3相的烧结陶瓷材料的另一个实施例中,氧化钇量约从60摩尔%到约75摩尔%,氧化锆量约从约15摩尔%到约25摩尔%,且氧化铌量约从约5摩尔%到约15摩尔%。
在由上述Y2O3-ZrO2-MxOy种类材料形成的烧结陶瓷样品中,在M是钪、鋡、铌或铷的实施例中,暴露在CF4/CHF3等离子体下约76小时后的腐蚀速率(erosion rate)约为0.16μm/小时或更低。当M是铈、钐、铒或其它镧系元素时,预期其腐蚀速率大约相同。等离子体是在应用材料公司的沟槽蚀刻等离子体处理室(Enabler)中形成的。等离子体电力高达2000瓦,处理室压力则在10-500mTorr,且衬底温度约40℃。此约为0.16μm/小时或更低的腐蚀速率约相当于纯Y2O3的腐蚀速率。因此,对烧结陶瓷所做的改良以提供其较低电阻率特性并不会对烧结陶瓷本申的腐蚀速率造成影响。
可将上述的烧结陶瓷材料应用在下方结构表面上。用来形成烧结陶瓷材料的混合氧化物在喷涂过程中将彼此反应以形成固溶体及任何上述的化合物。由此喷涂法所形成的烧结陶瓷的最终相组成与一般块材烧结法所形成的陶瓷组成一样。
虽然可从数种不同衬底来形成半导体处理设备,但是半导体产业中较喜欢使用铝,因为铝的效能一向优于其它材料。可在2000系列或5000至7000系列中使用铝合金作为衬底来制造半导体处理室及处理组件,其中铝合金是被上述一种耐等离子体涂层所保护。相较于没有本发明涂层保护的铝合金来说,有涂层保护的铝合金在其使用寿命期限(其被延长至少2倍,甚至高达4倍)中都具有优异的耐等离子体腐蚀特性。
为提供上述具有较长耐腐蚀寿命的特性,将涂层置于压缩情况下将有帮助。此利用控制施加涂层期间的沉积条件来达成。将涂层放在足够压缩条件下有助于防止铝合金衬底中游移的杂质自衬底迁移到涂层内,导致涂层出现缺限,使涂层易于被与涂层外表面接触的反应性物质侵入。将涂层置于压缩情况下也可提高涂层的密度。高密度涂层可提供对腐蚀性等离子体更佳的保护并改善被喷涂层保护的衬底的机械性质。孔隙度(porosity)是涂层密度的一项指针,亦即,涂层孔隙度愈低,涂层愈紧密。孔隙度是以涂层总体积中开放空间比例多寡来表示。依据本发明所应用的氧化钇涂层孔隙度约1.4%。作为比较,应用以先前方法沉积而成的氧化钇涂层,其孔隙度一般在约3%至约5%间。
为了让所应用涂层/膜被压缩,在施加涂层/膜期间,必须加热铝合金上表面至少达某个表面深度,使得衬底与涂层间的接口在冷却时。涂层会因为铝合金收缩而被压缩。将铝合金上表面在至少约150-200℃下,预热到至少250mil(0.25英寸)的深度。衬底可被预热的温度上界视衬底组成而定,且衬底应被预热到比衬底的玻璃转换温度低的温度。
除了热/火焰喷涂、等离子体放电喷涂以外,可使用其它方法施加涂层/膜。例如,可使用以溅镀烧结块材陶瓷标的形式存在的物理气相沉积法或化学气相沉积法。每一种情况下所获得涂层的结构可稍有不同,但是,本领域一般技术人员将可在所欲求的效能下轻易地进行调整。当以溅镀或CVD来施加涂层时,施加速率将较缓慢,且组合使用涂层及其下方的氧化铝膜可能较具优势。等离子体喷涂和热喷涂可分别提供优异的结果,两者都是针对铝合金和覆盖住铝合金的氧化铝膜上方来实施。
如上述,可施加等离子体或热/火焰喷涂到裸露的铝合金表面上方。一般来说,因为铝表面暴露在空气下的原因,铝合金表面有一层极薄的原生氧化铝。较佳的是在裸露的铝合金表面上或是表现出原生型氧化物的表面上,施加热/火焰喷涂或等离子体喷涂涂层,因为可在表面涂层间形成较佳的键结。
当此种有涂层保护的组件是要用在可能会暴露在氯物质下的等离子体处理室内时,应在铝合金表面上特意创造出来的氧化铝膜上方,施加等离子体喷涂或热/火焰喷涂层,以保护下方的铝合金不会受到腐蚀性氯等离子体的腐蚀。在这种情况下,氧化铝膜的厚度是在约0.5mil至约4mil之间,且施加保护性氧化钇压缩涂层时的衬底温度约在150-200℃之间。
典型地,在将表面阳极化或施加涂层之前,先粗糙化铝合金表面。可利用诸如喷砂,或更典型的,利用电化学蚀刻之类的技术,来粗糙化此铝合金表面。
可提供改良机械强度并可提供降低电阻率的包含有氧化钇的保护性涂层的厚度视使用时铝合金组件或结构将被暴露的环境而定。当组件将被暴露的温度较低时,可在不影响膨胀系数的情况下,提高等离子体喷涂或热/火焰喷涂层的厚度。举例来说,当组件将被暴露在约15℃至约120℃的温度循环下时,且保护性涂层是等离子体喷涂或热/火焰喷涂在2000系列或5000至7000系列中所用铝合金(其表面上有原生型氧化物膜)表面上方,A型陶瓷材料或B型陶瓷材料的包含有氧化钇涂层的厚度将在约12mil至约20mil间。厚度约15mil的涂层即可提供优异的效果。可组合使用厚度低于10mil的较薄的涂层与其下方的氧化铝涂层。
虽然等离子体喷涂或热/火焰喷涂的耐等离子体涂层可产生优异的效果,但是为更进一步改良耐等离子体涂层的效能,较好是在将涂层施加到衬底之后,清洁该涂层。此清洁处理可将半导体处理期间可能造成问题的微量金属杂质移除,并且还可移除涂层表面松脱的粒子(其可能成为日后处理邻近于涂层表面的产物时的污染物来源,此邻近产物有可能是一半导体组件)。
此清洁处理应在不影响保护涂层效能且不伤害下方铝合金表面的情况下,移除不欲求的污染物和沉积副产物。在清洁涂层期间,为了保护铝合金表面,先以接触时不会伤害铝合金表面的惰性溶剂来使涂层表面饱和。一般来说,将有涂层的衬底浸泡在频率约40kHz的去离子水超音波浴中约5-30分钟。接着,施用化学活性溶剂来移除保护涂层上的污染物。一般来说,以软拭巾将被稀酸溶液湿润约3-15分钟的有涂层衬底表面擦拭干净。此稀酸溶液一般包含约0.1%至约5%(体积%)的HF(更佳是约1%至约5%);约1%至约5%(体积%)的HNO3(更佳是约5%至约15%);和80%至约99%(体积%)的去离子水。擦拭后,再以去离子水将组件润湿,接着浸泡在频率约40kHz的去离子水超音波浴中约30分钟至约2小时(一般来说,约40分钟至约1小时)。
除了从有涂层的表面上移除污染物与杂质之外,以稀HF溶液擦拭有涂层组件的步骤可提供该有涂层的表面氟化保护。氟化将使有涂层的表面产生更强健、稳定可耐等离子体的涂层。也可利用将有涂层的表面暴露在含氟物质的等离子体下,来达成氟化的目地。
如上述,可在烧结期间、火焰/热喷涂或等离子体喷涂衬底表面期间,创造出在此所述的特化陶瓷材料。除了已知的应用技术外,可使用例如从烧结材料靶溅镀或化学气相沉积到衬底表面,来在各式衬底表面形成陶瓷涂层。这类衬底包括金属和陶瓷衬底,例如,但不限于铝、铝合金、不锈钢、氧化铝、氮化铝及石英。
附图说明
图1中曲线100示出各式材料的电阻率(其为温度的函数),其中所施加的电压在空气环境下为1000伏特。
图2是Y2O3-ZrO2-Al2O3的相图200。此相图示出在此相图“A”区域中的特定陶瓷材料复合物及其它组成。此“A”型陶瓷材料是具有优异耐卤素等离子体腐蚀性的陶瓷组合物。
图3是Y2O3-ZrO2-Nb2O5的相图300。此相图示出在此相图“B”区域中的特定陶瓷材料复合物及其它组成。此“B”型陶瓷材料是不仅可耐卤素等离子体腐蚀性且表现出一经控制的、且较“A”型陶瓷材料更低电阻率性的陶瓷组合物。
图4中曲线400示出各式材料的电阻率(其为所施加电压的函数),其中的测量是在约室温(27℃)下测量而得。
图5中的柱状图500显示出多种陶瓷备暴露在由CF4和CHF3的气体源所产生的等离子体下后,其平均腐蚀速率(相对于Y2O3的腐蚀速率标准化后)。
图6是有助于施用所述包含特化氧化钇涂层的等离子体喷涂系统的截面图600。
具体实施方式
需知在本文及附随的权利要求中,单数名词”一(a,an)或该(the)”,除非另外指明,否则皆涵盖其复数意涵。
“约(about)”在本文中涵盖所指数值的±10%的范围。
在此公开的是特化陶瓷材料(specialized ceramic materials),其被研发成可忍耐使用含卤素等离子体的半导体处理过程中的腐蚀条件。在特定实施例中,相较于之前被研发来提供耐等离子体腐蚀性的类似陶瓷材料来说,此特化材料已被改性成具有较低的电阻率特性。此低电阻率特性有助于降低半导体处理室内各组件上出现电弧的机率,最重要的是,降低在静电吸盘表面或衬底升降梢上出现电弧的机率,若在这些地方发生电弧将会造成困扰。在过去,组件或至少各组件表面是由氮化铝或氧化铝制成,其可能被掺杂来可提供电特性。虽然此类材料可提供欲求的电特性,但其被腐蚀/侵蚀速率却很快,因而限制了组件的使用寿命,并且需经常停机来更换或修复各组件部分。
此外,作为半导体等离子体处理室的室衬里和功能性组件的各种材料的电特性也会影响等离子体的行为。等离子体行为改变会影响等离子体处理特性,且当此变化具有实质效果时,就必须改变其它处理参数,以配合等离子体行为上的变化。与其重新找出制造组件所需的参数条件,较实际的做法是研发出具有所求电特性的可耐腐蚀的陶瓷材料。只有一部分表现出具有所求耐腐蚀/侵蚀特性的陶瓷材料可被进一步改良,并将电阻率特性控制在组件接触等离子体时的期望范围内。本领域一般技术人员在阅读过本说明书之后,将可成功地挑选出可用来形成陶瓷材料的氧化物组合。
为简便起见,利用烧结陶瓷研发出具有期望电特性及可接受的耐卤素等离子体腐蚀/侵蚀性的陶瓷材料。此烧结陶瓷是利用此领域中公知技术做成的。在其它实施例中,可利用热/火焰喷涂或等离子体喷涂法,而将同一类型具有可接受的耐卤素等离子体腐蚀/侵蚀性的陶瓷材料应用在诸如铝或铝合金的下方材料上作为涂层。或者,可利用烧结陶瓷材料来制造靶,并利用物理气相沉积法将此陶瓷材料沉积在下方材料膜上,特别是当所欲施佳陶瓷材料的设备范围很大时,例如处理室衬里。
如前述,有兴趣的烧结材料包含氧化钇。此烧结的含钇陶瓷材料的电阻率特性可能有所改变。在示例性的技术中,在氧化钇中加入至少一种其它的氧化物,再把此混合物加以烧结。至少一种其它氧化物的阳离子价数与Y3+离子不同,因此会形成Y空缺,造成电阻率下降。这类氧化物的实例包括但不限于CeO2、TiO2、ZrO2、HfO2和Nb2O5。在另一个示例性的实施技术中,在氧化钇中加入至少一种其它氧化物,然后在还原性气氛下烧结此混合物,但是,此至少一种其它氧化物的阳离子价数与Y+3相同,但是其阳离子半径与Y+3明显不同。此造成O空缺,进而导致电阻率下降。这类与Y+3离子具有相同价数,但离子半径明显不同的其它氧化物的实例,包括但不限于Nd2O3、Sm2O3、Se2O3、Yb2O3、Er2O3、Ho2O3和Dy2O3
虽然可由数种不同的衬底来形成半导体处理室,但是半导体产业中较喜欢使用铝,因为铝的效能一向优于其它材料。可在2000系列或5000至7000系列中使用铝合金作为衬底来制造半导体处以室及处理组件,其中铝合金是被上述一种耐等离子体涂层所保护(例如A型陶瓷或材料,或是B型陶瓷材料,其使用氧化钇的结晶形固溶体)。相较于没有本发明涂层保护的铝合金来说,有涂层保护的铝合金在其使用寿命期限(其被延长至少2倍,甚至高达4倍)中都具有优异的耐等离子体腐蚀特性。
为提供上述具有较长耐腐蚀寿命的特性,将涂层置于压缩情况下将有帮助。将涂层放在足够压缩条件下有助于防止铝合金机版中游移的杂质自衬底迁移到涂层内,导致涂层出现缺限,使涂层易于被与涂层外表面接触的反应性物质侵入。将涂层置于压缩情况下也可提高涂层的密度。孔隙度(porosity)是涂层密度的一项指针,亦即,涂层孔隙度愈低,涂层愈紧密。孔隙度是以涂层总体积中开放框间比例多寡来表示。依据本发明所施加的氧化钇涂层孔隙度约1.4%。对照组,施加以先前技艺沉积而成的氧化钇涂层,其孔隙度一般在约3%至约5%间。
为了让所施加涂层/膜被压缩,在施加涂层/膜期间,必须加热铝合金上表面至少达一表面深度,使得衬底与涂层间的接口在冷却时。涂层会因为铝合金收缩而被压缩。将铝合金上表面在至少约150-200℃下,预热至至少250mil(0.25英寸)的深度。衬底可被预热的温度上界视衬底组成而定,且衬底应被预热到比衬底的玻璃转换温度低的温度。
当此种有涂层保护的组件是要用在可能会暴露在氯物质下的等离子体处理室内时,应在铝合金表面上特意创造出来的氧化铝膜上方,施加等离子体喷涂或热/火焰喷涂层,以保护下方的铝合金不会受到腐蚀性氯等离子体的侵蚀。在这种情况下,氧化铝膜的厚度是在约0.5mil至约4mil间,且施加保护性氧化钇压缩涂层时的衬底温度约在150-200℃间。一般来说,施加保护性涂层时此氧化铝膜的温度不可以超过氧化铝的玻璃转换温度。
典型地,在将表面阳极化或施加涂层之前,先粗糙化铝合金表面。可利用诸如珠击,或更典型的,利用电化学蚀刻之类的技术,来粗糙化此铝合金表面。
可提供改良机械强度并降低电阻率之包含有氧化钇的保护性涂层的厚度,视使用时铝合金组件或结构将被暴露的环境而定。当组件将被暴露的温度较低时,可在不影响膨胀系数的情况下,提高等离子体喷涂或热/火焰喷涂层的厚度。举例来说,当组件将被暴露在约15℃至约120℃的温度循环下时,且保护性涂层是等离子体喷涂或热/火焰喷涂在2000系列或5000至7000系列中所用铝合金(其表面上有原生型氧化物膜)表面上方,A型陶瓷材料或B型陶瓷材料的包含有氧化钇涂层的厚度将在约12mil至约20mil间。厚度约15mil的涂层即可提供优异的效果。可组合使用厚度低于10mil的较薄的涂层与其下方的氧化铝涂层。
虽然等离子体喷涂或热/火焰喷涂的耐等离子体涂层可产生优异的效果,但是为更进一步改良耐等离子体涂层的效能,较好是在将涂层施加到衬底之后,清洁该涂层。此清洁处理可将半导体处理期间可能造成问题的微量金属杂质移除,并且还可移除涂层表面松脱的粒子(其可能成为日后处理有涂层表面邻近产物时的污染物来源,特别是当此邻近产物是半导体组件时)。
此清洁处理应在不影响保护涂层效能且不伤害下方铝合金表面的情况下,移除不期望的污染物和沉积副产物。在清洁涂层期间,为了保护铝合金表面,先以接触时不会伤害铝合金表面的惰性溶剂来使涂层表面饱和。一般来说,将有涂层的衬底浸泡在频率约40kHz的去离子水超音波浴中约5-30分钟。接着,施用化学活性溶剂来移除保护涂层上的污染物。一般来说,以软拭巾将被稀酸溶液湿润约3-15分钟的有涂层衬底表面擦拭干净。此稀酸溶液一般包含约0.1%至约5%(体积%)的HF(更佳是约1%至约5%);约1%至约5%(体积%)的HNO3(更佳是约5%至约15%),和80%至约99%(体积%)的去离子水。擦拭后,再以去离子水将组件润湿,接着浸泡在频率约40kHz的去离子水超音波浴中约30分钟至约2小时(一般来说,约40分钟至约1小时)。
除了从涂层表面移除杂质与污染物外,以稀氢氟酸溶液擦拭有涂层保护的组件表面的步骤,将可提供涂层表面氟化保护。氟化将使有涂层的表面产生更强健、稳定可耐等离子体的涂层。也可利用将有涂层的表面暴露在含氟物质的等离子体下(例如,密度在约1×109e-/cm3间的CF4等离子体或CF3/CF4等离子体),一段足够长的时间,来使表面或至少一部分表面氟化。
可在火焰/热喷涂或等离子体喷涂衬底表面期间,在衬底表面烧结出此所述的特化陶瓷材料。但是,如上述,本发明也涵盖其它使用此特化陶瓷材料来当涂层的方法。举例来说,可利用现有技术,而由烧结材料靶溅镀沉积涂层。此外,也可利用化学气相沉积法(CVD)来施加具有此特化陶瓷材料的涂层。可将此涂层应用在各式衬底表面上,包括但不限于铝、铝合金、不锈钢、氧化铝、氮化铝及石英。
一般来说,可改善机械性质的陶瓷材料喷涂涂层主要包含至少一种固溶体相,更典型地,包含两种固溶体相,其可与化合物和/或元素相一起存在。举例来说,多相陶瓷一般包含一或两种由氧化钇、氧化锆和/或烯土氧化物,加上钇铝化合物一起组成的固溶体相。陶瓷材料是由起始组合物开始形成,该起始组合物包含摩尔浓度范围在约50%-约75%的Y2O3;摩尔浓度范围在约10%-约30%的ZrO2;摩尔浓度范围在约10%-约30%的Al2O3;此陶瓷材料可提供优异的耐含卤素等离子体腐蚀能力,同时提供较佳的机械性质,使得在处理任一种固体陶瓷处理组件时,无需担心会伤害到组件。也可以其它的氧化物(包括HfO2、Sc2O3、Nd2O3、Nb2O5、Sm2O3、Yb2O3、Er2O3、Ce2O3(或CeO2)及其组合)来取代氧化铝,以帮助改良机械性质。
一般而论,复合材料是由二或多种具有明显不同的物理或化学性质的组成材料构成,且宏观上,其在最终结构上分别保持彼此分开且独特的性质。此组成材料是由母质和强化材料两部分构成。母质材料是透过相对于该强化材料来保持相对位置的方式,而能环绕并支持至少一种强化材料。但是,此组成材料具有明显不同的性质,宏观上,其在最终结构上保持彼此分开且独特的性质。但这类材料与此处所述以热/火焰喷涂、等离子体放电喷涂等方式形成的陶瓷材料并不相同。
除了喷涂能表现出改良机械强度的含有特化氧化钇材料外,也可喷涂其它可提供较低电阻率性类似的陶瓷材料。降低电阻率有助于降低半导体处理室中在各组件上出现等离子体电弧的机率,最常见位置是在静电吸盘或衬底升降梢上。在过去,可掺杂由氮化铝制成的组件,或至少该组件表面,以提供电性。虽然这类材料可提供期望的电子特性,但氮化铝的腐蚀/蚀刻速率相当快,因而限制了特定组件的使用寿命,且需经常停机以更换或修复该些组件部分。
如上述,期望烧结陶瓷材料包含氧化钇。可改变已烧结、内含钇的陶瓷材料。在示例性技术中,在氧化钇中加入至少一种其它氧化物,并将此混合物烧结。该至少一种其它氧化物的价数与Y3+离子不同,因此会形成Y空缺,造成电阻率下降。这类氧化物的实例包括但不限于CeO2、TiO2、ZrO2、HfO2和Nb2O5。在另一个示例性的实施技术中,在氧化钇中加入至少一种其它氧化物,然后在还原性气氛下烧结此混合物,但是,此至少一种其它氧化物的阳离子价数与Y+3相同,但是其阳离子半径与Y+3明显不同。此造成O空缺,进而导致电阻率下降。这类与Y+3离子具有相同价数,但离子半径明显不同的其它氧化物的实例,包括但不限于Nd2O3、Sm2O3、Sc2O3、Yb2O3、Er2O3、Ho2O3和Dy2O3
目前已有数种烧结的陶瓷材料被研发出来,下表提供已被创造出来并评估过的烧结陶瓷材料实例,至于这些陶瓷材料的讨论则详述于后。
实施例
*N/A=没有数据
**c-ss代表立方钇类固溶体
实施例1
图1是示出各式陶瓷材料的曲线100,包括依据实施例所制造出来的A型与B型材料的电阻率。电阻率在轴104上示出,为温度的函数,温度在轴102上示出。电阻率是在空气环境下,在1000V下测量而得,其使用依据ASTMD1829-66或JIS C2141的标准测试状况来测试。
图1中的曲线106代表上述表格中样品#4的含有Nb2O5的烧结陶瓷材料。有关含有Nb2O5的烧结陶瓷材料,预计可获得其额外组成的电阻率数值,如图3的相图所示。此烧结陶瓷材料包含有3相,第一相的固溶体包含Y2O3-ZrO2-Nb2O5,其约占烧结陶瓷约60%(摩尔%)至约90%(摩尔%);第二相的Y3NbO7,其约占烧结陶瓷约5%(摩尔%)至约30%(摩尔%);和第三相的元素态Nb,其约占烧结陶瓷约1%(摩尔%)至约10%(摩尔%)。此材料有助于降低电阻率特性到足以防止电弧出现。室温下电阻率低到约1011Ω·cm,在200℃下,则电阻率低到约108Ω·cm,且在一般半导体处理条件下,其电阻率在约109Ω·cm的范围。
图1中含有Nb2O5的烧结陶瓷材料的实例之一为Nb2O5-ZrO2-Y2O3。参照图3,相图中一部分区域被标示为”B”。这个标示代表此烧结陶瓷材料之一固溶体包含约55%(摩尔%)至约80%(摩尔%)的Y2O3,约5%(摩尔%)至约25%(摩尔%)的ZrO2,约5%(摩尔%)至约25%(摩尔%)的添加物(如,Nb2O5、HfO2、Nd2O3或Sc2O3)。
实施例2
图1的图108代表上述表格中样品#1的含有HfO2的烧结陶瓷材料。此烧结陶瓷材料表现出比含有Nb2O5的烧结陶瓷材料更高的电阻率,其可用来制造相较于静电吸盘或基材升降梢来说电弧并非那么关键的半导体处理设备组件。
实施例3
图1的曲线110代表上述表格中样品#2的含有Sc2O3的烧结陶瓷材料。此材料可用在电阻率要求为1011Ω·cm的应用中。
实施例4(比较实施例)
图1的曲线112代表图2相图中的Y2O3-ZrO2-Al2O3材料。此材料是要与陶瓷材料的控制电阻率作对照用的。此烧结陶瓷材料包含由Y2O3和ZrO2共同组成的固溶体,以及由Y2O3和Al2O3氧化物组成的化合物。典型烧结陶瓷材料是由约60%(摩尔%)至约65%(摩尔%)的Y2O3,约20%(摩尔%)至约25%(摩尔%)的ZrO2;和约10%(摩尔%)至约15%(摩尔%)的Al2O3。中心陶瓷材料的实例之一如图2相图中的区域“A”所示,其是由图1的Y2O3-ZrO2-Al2O3图来代表,包含:约60%(摩尔%)的具有立方氧化钇结晶结构的固溶体,其中c-Y2O3是溶剂,而是ZrO2溶质;约2%(摩尔%)的具有萤石(fluorite)类型结晶结构的固溶体,其中ZrO2是溶剂,而Y2O3是溶质;和约38%(摩尔%)的YAM(Y4Al2O9)化合物。
实施例5(比较实施例)
图1的曲线114代表图1中包含有Nd2O3的陶瓷材料,其为上表中标示为样品#3的材料。此材料无法满足为防止电弧现象所需的要求,因此被视为比较实施例,而非本具发明特征的陶瓷材料。
实施例6(比较实施例)
图1的曲线116代表纯Y2O3的烧结陶瓷的电阻率特性。此材料也是作为一种比较例,可作为基线,因为许多半导体设备组件都是用纯Y2O3制成的。相较于纯Y2O3的电阻率特性来说,本发明的烧结陶瓷材料可显著地改善电阻率特性。
图1的曲线120代表一般用来制作静电吸盘的掺杂氮化铝,且曲线122代表第二种含有掺质的氮化铝,它也是常用来制造静电吸盘和其它半导体设备组件的材料,具有较低的电阻率特性。
实施例7
图4中的曲线,显示多种陶瓷材料测试样品的电阻率特性为测试电阻率期间所施加电压的函数。轴404为电阻率,轴402则为电压。测试温度为室温(约27℃)。此图的目地在显示本发明耐腐蚀陶瓷(其已被控制以降低电阻率)和目前广泛使用含有掺质的氮化铝陶瓷间在电阻率特性上的差异。虽然含有掺质的氮化铝陶瓷的电阻率较低,但其腐蚀速率至少比包含氧化钇的陶瓷(其已被改良以降低电阻率)的腐蚀速率高2倍。
特别是,图4的曲线422代表目前常用来制造静电吸盘的含有掺质的氮化铝陶瓷。曲线420代表另一种用来制造竞电吸盘及其它低电阻率组件的掺杂氮化铝陶瓷。
图4的曲线406代表上述表中样品#4的含有Nb2O5的烧结陶瓷材料。包含氧化钇的材料已被改性,以降低电阻率使其表现得非常接近含有掺质的氮化铝陶瓷的电阻率(即,AIN-1)。但是,掺杂氮化铝陶瓷的腐蚀速率却比包含曲线406所代表的含氧化钇的陶瓷快了10倍,如图5的柱状图500所示。
图4的曲线408代表上述表中样品#1的含有HFO5的烧结陶瓷材料。此陶瓷材料在室温下表现出比包含Nb2O5的材料更高的电阻率,其已超过组件可能会出现等离子体电弧的建议范围。但是,在半导体处理制程中常见的200℃处理温度下,电阻率会回跌到可接受的范围,如图1中的曲线108所示。
图4的曲线410代表上述表中样品#2的含有Sc2O3的烧结陶瓷材料。同样的,当处理温度为200℃时,此材料可应用在电阻率要求为1011Ω·cm的应用中
为比较目地起见(其系相对于具有内含氧化钇固溶体的控制电阻率特性的陶瓷材料而言),图4的曲线412示出一种包含有Y2O3、ZrO2及Al2O3的“A”型陶瓷材料,其示于图2中。这类“A”型陶瓷材料的实例之一,如图1所示,包含约60%(摩尔%)的立方氧化钇型结构,其中c-Y2O3是溶剂,而是ZrO2溶质;约2%(摩尔%)的具有萤石类型结晶结构的固溶体,其中ZrO2是溶剂,而Y2O3是溶质;和约38%(摩尔%)的YAM(Y4Al2O9)化合物。虽然A型HPM材料表现出可接受的耐腐蚀性质和机械性质,其电阻率却比期望最大电阻率1011Ω·cm来的高。即使在约200℃下,如图1的曲线112所示。此材料并未包括在电阻率特性经改良的耐腐蚀陶瓷的实施例中。
为比较目地起见,图4的曲线414示出一种包含有Nd2O3的烧结陶瓷材料,如表中样品#3。此材料无法满足防止电弧发生所需的必须要求,且被视为比较例而非构成本发明的独特陶瓷材料的一部分。
为比较起见,图4的曲线416示出纯Y2O3烧结陶瓷的电阻率特性。此材料也是作为一种比较例,可作为基线,因为许多半导体设备组件都是用纯Y2O3制成的。相较于纯Y2O3的电阻率特性来说,本发明的烧结陶瓷材料可显著地改善电阻率特性。
实施例8
图5中的柱状图500显示出多种陶瓷备暴露在等离子体下后其平均腐蚀速率(相对于Y2O3的腐蚀速率标准化后)。等离子体是由CF4和CHF3的气体源中产生。等离子体是在美商应用材料公司的沟渠蚀刻等离子体处理室(Enabler)中形成的。等离子体电力高达2000瓦,处理室压力则在10-500mTorr,且衬底温度约40℃且处理时间约76小时。轴502显示出多种用来测试耐腐蚀的材料种类。标示为Y2O3-10ZrO2的测试样品,代表烧结的固溶体陶瓷测试样品,其由100份重量的Y2O3加上10份重量的ZrO2所烧结而成的。以鉴别出上表中所标定包含Nb2O5或HfO2、或Nd2O3或Sc2O3的测试样品。比较轴504所代表的腐蚀速率,可知电阻率经改性且内含氧化钇的烧结陶瓷材料的腐蚀速率基本上与纯氧化钇的腐蚀速率相同。此外,电阻率性经改性且内含氧化钇的烧结陶瓷材料的腐蚀速率也明显较已知其它用来制造半导体处理室衬垫及内部组件的陶瓷材料(如,Al2O3、AlN、石英、W/ZrC、B4C和SiC)来得好。
由上述实验结果和其它参考来源所提供的数据,可计算出用以估算等离子体渗漏电流中UV光效应的数值。等离子体环境(半导体处理中所会使用到的环境)中的UV光对电阻率性经改性且内含氧化钇的烧结陶瓷材料的漏电流不会有影响。
有关193nm UV光(某些半导体处理操作中会使用)对Nb2O5-B型陶瓷及HfO2-B型烧结陶瓷中的漏电流的影响显示这些材料的电效能不会受这类UV光的影响。
包含陶瓷的对象可用于会与等离子体接触的半导体处理设备,包括盖、衬垫、喷嘴、气体分配板、喷头、静电吸盘组件、阴影框、衬底固持框、处理套组、陶瓷衬垫等。
图6是有助于施用本发明涂层的等离子体喷涂系统(双阳极α灯管)的截面图600。图6中的特定设备是Aeroplasma K.K.(东京,日本)公司的APS7000系列Aeroplasma喷涂系统。此设备600包括以下组件:第一直流主电极602、第一辅助电极604、第一氩源606、第一空气源608、喷涂材料粉末源610、阴极灯管612、加速器喷嘴614、等离子体电弧616、第二直流主电极618、第二辅助电极620、双阳极灯管622A和622B、第二氩源626、第二空气源(修整等离子体)628A和628B、第三氩源636、喷射等离子体632、融熔等离子体源634、和将被喷涂的基体材料源624。
双阳极α灯管638是由两阳极灯管组成,使得每一个阳极灯管承载一半的热负载。使用双阳极α灯管638,可以相当低的电流量达成高电压,因此每一个灯管上的热负载将很低。灯管的每一个喷嘴与电极柱分别为水冷式,且其起始点与终点都受到惰性气体保护,以确保可在200小时内稳定地操作,可延长消耗部件的寿命并降低维护成本。
在阴极灯管612与阳极灯管622间形成高温稳定电弧,并可将喷涂材料直接馈送进电弧中。此喷涂材料将被高温电弧柱完全熔解。电弧得起点与终点都受到惰性气体保护,因此可以空气或氧气作为从加速器喷嘴614引入的等离子体气体。
在双阳极α上使用等离子体修整功能628,等离子体修整可修剪掉对熔解喷涂材料无益的喷射等离子体的热,因此可减少衬底材料和膜膜上的热负载,使得可在短距离内制造喷涂。
本领域一般技术人员将能把本发明方法用在类似的喷涂设备上。上述的实施例并非用以限制本发明范畴,本领域一般技术人员在阅读过本发明后,可将本发明的实施例扩大至与本发明请求标的相应的范围内。

Claims (16)

1.一种在用于半导体处理室内的物体表面提供喷涂陶瓷涂层的方法,所述喷涂陶瓷涂层表现出对含卤素等离子体的抗腐蚀性,并且表现出在1000V下测得的,大约为200℃下最大1011Ω·cm,50℃下最大1014Ω·cm的电阻率,从而降低等离子体在半导体处理室中出现电弧的几率,其中所述涂层是利用选自下列的技术来喷涂的:火焰喷涂、热喷涂、和等离子体喷涂,且其中所述涂层包含至少一种含钇固溶体,并且其中所述陶瓷涂层是由至少三种前驱体氧化物形成的,所述至少三种前驱体氧化物包括:含量从约40摩尔%至低于约100摩尔%的氧化钇、含量从约5摩尔%至约50摩尔%的氧化锆、和含量从约5摩尔%至高达约20摩尔%的氧化铪。
2.如权利要求1所述的方法,其中所述物体由铝或铝合金,以及在所述铝或铝合金上方的厚度从约0.5mil到约4mil的氧化铝层制成,从而所述喷涂陶瓷涂层被施加到所述氧化铝层上。
3.如权利要求2所述的方法,其中在施加所述喷涂陶瓷涂层期间,所述氧化铝层的温度范围为:从约150℃到比所述氧化铝的玻璃转换温度要小的温度。
4.一种在用于半导体处理室内的物体表面提供喷涂陶瓷涂层的方法,所述喷涂陶瓷涂层表现出对含卤素等离子体的抗腐蚀性,并且表现出在1000V下测得的,大约为200℃下最大1011Ω·cm,50℃下最大1014Ω·cm的电阻率,从而降低等离子体在半导体处理室中出现电弧的几率,其中所述涂层是利用选自下列的技术来喷涂的:火焰喷涂、热喷涂、和等离子体喷涂,且其中所述涂层包含至少一种含钇固溶体,并且其中所述陶瓷涂层是由至少三种前驱体氧化物形成的,所述至少三种前驱体氧化物包括:含量从约40摩尔%至低于约100摩尔%的氧化钇、含量从约5摩尔%至约45摩尔%的氧化锆、和含量从约5摩尔%至高达约10摩尔%的氧化铌。
5.如权利要求4所述的方法,其中所述物体由铝或铝合金,以及在所述铝或铝合金上方的厚度从约0.5mil到约4mil的氧化铝层制成,从而所述喷涂陶瓷涂层被施加到所述氧化铝层上。
6.如权利要求5所述的方法,其中在施加所述喷涂陶瓷涂层期间,所述氧化铝层的温度范围为:从约150℃到比所述氧化铝的玻璃转换温度要小的温度。
7.一种在用于半导体处理室内的物体表面提供喷涂陶瓷涂层的方法,所述喷涂陶瓷涂层表现出对含卤素等离子体的抗腐蚀性,并且表现出在1000V下测得的、大约为200℃下最大1011Ω·cm,50℃下最大1014Ω·cm的电阻率,从而降低等离子体在半导体处理室中出现电弧的几率,其中所述涂层是利用选自下列的技术来喷涂的:火焰喷涂、热喷涂、和等离子体喷涂,且其中所述涂层包含至少一种含钇固溶体,并且其中所述陶瓷涂层是由至少三种前驱体氧化物形成的,所述至少三种前驱体氧化物包括:含量从约60摩尔%至约75摩尔%的氧化钇、含量从约15摩尔%至约25摩尔%的氧化锆、和含量从约5摩尔%至高达约15摩尔%的氧化铌。
8.如权利要求7所述的方法,其中所述物体由铝或铝合金,以及在所述铝或铝合金上方的厚度从约0.5mil到约4mil的氧化铝层制成,从而所述喷涂陶瓷涂层被施加到所述氧化铝层上。
9.如权利要求8所述的方法,其中在施加所述喷涂陶瓷涂层期间,所述氧化铝层的温度范围为:从约150℃到比所述氧化铝的玻璃转换温度要小的温度。
10.一种在用于半导体处理室内的物体表面提供喷涂陶瓷涂层的方法,所述喷涂陶瓷涂层表现出对含卤素等离子体的抗腐蚀性,并且表现出在1000V下测得的,大约为200℃下最大1011Ω·cm,50℃下最大1014Ω·cm的电阻率,从而降低等离子体在半导体处理室中出现电弧的几率,其中所述涂层是利用选自下列的技术来喷涂的:火焰喷涂、热喷涂、和等离子体喷涂,且其中所述涂层包含至少一种含钇固溶体,并且其中所述陶瓷涂层是由至少三种前驱体氧化物形成的,所述至少三种前驱体氧化物包括:浓度从约40摩尔%至小于约100摩尔%的氧化钇、浓度从约5摩尔%至约45摩尔%的氧化锆、和浓度从约5摩尔%至高达约10摩尔%的氧化铌。
11.如权利要求10所述的方法,其中所述物体的所述表面是选自下列的材料:铝、铝合金、不锈钢、氧化铝、氮化铝、和石英。
12.如权利要求11所述的方法,其中所述物体由铝或铝合金,以及在所述铝或铝合金上方的厚度从约0.5mil到约4mil的氧化铝层制成,从而所述喷涂陶瓷涂层被施加到所述氧化铝层上。
13.如权利要求12所述的方法,其中在施加所述喷涂陶瓷涂层期间,所述氧化铝层的温度范围为:从约150℃到比所述氧化铝的玻璃转换温度要小的温度。
14.一种在用于半导体处理室内的物体表面提供喷涂陶瓷涂层的方法,所述喷涂陶瓷涂层表现出对含卤素等离子体的抗腐蚀性,并且表现出在1000V下测得的,大约为200℃下最大1011Ω·cm,50℃下最大1014Ω·cm的电阻率,从而降低等离子体在半导体处理室中出现电弧的几率,其中所述涂层是利用选自下列的技术来喷涂的:火焰喷涂、热喷涂、和等离子体喷涂,且其中所述涂层包含至少一种含钇固溶体,并且其中所述陶瓷涂层是由至少三种前驱体氧化物形成的,所述至少三种前驱体氧化物包括:含量从约40摩尔%至约80摩尔%的氧化钇、含量从约5摩尔%至约50摩尔%的氧化锆、和含量从约5摩尔%至高达约20摩尔%的氧化铪。
15.如权利要求14所述的方法,其中所述物体由铝或铝合金,以及在所述铝或铝合金上方的厚度从约0.5mil到约4mil的氧化铝层制成,从而所述喷涂陶瓷涂层被施加到所述氧化铝层上。
16.如权利要求15所述的方法,其中在施加所述喷涂陶瓷涂层期间,所述氧化铝层的温度范围为:从约150℃到比所述氧化铝的玻璃转换温度要小的温度。
CN201310323450XA 2007-08-02 2008-07-30 一种使用保护性含钇涂层涂敷半导体设备的方法 Pending CN103436836A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/890,221 US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US11/890,221 2007-08-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2008801016758A Division CN101772589B (zh) 2007-08-02 2008-07-30 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法

Publications (1)

Publication Number Publication Date
CN103436836A true CN103436836A (zh) 2013-12-11

Family

ID=40304675

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008801016758A Expired - Fee Related CN101772589B (zh) 2007-08-02 2008-07-30 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法
CN201310323450XA Pending CN103436836A (zh) 2007-08-02 2008-07-30 一种使用保护性含钇涂层涂敷半导体设备的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2008801016758A Expired - Fee Related CN101772589B (zh) 2007-08-02 2008-07-30 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法

Country Status (6)

Country Link
US (1) US20080213496A1 (zh)
JP (2) JP5506678B2 (zh)
KR (1) KR101491437B1 (zh)
CN (2) CN101772589B (zh)
TW (1) TWI441794B (zh)
WO (1) WO2009017766A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105225998A (zh) * 2014-06-27 2016-01-06 应用材料公司 用于高温处理的耐等离子体腐蚀加热器
CN106133885A (zh) * 2014-04-25 2016-11-16 应用材料公司 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN107916399A (zh) * 2014-04-25 2018-04-17 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
CN108070812A (zh) * 2016-11-07 2018-05-25 东京毅力科创株式会社 喷镀用材料和带喷镀膜的构件
CN108425090A (zh) * 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
CN108779568A (zh) * 2016-03-11 2018-11-09 应用材料公司 在半导体处理设备上电化学生长三氧化二钇或氧化钇的方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
KR20110088549A (ko) * 2008-11-04 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반도체 응용을 위한 열 분무 코팅
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
FR2944293B1 (fr) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions Procede d'elaboration par projection thermique d'une cible
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
JP5837733B2 (ja) * 2009-04-24 2015-12-24 国立大学法人東北大学 水分発生用反応炉
KR101101910B1 (ko) * 2009-06-03 2012-01-02 한국과학기술연구원 반도체 제조 장비용 다성분계 열용사 코팅물질, 그 제조방법 및 코팅방법
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
JP5692772B2 (ja) * 2009-11-26 2015-04-01 国立大学法人東北大学 表面保護膜、接ガス部材、ガス処理装置及びメカニカルポンプ
JP5665408B2 (ja) * 2010-08-04 2015-02-04 国立大学法人東北大学 水分発生用反応炉
JP2012036053A (ja) * 2010-08-10 2012-02-23 Covalent Materials Corp 耐食性部材
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
TWI546415B (zh) 2011-09-26 2016-08-21 福吉米股份有限公司 含有稀土類元素之熔射用粉末及皮膜、以及具備前述皮膜之構件
KR20180117713A (ko) 2011-09-26 2018-10-29 가부시키가이샤 후지미인코퍼레이티드 희토류 원소를 포함한 용사용 분말 및 피막 및 상기 피막을 구비한 부재
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
CN103074563B (zh) * 2011-10-26 2017-09-12 中国科学院微电子研究所 一种y2o3耐侵蚀陶瓷涂层的改进方法
KR20140108307A (ko) * 2011-12-28 2014-09-05 가부시키가이샤 후지미인코퍼레이티드 산화이트륨 피막
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103866286B (zh) * 2012-12-18 2016-12-28 中微半导体设备(上海)有限公司 用于半导体基片反应室内部的部件及制造方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10468235B2 (en) * 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
JP2016540889A (ja) * 2013-11-21 2016-12-28 インテグリス・インコーポレーテッド プラズマシステム中に使用されるチャンバー部品用の表面コーティング
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6221818B2 (ja) * 2014-02-25 2017-11-01 日本ゼオン株式会社 グラビア塗工装置
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105225923B (zh) * 2014-05-29 2019-11-26 上海矽睿科技有限公司 用于键合的铝材料的预处理方法及键合方法
KR102059092B1 (ko) * 2014-06-30 2019-12-24 엔지케이 인슐레이터 엘티디 MgO계 세라믹스막, 반도체 제조 장치용 부재 및 MgO계 세라믹스막의 제법
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6350380B2 (ja) * 2015-04-28 2018-07-04 信越化学工業株式会社 希土類磁石の製造方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6668024B2 (ja) * 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
FR3043679B1 (fr) * 2015-11-12 2021-07-23 Aptar Stelmi Sas Procede de traitement d'un element de conditionnement en elastomere, et element de conditionnement ainsi traite.
WO2017128171A1 (zh) * 2016-01-28 2017-08-03 深圳市商德先进陶瓷股份有限公司 耐等离子刻蚀陶瓷体及其制造方法、等离子刻蚀设备
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110004393A (zh) * 2019-04-08 2019-07-12 中国科学院金属研究所 一种超音速火焰喷涂技术制备y2o3陶瓷涂层的方法
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
JP7438070B2 (ja) 2020-09-11 2024-02-26 新光電気工業株式会社 静電チャック、基板固定装置及び基板固定装置の製造方法
KR20240027142A (ko) 2021-12-10 2024-02-29 도카로 가부시키가이샤 플라즈마 에칭 장치용 부재 등에 적합한 성막 재료 및 그 제조 방법
CN116199514B (zh) * 2023-02-28 2024-04-23 常州市卓群纳米新材料有限公司 液相等离子喷涂高纯氧化钇悬浮液及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1158072A2 (en) * 2000-04-18 2001-11-28 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CN1618123A (zh) * 2001-11-29 2005-05-18 塞姆特里克斯公司 用于集成电路应用的镧系分层超晶格材料
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU381643A1 (ru) * 1971-03-22 1973-05-22 Ордена Трудового Красного Знамени институт проблем материаловедени Украинской ССР Высокоогнеупорный керамический материал
US4166880A (en) * 1978-01-18 1979-09-04 Solamat Incorporated Solar energy device
US4576874A (en) * 1984-10-03 1986-03-18 Westinghouse Electric Corp. Spalling and corrosion resistant ceramic coating for land and marine combustion turbines
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
JPH09232301A (ja) * 1996-02-26 1997-09-05 Sumitomo Sitix Corp 半導体製造装置
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JP2003526191A (ja) * 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
WO2001043965A1 (en) * 1999-12-14 2001-06-21 The Penn State Research Foundation Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP2001308011A (ja) * 2000-04-18 2001-11-02 Ngk Insulators Ltd 半導体製造装置用チャンバー部材
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP4688307B2 (ja) * 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
CN1309859C (zh) * 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP2003257321A (ja) * 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
KR100618630B1 (ko) * 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP2006097114A (ja) * 2004-09-30 2006-04-13 Tosoh Corp 耐蝕性溶射膜部材
KR100910261B1 (ko) * 2005-10-31 2009-07-31 코바렌트 마테리얼 가부시키가이샤 투광성 희토류 산화 소결체 및 그 제조 방법
US7248457B2 (en) * 2005-11-15 2007-07-24 Toto Ltd. Electrostatic chuck
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1158072A2 (en) * 2000-04-18 2001-11-28 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CN1618123A (zh) * 2001-11-29 2005-05-18 塞姆特里克斯公司 用于集成电路应用的镧系分层超晶格材料
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840067B2 (en) 2011-08-10 2020-11-17 Entegris, Inc. AlON coated substrate with optional yttria overlayer
CN108425090A (zh) * 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
CN106133885B (zh) * 2014-04-25 2020-03-03 应用材料公司 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN106133885A (zh) * 2014-04-25 2016-11-16 应用材料公司 用于高温应用的耐等离子体腐蚀的薄膜涂层
CN107916399A (zh) * 2014-04-25 2018-04-17 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
CN107916399B (zh) * 2014-04-25 2020-05-12 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
CN105225998B (zh) * 2014-06-27 2020-03-10 应用材料公司 用于高温处理的耐等离子体腐蚀加热器
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
CN105225998A (zh) * 2014-06-27 2016-01-06 应用材料公司 用于高温处理的耐等离子体腐蚀加热器
US11299805B2 (en) 2014-06-27 2022-04-12 Applied Materials, Inc. Plasma corrision resistive heater for high temperature processing
CN108779568A (zh) * 2016-03-11 2018-11-09 应用材料公司 在半导体处理设备上电化学生长三氧化二钇或氧化钇的方法
CN108070812B (zh) * 2016-11-07 2019-12-27 东京毅力科创株式会社 喷镀用材料和带喷镀膜的构件
US10766822B2 (en) 2016-11-07 2020-09-08 Tokyo Electron Limited Thermal spray material and thermal spray coated article
CN108070812A (zh) * 2016-11-07 2018-05-25 东京毅力科创株式会社 喷镀用材料和带喷镀膜的构件

Also Published As

Publication number Publication date
JP2010535288A (ja) 2010-11-18
JP5506678B2 (ja) 2014-05-28
US20080213496A1 (en) 2008-09-04
WO2009017766A1 (en) 2009-02-05
KR20100052502A (ko) 2010-05-19
TW200914394A (en) 2009-04-01
JP2014159637A (ja) 2014-09-04
KR101491437B1 (ko) 2015-02-10
TWI441794B (zh) 2014-06-21
CN101772589A (zh) 2010-07-07
CN101772589B (zh) 2013-08-28
JP5978236B2 (ja) 2016-08-24

Similar Documents

Publication Publication Date Title
CN101772589B (zh) 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法
US8871312B2 (en) Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US20050037193A1 (en) Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
EP1777731A1 (en) Corrosion resistant multilayer member
TWI375734B (en) Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
KR101101910B1 (ko) 반도체 제조 장비용 다성분계 열용사 코팅물질, 그 제조방법 및 코팅방법
TWM615992U (zh) 耐電漿腐蝕的保護層

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20131211

RJ01 Rejection of invention patent application after publication