KR102487562B1 - 보호 금속 불산화물 코팅들 - Google Patents

보호 금속 불산화물 코팅들 Download PDF

Info

Publication number
KR102487562B1
KR102487562B1 KR1020187034571A KR20187034571A KR102487562B1 KR 102487562 B1 KR102487562 B1 KR 102487562B1 KR 1020187034571 A KR1020187034571 A KR 1020187034571A KR 20187034571 A KR20187034571 A KR 20187034571A KR 102487562 B1 KR102487562 B1 KR 102487562B1
Authority
KR
South Korea
Prior art keywords
metal
value
coating
fluorine
oxygen
Prior art date
Application number
KR1020187034571A
Other languages
English (en)
Other versions
KR20180132949A (ko
Inventor
데이비드 펜윅
쳉친 이
제니퍼 와이. 선
이카이 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237000735A priority Critical patent/KR20230011489A/ko
Publication of KR20180132949A publication Critical patent/KR20180132949A/ko
Application granted granted Critical
Publication of KR102487562B1 publication Critical patent/KR102487562B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/082Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)
  • Laminated Bodies (AREA)
  • Materials For Medical Uses (AREA)
  • Wrappers (AREA)
  • Glass Compositions (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

물품은 보호 코팅을 갖는 본체를 포함한다. 보호 코팅은 금속 불산화물을 포함하는 박막이다. 금속 불산화물은 MxOyFz의 실험식을 가지며, 여기서 M은 금속이고, y는 x 값의 0.1배 내지 1.9배의 값을 가지며, z는 x 값의 0.1배 내지 3.9배의 값을 갖는다. 보호 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.

Description

보호 금속 불산화물 코팅들
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 프로세스 챔버 컴포넌트들 상에 사용될 수 있는 보호 금속 불산화물(oxy-fluoride) 코팅, 및 반도체 프로세스 챔버 컴포넌트들 상에 사용될 수 있는 보호 금속 불산화물 코팅을 제작하기 위한 방법들에 관한 것이다.
[0002] 반도체 웨이퍼 제조 프로세스들은 높은 온도들, 고 에너지 플라즈마, 부식성 가스들의 혼합물, 높은 응력, 및 이들의 결합들에 챔버 컴포넌트들이 노출되는 챔버들에서 수행된다. 챔버 컴포넌트들은 보호 코팅들에 의해 이러한 극한 조건들로부터 차폐될 수 있다. 금속 산화물들은 플라즈마 에칭 화학 물질들로부터의 부식에 대한 금속 산화물들의 내성으로 인해 챔버 컴포넌트들을 코팅하는 데 자주 사용된다. 금속 산화물 코팅들은 불소계 화학 물질들에 대한 노출로부터 웨이퍼들의 처리 동안 금속 불화물들로 변환된다. 금속 산화물의 금속 불화물로의 변환은 대개는 용적(volume) 팽창을 동반하여 코팅에 대한 응력을 증가시킨다. 예를 들어, 1몰의 Y2O3(이트리아)를 2몰의 YF3(이트륨 불화물)으로 변환시키는 것은 약 60%의 이론상의 용적 팽창을 갖는다. 금속 산화물을 금속 불화물로 변환함으로써 야기된 용적 팽창 및 부가된 응력은 챔버 컴포넌트들이 입자들을 블리스터링(blister)하게 하고 그리고/또는 발산(shed)하게 하여, 처리된 웨이퍼들에 결함들을 초래할 수 있다.
[0003] 아래에서 박막이 설명된다. 박막은 MxOyFz의 실험식을 갖는 금속 불산화물(MOF: metal oxy-fluoride)을 포함한다. M은 금속성 원소를 나타내며; y는 x 값의 0.1배 내지 1.9배의 값을 갖고, z는 x 값의 0.1배 내지 3.9배의 값을 갖는다. 이 MOF 박막은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률(porosity)을 갖는다. MOF 박막의 예시적인 구현은 반도체 처리 장비용 챔버 컴포넌트의 표면 상에 보호 코팅을 포함한다.
[0004] 여러 가지 방법들이 또한 아래에서 설명된다. 하나의 방법은 MxOy_sourceFz_source의 실험식을 갖는 MOF 소스 재료를 제공하는 단계를 포함한다. y_source는 x 값의 0.1배 내지 1.9배의 값을 갖고, z_source는 x 값의 0.1배 내지 3.9배의 값을 갖는다. 물품 상에 MOF 코팅을 형성하도록 스퍼터 증착 또는 증발에 의해 물품 상에 MOF 소스 재료가 증착된다. 예를 들어, 물품은 반도체 프로세스 챔버 컴포넌트들을 포함할 수 있다. MOF 소스 재료와 유사하게, 물품 상의 MOF 코팅은 MxOy_coatingFz_coating의 실험식을 갖는다. y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. MOF 코팅의 특징(feature)들은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 포함한다.
[0005] 제2 방법은, 소스 재료를 제공하는 단계, 및 스퍼터 증착 또는 증발 증착에 의해 물품 상에 소스 재료를 증착하는 단계를 포함한다. 물품은 하나 또는 그보다 많은 반도체 프로세스 챔버 컴포넌트들을 포함할 수 있다. 이러한 제2 방법의 일례에서, 소스 재료는 금속이다. 증착 동안 스퍼터링된 또는 증발된 금속에 산소 및 불소 이온들 또는 라디칼들이 도입되고, 물품 상에 MOF 코팅이 형성된다. 이러한 제2 방법의 다른 예에서, 소스 재료는 금속 산화물(MO: metal oxide)이며, 증착 동안 스퍼터링된 또는 증발된 금속 산화물에 불소 이온들 또는 라디칼들이 도입되어, 물품 상에 MOF 코팅을 형성한다. 이러한 제2 방법의 제3 예에서, 소스 재료는 금속 불화물(MF: metal fluoride)이며, 증착 동안 스퍼터링된 또는 증발된 MF에 산소 이온들 또는 라디칼들이 도입되어, 물품 상에 MOF 코팅을 형성한다. MOF 코팅은 MxOy_coatingFz_coating의 실험식을 갖는다. y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. MOF 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.
[0006] 본 개시내용의 실시예들은 유사한 참조들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도면들에서 한정으로서가 아니라 예로서 예시된다. 본 개시내용에서 "하나의" 또는 "일" 실시예에 대한 서로 다른 참조들이 반드시 동일한 실시예에 대한 것은 아니고, 그러한 참조들은 적어도 하나를 의미한다는 점이 주목되어야 한다.
[0007] 도 1은 본 발명의 일 실시예에 따른 반도체 제조 시스템의 예시적인 아키텍처를 예시한다.
[0008] 도 2는 다양한 실시예들에 따른 박막 보호 코팅을 갖는 예시적인 물품의 단면도를 도시한다.
[0009] 도 3a - 도 3b는 본 발명의 다양한 실시예들에 따른 예시적인 증착 기술들을 예시한다.
[0010] 도 4는 본 발명의 일 실시예에 따라 물품 상에 금속 불산화물 코팅을 형성하는 예시적인 방법을 예시한다.
[0011] 도 5a - 도 5c는 본 발명의 다양한 실시예들에 따라 물품들 상에 금속 불산화물 코팅들을 형성하는 예시적인 방법들을 예시한다.
[0013] 앞서 설명한 바와 같이, 물품들을 플라즈마들에 대한 노출로부터 보호하기 위해 그러한 물품들 상에 금속 산화물 보호 층들이 사용될 수 있다. 금속 산화물 코팅들은 불소계 화학 물질들에 노출될 때 금속 불화물들로 변환되며, 이 변환은 처리된 웨이퍼들의 입자 결함들 및 용적 팽창을 동반한다. 불소계 화학 물질들은 흔히 기판들의 플라즈마 에칭을 수행하는 데 사용된다.
[0014] 본 명세서에서는 불소 화학 물질들과의 반응에 내성이 있는 금속 불산화물(MOF) 보호 코팅들의 실시예들이 설명된다. 본 명세서의 실시예들에서 설명되는 MOF 코팅들은 MO 코팅들에 비해 코팅의 불소화를 상당히 감소시킨다. 추가로, MOF 코팅들은 MF 코팅들에 비해 훨씬 더 밀접하게 챔버 컴포넌트들의 열팽창 계수들과 일치하는 열팽창 계수들을 가질 수 있다. 이러한 특징들은 반도체 제조 환경들에서 물품들에 대한 코팅의 내식성을 향상시키고 보호 코팅들에 기인한 입자 결함들을 감소시킬 수 있다. MOF 코팅들을 형성하기 위한 여러 가지 방법들과 함께, 이러한 특징들을 갖는 박막 MOF 조성물들이 아래에서 설명된다.
[0015] 박막의 예시적인 실시예들은 MxOyFz의 실험식을 갖는 MOF를 포함하며, 여기서 M은 일반적으로 양의 원자가(valence) 구성을 갖는 금속이며, O는 산소이고, F는 불소이다. 아래 첨자들은 다른 원자들에 상대적인 각각의 개별 원자의 수를 나타낸다. 예를 들어, y의 값은 x 값의 0.1배 내지 1.9배이고, z의 값은 x 값의 0.1배 내지 3.9배이다. 어떤 경우든, 각각의 개별 원자의 원자가 수와 결합된 모든 아래 첨자들의 값은 박막이 전자적으로 중성이 되도록 균형을 이룰 수 있다. 추가로, 박막의 실시예들은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.
[0016] 앞서 설명한 이점들을 갖는 박막 코팅을 제조하기 위한 여러 가지 방법들이 이용 가능하다. 예를 들어, 하나의 방법은 타깃 코팅과 동일한 실험식을 갖는 MOF 소스 재료를 제공하는 단계를 포함한다. 그 후, 스퍼터 증착 또는 증발 증착에 의해 물품 상에 소스 재료가 증착된다. 다른 방법에서, 소스 재료는 금속이다. 플라즈마에 의해 형성되거나 이온 총(ion gun)으로부터 방출되는 것과 같은 산소 및 불소 이온들 또는 라디칼들의 존재시 물품 상에 금속이 스퍼터링된 또는 증발된다. 어떤 경우든, 스퍼터링된 소스 재료가 물품 상에 증착될 때 이온들 또는 라디칼들이 이러한 소스 재료에 충격을 가하여, 금속과 결합해 물품 상에 MOF 코팅을 형성한다.
[0017] 하나의 방법은 MF 소스 재료를 제공하는 단계를 포함한다. 소스 재료는 물품 상에 스퍼터링 또는 증발되고, 소스 재료가 물품 상에 쌓일 때 소스 재료는 산소 이온들 또는 라디칼들로 충격을 받게 된다. 그 결과, 물품 상에 MOF 코팅이 형성된다. 대안으로, 다른 방법은 MO 소스 재료를 제공하는 단계, 및 소스 재료를 물품 상에 스퍼터링 또는 증발시키는 단계를 포함한다. 소스 재료가 물품 상에 쌓일 때 이러한 소스 재료는 불소 이온들 또는 라디칼들로 충격을 받게 되어 MOF 코팅을 형성한다.
[0018] 스퍼터 또는 증발 증착에 의해 코팅을 증착하는 이점은 0.1% 미만의 다공률을 달성하는 능력이다. 이러한 이점을 달성하는 다른 증착 방법들은 스퍼터 또는 증발 증착의 등가물들로 고려되는 것으로 인식된다.
[0019] "플라즈마 내성 재료"라는 용어는 플라즈마 처리 조건들에 대한 노출로 인해 부식 및 침식에 내성이 있는 재료를 의미한다. 플라즈마 처리 조건들은 무엇보다도, C2F6, SF6, SiCl4, HBR, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4와 같은 할로겐 함유 가스들, 및 O2 또는 N2O와 같은 다른 가스들로부터 발생된 플라즈마를 포함한다. 플라즈마에 대한 재료의 내성은 코팅된 컴포넌트들의 플라즈마에 대한 노출 및 동작 지속기간 전반에 걸쳐 옹스트롱/분(Å/min) 단위를 가질 수 있는 "에칭률"(ER: etch rate)을 통해 측정된다. 플라즈마 내성은 또한 나노미터/무선 주파수 시간(㎚/RFHr) 단위를 갖는 부식률을 통해 측정될 수 있는데, 여기서 1 RFHr은 플라즈마 처리 조건들에서 1 처리 시간을 나타낸다. 서로 다른 처리 시간들 후에 측정들이 수행될 수 있다. 예를 들어, 측정들은 처리 전, 50 처리 시간 후, 150 처리 시간 후, 200 처리 시간 후 등에 수행될 수 있다. 약 100㎚/RFHr보다 더 낮은 부식률이 플라즈마 내성 코팅 재료에 대해 전형적이다. 단일 플라즈마 내성 재료는 다수의 서로 다른 플라즈마 내성 또는 부식률 값들을 가질 수 있다. 예를 들어, 플라즈마 내성 재료는 제1 타입의 플라즈마와 연관된 제1 플라즈마 내성 또는 부식률 및 제2 타입의 플라즈마와 연관된 제2 플라즈마 내성 또는 부식률을 가질 수 있다.
[0020] 본 명세서에서 "약" 및 "대략"이라는 용어들이 사용되는 경우, 이들은 제시된 공칭 값이 ±0% 이내로 정밀하다는 것을 의미하는 것으로 의도된다. 일부 실시예들은 본 명세서에서 챔버 컴포넌트들 및 반도체 제조를 위해 플라즈마 에칭기들에 설치된 다른 물품들을 참조하여 설명된다. 이러한 플라즈마 에칭기들은 미세 전자 기계 시스템(MEMS: micro-electro-mechanical system) 디바이스들을 제조하는 데에도 또한 사용될 수 있다고 이해되어야 한다. 추가로, 본 명세서에서 설명되는 물품들은 플라즈마에 노출되는 다른 구조들일 수 있다. 본 명세서에서 논의되는 물품들은 반도체 처리 챔버들과 같은 처리 챔버들을 위한 챔버 컴포넌트들일 수 있다. 예를 들어, 물품들은 플라즈마 에칭기, 플라즈마 세정기, 플라즈마 추진 시스템 또는 다른 처리 챔버들을 위한 챔버 컴포넌트들일 수 있다. 처리 챔버들은 플라즈마 처리 조건들을 갖는 부식성 플라즈마 환경이 제공되는 프로세스들에 사용될 수 있다. 예를 들어, 처리 챔버는 플라즈마 에칭기 또는 플라즈마 에칭 반응기, 플라즈마 세정기 등을 위한 챔버일 수 있다. 챔버 컴포넌트들의 예들은 기판 지지 조립체, 정전 척(ESC: electrostatic chuck), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 판, 샤워 헤드, 노즐, 뚜껑, 라이너, 라이너 키트, 차폐물, 플라즈마 스크린, 흐름 등화기, 냉각 베이스, 챔버 뷰포트(viewport), 챔버 뚜껑 등을 포함한다.
[0021] 더욱이, 본 명세서에서 실시예들은 플라즈마 풍부 프로세스들을 위해 프로세스 챔버에서 사용될 때 감소된 입자 오염을 야기하는 세라믹 물품들을 참조하여 설명된다. 본 명세서에서 논의되는 세라믹 물품들은 또한, 다른 프로세스들을 위한 프로세스 챔버들, 이를테면 비플라즈마 에칭기들, 비플라즈마 세정기들, 화학 기상 증착(CVD: chemical vapor deposition) 챔버들, 물리 기상 증착(PVD: physical vapor deposition) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD: plasma enhanced chemical vapor deposition) 챔버들, 플라즈마 강화 물리 기상 증착(PEPVD: plasma enhanced physical vapor deposition) 챔버들, 플라즈마 강화 원자 층 증착(PEALD: plasma enhanced atomic layer deposition) 챔버들 등에 사용될 때 감소된 입자 오염을 제공할 수 있다고 이해되어야 한다.
[0022] 도 1은 본 발명의 실시예들에 따라 MOF 박막 보호 층으로 코팅되는 하나 또는 그보다 많은 챔버 컴포넌트들을 갖는 반도체 처리 챔버(100)의 단면도이다. 처리 챔버(100)는 불소계 부식성 플라즈마 환경이 제공되는 프로세스들에 사용될 수 있다. 예를 들어, 처리 챔버(100)는 에칭 및/또는 세정을 위해 불소계 화학 물질을 사용하는 플라즈마 에칭기 또는 플라즈마 에칭 반응기, 플라즈마 세정기 등을 위한 챔버일 수 있다. MOF 박막 보호 층을 포함할 수 있는 챔버 컴포넌트들의 예들은 기판 지지 조립체(148), 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 판, 샤워 헤드, 라이너, 라이너 키트, 차폐물, 플라즈마 스크린, 흐름 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 면판(face plate), 선택도 조절 디바이스(SMD: selectivity modulation device) 등을 포함한다. 아래에서 보다 상세히 설명되는 MOF 박막 보호 층은 이트륨 불산화물 또는 다른 금속 불산화물들을 포함할 수 있다.
[0023] 일 실시예에서, 처리 챔버(100)는 내부 용적(106)을 둘러싸는 챔버 본체(102) 및 샤워 헤드(130)를 포함한다. 대안으로, 샤워 헤드(130)는 일부 실시예들에서 뚜껑 및 노즐로 대체될 수 있다. 챔버 본체(102)는 알루미늄, 스테인리스 스틸 또는 다른 적절한 재료로 제작될 수 있다. 챔버 본체(102)는 일반적으로, 측벽들(108) 및 바닥(110)을 포함한다. 샤워 헤드(130)(또는 뚜껑 및/또는 노즐), 측벽들(108) 및/또는 바닥(110) 중 임의의 것이 MOF 박막 보호 층을 포함할 수 있다.
[0024] 외측 라이너(116)가 측벽들(108)에 인접하게 배치되어 챔버 본체(102)를 보호할 수 있다. 외측 라이너(116)가 MOF 박막 보호 층으로 제작 및/또는 코팅될 수 있다. 일 실시예에서, 외측 라이너(116)는 알루미늄 산화물로 제작된다.
[0025] 배기 포트(126)가 챔버 본체(102)에 형성될 수 있으며, 내부 용적(106)을 펌프 시스템(128)에 결합할 수 있다. 펌프 시스템(128)은 처리 챔버(100)의 내부 용적(106)의 압력을 배출하고 조절하는 데 사용되는 하나 또는 그보다 많은 펌프들 및 스로틀 밸브들을 포함할 수 있다.
[0026] 샤워 헤드(130)는 측벽(108) 상에 그리고/또는 챔버 본체(102)의 상부에 지지될 수 있다. 샤워 헤드(130)(또는 뚜껑)는 개방되어 처리 챔버(100)의 내부 용적(106)에 대한 접근을 가능하게 할 수 있으며, 폐쇄되는 동안에는 처리 챔버(100)에 대한 밀폐를 제공할 수 있다. 가스 패널(158)이 처리 챔버(100)에 결합되어 샤워 헤드(130) 또는 뚜껑 및 노즐을 통해 내부 용적(106)에 프로세스 및/또는 세정 가스들을 제공할 수 있다. 샤워 헤드(130)가 유전체 에칭(유전체 재료들의 에칭)에 사용되는 처리 챔버들에 사용된다. 샤워 헤드(130)는 가스 분배 판(GDP: gas distribution plate)을 포함할 수 있는데, 이는 GDP(133) 전체에 다수의 가스 전달 구멍들(132)을 갖는다. 샤워 헤드(130)는 샤워 헤드 베이스에 접합된 GDP를 포함할 수 있는데, 이는 알루미늄 베이스 또는 양극 산화(anodize)된 알루미늄 베이스일 수 있다. GDP는 Si 또는 SiC로 제조될 수 있거나, Y2O3, Al2O3, Y3Al5O12(YAG) 등과 같은 세라믹일 수 있다. 도시된 바와 같이, 샤워 헤드(130)는 샤워 헤드(130)의 표면 상에 MOF 코팅(152)을 포함한다.
[0027] 전도체 에칭(전도성 재료들의 에칭)에 사용되는 처리 챔버들의 경우, 샤워 헤드가 아니라 뚜껑이 사용될 수 있다. 뚜껑은 뚜껑의 중앙 구멍에 끼워지는 중앙 노즐을 포함할 수 있다. 뚜껑은 세라믹, 이를테면 Al2O3, Y2O3, YAG, 또는 Y2O3-ZrO2의 고용체 및 Y4Al2O9를 포함하는 세라믹 화합물일 수 있다. 노즐은 또한 세라믹, 이를테면 Y2O3, YAG, 또는 Y2O3-ZrO2의 고용체 및 Y4Al2O9를 포함하는 세라믹 화합물일 수 있다. 뚜껑, 샤워 헤드(130) 및/또는 노즐은 MOF 박막 보호 층으로 코팅될 수 있다.
[0028] 처리 챔버(100)에서 기판들을 처리하는 데 사용될 수 있는 불소계 처리 가스들의 예들은 무엇보다도, 할로겐 함유 가스들, 이를테면 C2F6, SF6, NF3, CF4, CHF3, CH2F3, F, NF3 및 SiF4를 포함한다. 또한 사용될 수 있는 캐리어 가스들의 예들은 N2, He, Ar, 및 프로세스 가스들에 대해 불활성인 다른 가스들(예컨대, 비반응성 가스들)을 포함한다.
[0029] 일부 실시예들에서, 처리 챔버(100)는 샤워 헤드 위에 위치될 수 있는 면판 및/또는 선택도 조절 디바이스(SMD)를 포함할 수 있다. 면판 및 SMD는 처리 챔버(100)에 원격 플라즈마를 제공하기 위해 사용되는 컴포넌트들이다. 면판 및 SMD는 알루미늄(예컨대, 알루미늄 6061) 또는 다른 금속으로 제조될 수 있다. 어떤 경우들에는, 면판 및 SMD가 부식 방지용 Y2O3 코팅과 같은 플라즈마 분사 보호 코팅을 갖는다. 추가로 또는 대안으로, 면판 및 SMD는 부식 방지용 MOF 박막 코팅을 가질 수 있다. 이러한 컴포넌트들은 예를 들어, 처리 챔버가 원격 플라즈마 챔버(예를 들어, 선택적 제거 생성물(SRP: selective removal products) 챔버)인 경우에 사용될 수 있다. 동작시, 면판과 SMD 사이에 낮은 세기의 플라즈마가 존재하며, 선택적 에칭을 위해 라디칼이 면판과 SMD를 거쳐 웨이퍼로 진행할 것이다. SMD는 플라즈마의 선택도를 조절한다.
[0030] 기판 지지 조립체(148)는 샤워 헤드(130) 또는 뚜껑 아래의 처리 챔버(100)의 내부 용적(106)에 배치된다. 기판 지지 조립체(148)는 처리 중에 기판(144)을 유지하는 세라믹 정전 척 및 정전 척에 결합된 냉각 베이스를 포함한다. (도시되지 않은) 내측 라이너는 기판 지지 조립체(148)의 주변부 상에 코팅될 수 있다. 내측 라이너는 외측 라이너(116)와 관련하여 논의된 것들과 같은 할로겐 함유 가스 내성 재료일 수 있다. 일 실시예에서, 내측 라이너는 외측 라이너(116)와 동일한 재료로 제작될 수 있다. 추가로, 내측 라이너는 MOF 박막 보호 층으로 코팅될 수 있다.
[0031] 도 2는 플라즈마 내성인 MOF 박막 보호 층으로 덮인 물품(예컨대, 챔버 컴포넌트들)의 측단면도를 예시한다. 물품(200)의 베이스 또는 본체(205)의 적어도 일부가 MOF 박막 보호 층(208)으로 코팅된다. 물품(200)은 기판 지지 조립체, 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 판 또는 샤워 헤드, 라이너, 라이너 키트, 차폐물, 플라즈마 스크린, 흐름 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 면판, SMD 등과 같은 챔버 컴포넌트일 수 있다. 물품(200)의 본체(205)는 금속, 세라믹, 금속-세라믹 복합체, 중합체, 또는 중합체-세라믹 복합체일 수 있다. 일 실시예에서, 물품(200)의 본체(205)는 알루미늄 합금(예컨대, 6061 알루미늄) 또는 스테인리스 스틸이다. 다른 실시예에서, 물품(200)의 본체(205)는 Al2O3, Y2O3, AlN, SiO2 등과 같은 세라믹 재료이다. 다른 실시예에서, 물품(200)의 본체(205)는 Kapton®Teflon® 등과 같은 중합체 기반 재료이다.
[0032] 다양한 챔버 컴포넌트들은 서로 다른 재료들로 구성된다. 예를 들어, 양극 산화된 알루미늄 베이스에 접합된 Al2O3(알루미나), AlN(알루미늄 질화물), TiO(티타늄 산화물), TiN(티타늄 질화물) 또는 SiC(실리콘 탄화물)와 같은 세라믹으로 정전 척이 구성될 수 있다. Al2O3, AlN 및 양극 산화된 알루미늄은 열악한 플라즈마 내식성을 갖는다. 불소 화학 물질을 가진 플라즈마 환경에 노출될 때, 정전 척의 정전 퍽(puck)은 약 50 무선 주파수 처리 시간(RFHrs) 이후 열화된 웨이퍼 척킹(chucking), 증가된 He 누설률, 웨이퍼 앞면 및 뒷면 입자 생성 및 웨이퍼 상의 금속 오염을 나타낼 수 있다. 무선 주파수 시간은 처리 시간이다.
[0033] 전도체 에칭 프로세스들에 사용되는 플라즈마 에칭기용 뚜껑은 Al2O3와 같은 소결된 세라믹일 수 있는데, 이는 Al2O3가 높은 휨 강도 및 높은 열 전도성을 갖기 때문이다. 불소 화학 물질들에 노출된 Al2O3는 웨이퍼들 상의 알루미늄 금속 오염뿐만 아니라 AlF 입자들을 형성한다.
[0034] 유전체 에칭 프로세스들을 수행하는 데 사용되는 에칭기용 샤워 헤드는 전형적으로는 SiC 면판에 접합된 양극 산화된 알루미늄으로 제조된다. 이러한 샤워 헤드가 불소를 포함하는 플라즈마 화학 물질들에 노출되면, 양극 산화된 알루미늄 베이스와의 플라즈마 상호 작용으로 인해 AlF가 형성될 수 있다. 추가로, 양극 산화된 알루미늄 베이스의 높은 부식률은 아크(arcing)로 이어질 수 있으며, 궁극적으로는 샤워 헤드에 대한 세정 사이의 평균 시간을 단축시킬 수 있다.
[0035] 일부 챔버 뚜껑들 및 다른 챔버 컴포넌트들은 입자 발생 및 금속 오염을 최소화하도록 그리고 뚜껑의 수명을 연장시키도록 플라즈마 대향 면 상에 후막 보호 층을 갖는다. 플라즈마 분사 및 다른 열 분사 기술들이 후막 보호 층을 형성하는 데 사용될 수 있다. 대부분의 후막 코팅 기술들은 긴 리드 타임(lead time)을 갖는다. 추가로, 대부분의 후막 코팅 기술들의 경우, 코팅될 물품(예컨대, 뚜껑)이 코팅을 받도록 준비하기 위해 특별한 표면 준비가 수행된다. 이러한 긴 리드 타임 및 코팅 준비 단계들은 비용을 증가시키고 생산성을 감소시킬 뿐만 아니라 재정비(refurbishment)를 억제할 수 있다. 추가로, 대부분의 후막 코팅들은 웨이퍼 상의 결함 성능을 저하시킬 수도 있는 고유한 균열들 및 기공들을 갖는다.
[0036] 플라즈마 분사된 Y2O3와 같은 많은 후막 산화물 코팅들의 한 가지 단점은 이러한 코팅들이 불소계 화학 물질들과 반응할 것이라는 점이다. 예를 들어, Y2O3(이트륨 산화물) 플라즈마 분사된 보호 코팅은 불소계 화학 물질들에 의해 야기된 부식으로부터 챔버 컴포넌트를 보호하는 데 사용될 수 있다. 불소는 이트륨 산화물과 반응하여 플라즈마 분사된 보호 코팅의 표면에 이트륨 불화물을 형성할 것이다. 즉, 플라즈마 분사된 보호 코팅은 불소의 일부 비율을 흡수할 것이다. 플라즈마 분사된 보호 코팅 내로의 불소의 흡수는 에칭 반응에 이용 가능한 불소의 양을 감소시킨다. 이는 에칭 프로세스의 에칭률을 감소시킬 수 있다. 불소는 또한 나중에 보호 코팅으로부터 스퍼터링될 수 있는데, 이는 추후의 에칭 프로세스들에 이용 가능한 불소의 양을 증가시킬 수 있다. 이에 따라, 불소 화학 물질들을 사용하는 에칭 프로세스들에 대한 에칭율의 안정성이 감소될 수 있다.
[0037] 추가로, 산화물 코팅의 불소화(예컨대, 이트리아에서 이트륨 불화물로의 변환)는 용적 팽창을 동반한다. 용적 팽창은 코팅의 표면에서 응력을 유발하고, 추가로 표면에서 블리스터링을 유발할 수 있다. 응력 및/또는 블리스터링은 코팅으로부터 입자들이 발산하게 하고, 처리된 웨이퍼들 상에서 입자 오염을 유발한다.
[0038] 앞서 제공된 예들은 본 명세서의 실시예들에서 제시된 바와 같은 MOF 박막 보호 층의 사용에 의해 성능이 개선될 수 있는 챔버 컴포넌트들을 단지 몇 가지만 제시한다.
[0039] 도 2를 다시 참조하면, 물품(200)의 본체(205)는 메사(mesa)(206)와 같은 하나 또는 그보다 많은 표면 피처들을 포함할 수 있다. 정전 척의 경우, 표면 피처들은 메사들, 밀폐 밴드들, 가스 채널들, 헬륨 구멍들 등을 포함할 수 있다. 샤워 헤드의 경우, 표면 피처들은 접합 라인, 가스 분배를 위한 수백 또는 수천 개의 구멍들, 가스 분배 구멍들 주위의 디봇(divot)들 또는 범프(bump)들 등을 포함할 수 있다. 다른 챔버 컴포넌트들은 다른 표면 피처들을 가질 수 있다.
[0040] 본체(205) 상에 형성된 MOF 박막 보호 층(208)은 본체(205)의 표면 피처들에 따를 수 있다. 도시된 바와 같이, MOF 박막 보호 층(208)은 (예컨대, 메사의 형상들을 텔레그래핑하는) 본체(205)의 상부 표면의 상대적 형상을 유지한다. 추가로, MOF 박막 보호 층(208)은 샤워 헤드의 구멍들 또는 정전 척의 He 구멍들을 막지 않도록 충분히 얇을 수 있다. 일 실시예에서, MOF 박막 보호 층(208)은 약 200 미크론 미만의 두께를 갖는다. 추가 실시예에서, MOF 박막 보호 층(208)은 50 미크론 미만의 두께를 갖는다. 일 실시예에서, MOF 박막 보호 층(208)은 1-30 미크론의 두께를 갖는다. 일 실시예에서, MOF 박막 보호 층(208)은 1-15 미크론의 두께를 갖는다.
[0041] MOF 박막 보호 층(208)은 이온 보조 증착(IAD: ion assisted deposition) 프로세스 또는 물리 기상 증착(PVD) 프로세스를 사용하여 물품(200)의 본체(205) 상에 형성될 수 있는 증착된 세라믹 층이다. 예를 들어, MOF 박막 보호 층(208)은 스퍼터 증착 또는 증발 증착에 의해 증착될 수 있다. 추가로, 스퍼터 또는 증발 증착 동안 이온 총에 의해 또는 플라즈마에 의해 이온들 또는 라디칼들이 주입될 수 있다. IAD 또는 PVD 증착된 MOF 박막 보호 층(208)은 (예컨대, 플라즈마 분사에 의해 야기된 막 응력과 비교하여) 상대적으로 낮은 막 응력을 가질 수 있다. IAD 또는 PVD 증착된 MOF 박막 보호 층(208)은 추가로, 1% 미만인 그리고 일부 실시예에서는 약 0.1% 미만인 다공률을 가질 수 있다. IAD 또는 PVD 증착된 MOF 박막 보호 층(208)은 챔버 컴포넌트에 적용하기 위한 성능 이점들을 가질 수 있는 조밀한 구조이다. 추가로, MOF 박막 보호 층(208)은 증착시 그리고 연속 사용 후에 균열이 없을 수 있다.
[0042] IAD 또는 PVD 증착된 MOF 박막 보호 층(208)은, 먼저 본체(205)의 상부 표면을 거칠게 하거나 다른 시간 소모적인 표면 준비 단계들을 수행하지 않고 증착될 수 있다. 본체를 거칠게 하는 것은 본체(205)의 항복 전압을 감소시킬 수 있기 때문에, 본체(205)를 먼저 거칠게 하지 않고 MOF 박막 보호 층(208)을 적용하는 능력은 일부 애플리케이션들에(예컨대, 정전 척에) 유리할 수 있다. 더욱이, MOF 박막 보호 층(208)은 매우 매끄럽고, 처리된 웨이퍼들에 대한 입자 결함들과 금속 오염 모두를 감소시킨다.
[0043] MOF 박막 보호 층(208)은 불소계 화학 물질들과의 반응에 내성이 있다. 이에 따라, 불소계 플라즈마들 내의 불소 농도는 에칭 및 세정 프로세스들 동안 거의 일정하게 유지될 수 있다. 그 결과, 에칭률이 또한 에칭 및 세정 프로세스들 동안 일정하게 유지된다.
[0044] MOF 박막 보호 층(208)은 하나 또는 그보다 많은 금속들, 산소 및 불소를 포함한다. 일반적으로, 금속은 대응하는 MO에 비해 금속 불화물에 대한 용적 증가가 있는 금속이다. 즉, MOF의 용적이 MO의 용적보다 더 크다면 금속이 MOF 박막 보호 층(208)에 사용하기에 적절하다. 적절한 금속들은 이트륨, 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 및 스트론튬을 포함한다. 아래의 표 1은 몇 가지 적절한 금속들에 대한 MO와 비교하여 MF의 용적 증가를 보여준다.
금속 용적비 불화물/산화물
칼슘 1.46
마그네슘 1.76
스트론튬 1.34
알루미늄 2.06
에르븀 1.30
란타늄 1.32
이트륨 1.61
네오디뮴 1.30
세륨 1.88
지르코늄 1.74
가돌리늄 1.19
이테르븀 1.31
표 1: MO와 비교하여 MF의 용적 팽창
[0045] MOF 박막 보호 층(208)은 하나 또는 그보다 많은 적절한 금속들을 포함할 수 있다. 따라서 MOF 박막 보호 층(208)은 하나 또는 그보다 많은 MOF들을 포함할 수 있다. 예를 들어, 일 실시예에서, MOF 박막 보호 층(208)은 이트륨 불산화물이다. 다른 실시예에서, MOF 박막 보호 층(208)은 이트륨 불산화물과 지르코늄 불산화물의 결합이다. 이러한 실시예에서, MOF 박막 보호 층(208)의 금속은 대략 20-30% 지르코늄을 포함할 수 있다.
[0046] MOF 박막 보호 층(208)은 MxOyFz의 실험식을 가지며, 여기서 실험식은 MOF 박막 보호 층(208)의 1 분자 단위를 나타낸다. 아래 첨자들은 각각의 구성 금속, 산소 또는 불소 원자의 상대적인 양들을 나타낸다. 따라서 x는 MOF 박막 보호 층(208)의 분자 단위당 금속 원자들의 수를 나타내고, y는 MOF 박막 보호 층(208)의 분자 단위당 산소 원자들의 수를 나타내며, z는 MOF 박막 보호 층(208)의 분자 단위당 불소 원자들의 수를 나타낸다.
[0047] 실험식은 금속의 원자가 수 또는 금속이 산소 및 불소 원자들로부터 받아들일 수 있는 전자들의 수에 의존한다. 각각의 산소 분자는 전형적으로 2개의 전자들에 기인할 것이고, 각각의 불소 원자는 전형적으로 하나의 전자에 기인할 것이다. 타입에 따라, 금속은 최대 4개의 전자들을 받아들일 수 있다. 따라서 금속에 따라, y 값(즉, 산소 원자들의 수)은 x 값의 0.1배 내지 1.9배 범위일 수 있다. 마찬가지로, z 값(즉, 불소 원자들의 수)은 x 값의 0.1배 내지 3.9배 범위일 수 있다. MOF 박막 보호 층(208)의 일 실시예는 YOF의 실험식(주: 값이 1일 때 아래 첨자들은 생략됨)을 갖는 이트륨 불산화물이다. MOF 박막 보호 층(208)의 다른 실시예는 낮은 불화물 농도를 갖는 이트륨 불산화물이다. 이러한 MOF 박막은 예를 들어, YO1.4F0.2의 실험식을 가질 수 있다. 이러한 구성에서, 평균적으로, 이트륨 원자당 1.4개의 산소 원자들 및 이트륨 원자당 0.2개의 불소 원자들이 존재한다. 반대로, MOF 박막 보호 층(208)의 일 실시예는 높은 불화물 농도를 갖는 이트륨 불산화물이다. 이러한 MOF 박막은 예를 들어, YO0.1F2.8의 실험식을 가질 수 있다. 이러한 구성에서, 평균적으로, 이트륨 원자당 0.1개의 산소 원자들 및 이트륨 원자당 2.8개의 불소 원자들이 존재한다.
[0048] MOF 박막에서 금속 대 산소 및 불소의 비율은 또한 원자 비율에 관해 표현될 수 있다. 예를 들어, +3의 원자가를 갖는 금속의 경우, 10 원자 비율의 최소 산소 함량은 63 원자 비율의 최대 불소 농도에 상응한다. 반대로, +3의 원자가를 갖는 동일한 금속의 경우, 10 원자 비율의 최소 불소 함량은 52 원자 비율의 최대 산소 농도에 상응한다. 이에 따라, +3의 원자가를 갖는 금속의 경우, MOF 박막은 대략 27-38 at.%의 금속(또는 금속들), 10-52 원자%(at.%) 산소 및 대략 10-63 at.% 불소를 가질 수 있다. 일 실시예에서, MOF 박막은 32-34 at.%의 금속(또는 금속들), 30-36 at.% 산소 및 30-38 at.% 불소를 갖는다.
[0049] +2의 원자가를 갖는 금속의 경우, MOF 박막은 대략 37-48 at.%의 금속, 10-43 at.% 산소 및 대략 10-53 at.% 불소를 가질 수 있다. 일 실시예에서, MOF 박막은 40-45 at.%의 금속(또는 금속들), 20-35 at.% 산소 및 20-40 at.% 불소를 갖는다.
[0050] +4의 원자가를 갖는 금속의 경우, MOF 박막은 대략 22-32 at.%의 금속, 대략 10-58 at.% 산소 및 대략 10-68 at.% 불소를 가질 수 있다. 일 실시예에서, MOF 박막은 26-28 at.%의 금속(또는 금속들), 30-42 at.% 산소 및 30-44 at.% 불소를 갖는다.
[0051] MOF 박막 보호 층(208)의 반사율은 특정 실시예들에서 투명한 것에서부터 다른 실시예들에서 불투명한 것에까지 이른다. MOF 박막 보호 층(208)은 대략 15℃ 내지 500℃의 유효 작동 온도 범위를 갖는다. 실시예들에서 10 미크론 MOF 박막 보호 층의 항복 전압은 대략 500 볼트이다. MOF 박막 보호 층(208)은 또한 대응하는 MF에 비해 높은 경도(hardness)로부터 이익을 얻는다. 예를 들어, YF3는 2.74 내지 3.15 기가파스칼(GPa) 범위의 비커스(Vickers) 경도를 갖는다. YOF는 3.15GPa보다 더 큰 경도를 갖는다.
[0052] 이트리아(이트륨 산화물)와 같은 MO들은 구조적으로 결정질일 수 있는 반면, 대응하는 MF들, 즉 이트륨 불화물은 비결정질일 수 있다. 증착에 사용되는 방법 및 온도에 따라, MOF 박막 보호 층(208)은 일부 실시예에서는 비결정질일 수 있고, 다른 실시예들에서는 적어도 부분적으로 결정질일 수 있다. 서로 다른 실시예들에서 이용 가능한 다양한 격자 구조들에도 불구하고, MOF 박막 보호 층(208)의 형태는 일반적으로 균일하다.
[0053] 도 3a 및 도 3b는 일반적으로 IAD 또는 PVD와 같은 다양한 증착 기술들에 적용 가능한 증착 메커니즘들을 도시한다. 예시적인 IAD 방법들은 본 명세서에서 설명되는 바와 같이 플라즈마 내성 코팅들을 형성하기 위해 이온 충격의 존재시 증발(예컨대, 활성화 반응 증발(ARE: activated reactive evaporation) 또는 전자 빔 이온 보조 증착(EB-IAD: electron beam ion assisted deposition)) 및 스퍼터링(예컨대, 이온 빔 스퍼터링 이온 보조 증착(IBS-IAD: ion beam sputtering ion assisted deposition))과 같은 이온 충격을 통합하는 증착 프로세스들을 포함한다. EB-IAD는 증발에 의해 수행될 수 있다. IBS-IAD는 고체 타깃 재료(예컨대, 고체 금속 타깃)를 스퍼터링함으로써 수행될 수 있다. IAD 방법들 중 임의의 방법은 O2, N2, 할로겐 등과 같은 반응성 가스 종의 존재시 수행될 수 있다. 대안으로, PVD는 이온 보조 없이 수행될 수 있다.
[0054] 도시된 바와 같이, MOF 박막 보호 층(315)은 이온들 또는 라디칼들과 같은 에너지 입자들(303)의 존재시 증착 재료들(302)의 축적에 의해 형성된다. 증착 재료들(302)은 원자들, 이온들, 라디칼들 또는 이들의 혼합물을 포함한다. 예를 들어, 일부 실시예들에서, 증착 재료들(302)은 스퍼터링된 또는 증발된 원자들 및 분자들을 포함한다. 에너지 입자들(303)은 MOF 박막 보호 층(315)이 형성될 때 MOF 박막 보호 층(315)에 충돌하며 이를 압축시킬 수 있다. 추가로, 에너지 입자들(303)은 증착 재료들과 상호 작용하여 MOF 박막 보호 층(315)을 형성할 수 있다.
[0055] 도 3b는 IAD 증착 장치의 개략도를 도시한다. 도시된 바와 같이, 재료 소스(352)는 증착 재료들(302)의 플럭스를 제공하는 한편, 에너지 입자 소스(355)는 에너지 입자들(303)의 플럭스를 제공하며, 이 둘 다 IAD 프로세스 동안 물품(350)에 충돌한다. 에너지 입자 소스(355)는 산소, 불소, 및/또는 다른 재료 소스, 이를테면 아르곤일 수 있다. 추가로, 다수의 서로 다른 에너지 입자 소스들이 사용될 수 있다. 예를 들어, 산소 에너지 입자 소스 및 불소 에너지 입자 소스가 사용될 수 있다. 증착 재료들(302)을 제공하는 데 사용되는 재료 소스(예컨대, 타깃 본체)(352)는 박막 보호 층(315)이 구성될 세라믹과 동일한 세라믹에 대응하는 벌크 소결 세라믹일 수 있다. 예를 들어, 재료 소스(352)는 벌크 소결 YOF일 수 있다. 일부 실시예들에서, 재료 소스(352)는 MOF 박막 보호 층(315)을 위한 재료들의 일부를 제공할 수 있다. 예를 들어, 재료 소스(352)는 MOF 박막 보호 층(315)을 위한 금속만으로 구성될 수 있다. MOF 박막 보호 층(315)이 다수의 금속들(예컨대, 이트륨 및 지르코늄)을 포함할 것이라면, 재료 소스(352)는 금속 합금일 수 있다. 대안으로, 2개의 서로 다른 금속 재료 소스들이 사용될 수 있다. 이러한 실시예들에서, 입자 소스(355)는 MOF 박막 보호 층(315)에 산소 및 불소 원자들을 제공한다. 다른 예로서, 재료 소스(352)는 벌크 소결 YF3와 같은 MF로 구성될 수 있다. 이러한 실시예들에서, 입자 소스(355)는 MOF 박막 보호 층(315)에 산소 원자들을 제공한다. MOF 박막 보호 층(315)이 다수의 금속들을 포함한다면, MF 재료 소스(352)는 두 금속들을 포함하는 불화물일 수 있다. 대안으로, 2개의 서로 다른 MF 재료 소스들이 사용될 수 있다. 또 다른 예로서, 재료 소스(352)는 Y2O3와 같은 MO로 구성될 수 있다. 이러한 실시예들에서, 입자 소스(355)는 MOF 박막 보호 층(315)에 불소 원자들을 제공한다. MOF 박막 보호 층(315)이 다수의 금속들을 포함한다면, MO 재료 소스(352)는 두 금속들을 포함하는 산화물일 수 있다. 대안으로, 2개의 서로 다른 MO 재료 소스들이 사용될 수 있다.
[0056] IAD는 하나 또는 그보다 많은 플라즈마들 또는 빔들을 이용하여 재료 및 에너지 이온 소스들을 제공할 수 있다. 반응성 종은 또한 플라즈마 내성 코팅의 증착 중에 제공될 수 있다. 일 실시예에서, 에너지 입자들(303)은 비반응성 종(예컨대, Ar) 또는 반응성 종(예컨대, O, F) 중 적어도 하나를 포함한다. 추가 실시예들에서, 플라즈마 내성 코팅의 형성 중에 CO 및 할로겐(Cl, F, Br 등)과 같은 반응성 종이 또한 도입되어 박막 보호 층(315)에 가장 약하게 접합된 증착된 재료를 선택적으로 제거하는 경향을 더욱 증가시킬 수 있다.
[0057] IAD 프로세스들의 경우, 에너지 입자들(303)은 다른 증착 파라미터들과는 독립적으로 에너지 이온(또는 다른 입자) 소스(355)에 의해 제어될 수 있다. 에너지 이온 플럭스의 에너지(예컨대, 속도), 밀도 및 입사각에 따라, 박막 보호 층의 조성, 구조, 결정 배향 및 입자 크기가 조작될 수 있다. 조정될 수 있는 추가 파라미터들은 증착 동안의 물품의 온도뿐만 아니라 증착의 지속기간이다.
[0058] 이온 보조 에너지는 코팅을 조밀화하는 데 그리고 기판의 표면 상에서 재료의 증착을 가속화하는 데 사용된다. 이온 보조 에너지는 이온 소스의 전압과 전류 모두를 사용하여 변경될 수 있다. 전압 및 전류는 코팅의 응력 그리고 또한 코팅의 결정도를 조작하기 위해 높고 낮은 코팅 밀도를 달성하도록 조정될 수 있다. 이온 보조 에너지는 대략 50-800V 및 대략 1-50 암페어(A)의 범위일 수 있다. 이온 보조 에너지는 또한 코팅의 화학량론을 의도적으로 변화시키는 데 사용될 수 있다. 예를 들어, 금속성 타깃이 증착 동안 사용될 수 있고, 금속 불산화물로 변환될 수 있다.
[0059] 가열기들을 사용하여 증착 챔버 및/또는 물품을 가열함으로써 그리고 증착 속도를 조정함으로써 코팅 온도가 제어될 수 있다. 증착 중 물품 온도는 저온(일 실시예에서는 약 70-150℃)과 고온(일 실시예에서는 150℃ 초과)으로 대략적으로 나뉠 수 있다. 증착 온도는 막 응력, 결정도 및 다른 코팅 특성들을 조정하는 데 사용될 수 있다.
[0060] 작동 거리는 전자 빔(또는 이온 빔) 총과 물품 사이의 거리이다. 작동 거리는 가장 높은 균일성을 갖는 코팅을 달성하도록 변화될 수 있다. 추가로, 작동 거리는 코팅의 증착 속도 및 밀도에 영향을 미칠 수 있다.
[0061] 증착 각도는 전자 빔(또는 이온 빔)과 물품 사이의 각도이다. 증착 각도는 기판의 위치 및/또는 배향을 변화시킴으로써 변경될 수 있다. 증착 각도를 최적화함으로써, 3차원 기하학적 구조들의 균일한 코팅이 달성될 수 있다.
[0062] EB-IAD 및 IBS-IAD 증착들은 광범위한 표면 조건들에서 실현 가능하다. 연마된 표면들은 균일한 코팅 커버리지를 달성하는 데 더 우수할 수 있다. IAD 증착 동안 기판을 유지하기 위해 다양한 고정 장치들이 사용될 수 있다.
[0063] 도 4는 MOF 박막 보호 코팅을 형성하기 위한 방법(400)의 일 예시적인 실시예를 도시한다. 블록(410)에서, MOF 소스 재료가 제공된다. MOF 소스 재료는 MxOy_sourceFz_source의 실험식을 갖는다. y_source는 x 값의 0.1배 내지 1.9배의 값을 갖고, z_source는 x 값의 0.1배 내지 3.9배의 값을 갖는다. 예를 들어, 일 실시예에서, 소스 재료는 YOF이다. 다른 실시예들에서는, 다른 MOF 소스 재료들이 사용된다. 각각의 실시예에서, MOF는 대응하는 MO에 비해 용적 증가를 나타낸다. 즉, MOF의 용적이 MO의 용적보다 더 크다면 금속이 MOF 박막 보호 층(208)에 사용하기에 적절하다. 적절한 금속들은 이트륨, 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 및 스트론튬을 포함한다.
[0064] 블록(420)에서, 물품 상에 MOF 코팅을 형성하도록 스퍼터 또는 증발 증착에 의해 MOF 소스 재료가 증착된다. MOF 코팅은 MxOy_coatingFz_coating의 실험식을 가지며, 여기서 y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. 일부 실시예들에서, MxOy_sourceFz_source와 MxOy_coatingFz_coating이 동일하여, y_source는 y_coating과 같고 z_source는 z_coating과 동일하다. 다른 실시예들에서는, 블록(420)에서 증착 동안 산소 또는 불소 이온들 또는 라디칼들 중 하나 이상을 도입함으로써 MxOy_coatingFz_coating이 MxOy_sourceFz_source에 대해 조정될 수 있다.
[0065] 증착은 도 3b에 도시된 장치를 사용하여 수행될 수 있다. 물품은 도 1과 관련하여 논의된 반도체 프로세스 챔버 컴포넌트들 중 임의의 컴포넌트일 수 있다. 예를 들어, 물품은 Applied Materials에 의해 제조된 Applied Centris™ Sym3™ Etch system 또는 Applied Materials에 의해 또한 제조된 Applied Producer®Etch system의 임의의 컴포넌트일 수 있다. 보다 일반적으로, 물품은 물품의 부식 및 기능 저하를 일으킬 수 있는 고 에너지 플라즈마 또는 반응성 가스 환경에 노출된 임의의 물품일 수 있다.
[0066] 블록(420)에서의 증착은 물품을 15 내지 150℃로 가열하는 단계를 더 포함할 수 있다. 일부 실시예들에서, 물품은 증착 전에 가열되고 물품 상에, MOF 코팅이 형성되며, 이어서 물품 및 MOF 코팅이 냉각된다. 추가로, 일부 실시예들에서, 블록(420)에서의 증착은 진공 챔버에서 수행된다. 진공 챔버 내부의 압력은 초기에 1mTorr의 몇 분의 1로, 이를테면 0.1mTorr로 펌핑 다운될 수 있다. 증착을 위한 가스들이 챔버로 도입될 때, 챔버 압력은 0.1 내지 100mTorr로 유지된다. 결과적인 MOF 박막 보호 코팅은 일부 실시예들에서는 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다. 일부 실시예들에서, MOF 박막 보호 코팅은 20 미크론 미만의 두께를 갖는다. 다른 실시예들에서, MOF 박막 보호 코팅은 대략 10 미크론의 두께를 갖는다.
[0067] 방법(400)의 특정 실시예에서는, 블록(410)에서 벌크 소결 YOF 소스 재료 및 반도체 프로세스 챔버 컴포넌트가 진공 챔버에 제공된다. 블록(420)에서, 진공 챔버는 밀폐되고 진공 챔버 내부의 압력은 대략 0.1mTorr로 감소된다. 반도체 프로세스 챔버 컴포넌트는 대략 150℃까지 가열된다. 블록(420)에서, YOF 소스 재료는 반도체 프로세스 챔버 컴포넌트 상으로 증발되어 박막 보호 층을 형성한다. 대안으로, YOF 소스 재료는 반도체 프로세스 챔버 컴포넌트 상에 스퍼터링될 수 있다. 일단 박막의 타깃 두께에 도달하면, 반도체 프로세스 챔버 컴포넌트 및 YOF 박막 보호 층이 냉각된다.
[0068] 도 5a - 도 5c는 MOF 박막 보호 코팅을 형성하기 위한 방법들(500, 520, 540)을 도시한다. 일반적으로, 방법들(500, 520, 540)은 금속 함유 소스 재료를 제공하는 단계, 및 물품 상에 MOF 박막 보호 코팅을 형성하도록 반응성 이온들 및 라디칼들의 존재시 소스 재료를 스퍼터링 또는 증발시키는 단계를 포함한다. 방법들(500, 520, 540)은 진공 챔버에서 수행될 수 있다. 일부 실시예들에서, 진공 챔버는 1mTorr의 몇 분의 1로 펌핑 다운되고, 증착 동안 챔버는 0.1 내지 100mTorr로 유지된다. 추가로, 일부 실시예들에서, 물품은 15 내지 150℃까지 가열되고, 이어서 MOF 박막 보호 코팅이 증착된 후에 냉각된다.
[0069] 도 5a는 방법(500)을 도시한다. 블록(505)에서, 금속 소스 재료가 제공된다. 금속 소스 재료는 도 1과 관련하여 앞서 설명한 바와 같이, MOF 박막 보호 코팅을 형성하기에 적절한 임의의 금속일 수 있다. 예를 들어, 적절한 금속들은 이트륨, 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 및 스트론튬, 또는 이들의 합금들 또는 결합들을 포함한다. 블록(510)에서, 금속 소스 재료가 스퍼터링 또는 증발되는데, 이를테면 이는 도 3b에 도시된 장치에 의해 달성될 수 있다. 블록(515)에서, 반도체 프로세스 챔버 컴포넌트와 같은 물품 상에 MOF 박막 보호 코팅을 형성하도록 산소 및 불소 이온들 또는 라디칼들이 스퍼터링된 또는 증발된 금속 소스 재료에 도입된다. 일부 실시예들에서, 산소 및 불소 이온들 또는 라디칼들을 도입하는 것은 이를테면, 이온 총 충격에 의해, 또는 MOF 박막 보호 코팅이 증착되고 있는 물품의 표면에 인접하게 고 에너지 플라즈마를 형성함으로써, 스퍼터링된 또는 증발된 금속에 산소 및 불소 이온들 또는 라디칼들로 충격을 가하는 것을 더 포함한다. 결과적인 MOF 박막 보호 코팅은 MxOy_coatingFz_coating의 실험식을 가지며, 여기서 y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. 추가로, 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.
[0070] 도 5b는 방법(520)을 도시한다. 블록(525)에서, MxFz_source의 실험식을 갖는 금속 불화물 소스 재료가 제공된다. z_source는 x 값의 0.1배 내지 4배의 값을 갖는다. 금속은 방법(500)과 관련하여 설명한 바와 같이 임의의 금속일 수 있다. 일 실시예에서, 소스 재료는 YF3이다. 블록(530)에서, 금속 불화물 소스 재료가 스퍼터링 또는 증발되는데, 이를테면 이는 도 3b에 도시된 장치에 의해 달성될 수 있다. 블록(535)에서, 반도체 프로세스 챔버 컴포넌트와 같은 물품 상에 MOF 박막 보호 코팅을 형성하도록 산소 이온들 또는 라디칼들이 스퍼터링된 또는 증발된 금속 불화물 소스 재료에 도입된다. 일부 실시예들에서, 산소 이온들 또는 라디칼들을 도입하는 것은 이를테면, 이온 총 충격에 의해, 또는 MOF 박막 보호 코팅이 증착되고 있는 물품의 표면에 인접하게 고 에너지 플라즈마를 형성함으로써, 스퍼터링된 또는 증발된 금속 불화물에 산소 이온들 또는 라디칼들로 충격을 가하는 것을 더 포함한다. 결과적인 MOF 박막 보호 코팅은 MxOy_coatingFz_coating의 실험식을 가지며, 여기서 y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. 추가로, 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.
[0071] 도 5c는 방법(540)을 도시한다. 블록(545)에서, MxOy_source의 실험식을 갖는 금속 산화물 소스 재료가 제공되며, 여기서 y_source는 x 값의 0.1배 내지 2배의 값을 갖는다. 금속은 방법(500)과 관련하여 설명한 바와 같이 임의의 금속일 수 있다. 일 실시예에서, 소스 재료는 Y2O3이다. 블록(550)에서, 금속 산화물 소스 재료가 스퍼터링 또는 증발되는데, 이를테면 이는 도 3b에 도시된 장치에 의해 달성될 수 있다. 블록(555)에서, 반도체 프로세스 챔버 컴포넌트와 같은 물품 상에 MOF 박막 보호 코팅을 형성하도록 불소 이온들 또는 라디칼들이 스퍼터링된 또는 증발된 금속 불화물 소스 재료에 도입된다. 일부 실시예들에서, 불소 이온들 또는 라디칼들을 도입하는 것은 이를테면, 이온 총 충격에 의해, 또는 MOF 박막 보호 코팅이 증착되고 있는 물품의 표면에 인접하게 고 에너지 플라즈마를 형성함으로써, 스퍼터링된 또는 증발된 금속 산화물에 불소 이온들 또는 라디칼들로 충격을 가하는 것을 더 포함한다. 결과적인 MOF 박막 보호 코팅은 MxOy_coatingFz_coating의 실험식을 가지며, 여기서 y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 x 값의 0.1배 내지 3.9배의 값을 갖는다. 추가로, 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 갖는다.
[0072] 전술한 설명은 본 발명의 여러 실시예들의 양호한 이해를 제공하기 위해, 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 제시한다. 본 발명의 적어도 일부 실시예들은 이러한 특정 세부사항들 없이 실시될 수도 있음이 당해 기술분야에서 통상의 지식을 가진 자에게 명백할 것이다. 다른 경우들에는, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해, 잘 알려진 컴포넌트들 또는 방법들은 상세히 설명되지 않거나 단순한 블록도 형식으로 제시된다. 따라서 제시된 특정 세부사항들은 단지 예시일 뿐이다. 특정 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
[0073] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "한 실시예"에 대한 언급은 실시예와 관련하여 설명된 특정한 특징, 구조 또는 특성이 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서 본 명세서 전반에 걸쳐 다양한 위치들에서 "일 실시예에서" 또는 "한 실시예에서"라는 문구의 출현들이 모두 반드시 동일한 실시예를 의미하는 것은 아니다. 추가로, "또는"이라는 용어는 배타적 "또는"보다는 포괄적 "또는"을 의미하는 것으로 의도된다. 본 명세서에서 "약" 또는 "대략"이라는 용어가 사용되는 경우, 이는 제시된 공칭 값이 ±10% 이내로 정밀하다는 것을 의미하는 것으로 의도된다.
[0074] 본 명세서의 방법들의 동작들이 특정 순서로 도시되고 기술되었지만, 각각의 방법의 동작들의 순서는 변경될 수 있어, 특정 동작들이 역순으로 수행될 수 있거나 특정 동작이 적어도 부분적으로는 다른 동작들과 동시에 수행될 수 있다. 다른 실시예에서는, 별개의 동작들의 명령들 또는 하위 동작들이 간헐적인 그리고/또는 교대하는 방식으로 이루어질 수 있다.
[0075] 위의 설명은 한정이 아닌 예시인 것으로 의도된다고 이해되어야 한다. 상기 설명을 읽고 이해하면, 많은 다른 실시예들이 당해 기술분야에서 통상의 지식을 가진 자들에게 명백할 것이다. 본 발명의 범위는 첨부된 청구항들을 참조로, 이러한 청구항들에 권리가 부여되는 등가물들의 전체 범위와 함께 결정되어야 한다.

Claims (20)

  1. 박막으로서,
    MxOyFz의 실험식을 갖는 금속 불산화물(oxy-fluoride)을 포함하며,
    M은 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 또는 스트론튬으로 이루어진 그룹으로부터 선택되는 금속 또는 복수의 금속들의 혼합물이고, y는 x 값의 0.1배 내지 1.9배의 값을 가지며, z는 상기 x 값의 0.1배 내지 3.9배의 값을 갖고;
    상기 박막은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률(porosity)을 가지며,
    M은 2의 원자가(valence)를 갖고, 상기 금속 불산화물은 40-45 at.%의 금속, 20-35 at.% 산소 및 20-40 at.% 불소를 포함하거나; 또는 M은 3의 원자가를 갖고, 상기 금속 불산화물은 27-38 at.%의 금속, 10-52 at.% 산소 및 10-63 at.% 불소를 포함하거나; 또는 M은 4의 원자가를 갖고, 상기 금속 불산화물은 22-32 at.%의 금속, 10-58 at.% 산소 및 10-68 at.% 불소를 포함하는,
    박막.
  2. 삭제
  3. 제1 항에 있어서,
    상기 박막은 반도체 처리 장비용 챔버 컴포넌트의 적어도 하나의 표면을 코팅하는,
    박막.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 방법으로서,
    MxOy_sourceFz_source의 실험식을 갖는 금속 불산화물 소스 재료를 제공하는 단계 ― M은 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 또는 스트론튬으로 이루어진 그룹으로부터 선택되는 금속 또는 복수의 금속들의 혼합물이고, y_source는 x 값의 0.1배 내지 1.9배의 값을 갖고, z_source는 상기 x 값의 0.1배 내지 3.9배의 값을 가짐 ―; 및
    물품 상에 금속 불산화물 코팅을 형성하도록 상기 금속 불산화물 소스 재료의 스퍼터 증착 또는 증발 증착 중 하나를 수행하는 단계를 포함하며,
    상기 금속 불산화물 코팅은 MxOy_coatingFz_coating의 실험식을 갖고,
    y_coating은 상기 x 값의 0.1배 내지 1.9배의 값을 가지며, z_coating은 상기 x 값의 0.1배 내지 3.9배의 값을 갖고,
    상기 금속 불산화물 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 가지며,
    M은 2의 원자가(valence)를 갖고, 상기 금속 불산화물은 40-45 at.%의 금속, 20-35 at.% 산소 및 20-40 at.% 불소를 포함하거나; 또는 M은 3의 원자가를 갖고, 상기 금속 불산화물은 27-38 at.%의 금속, 10-52 at.% 산소 및 10-63 at.% 불소를 포함하거나; 또는 M은 4의 원자가를 갖고, 상기 금속 불산화물은 22-32 at.%의 금속, 10-58 at.% 산소 및 10-68 at.% 불소를 포함하는,
    방법.
  8. 삭제
  9. 제7 항에 있어서,
    상기 물품은 반도체 프로세스 챔버 컴포넌트를 포함하는,
    방법.
  10. 제7 항에 있어서,
    증착 전에 상기 물품을 15 내지 150℃로 가열하는 단계를 더 포함하는,
    방법.
  11. 제7 항에 있어서,
    상기 스퍼터 증착 또는 상기 증발 증착은 0.1 내지 100mTorr의 압력을 갖는 챔버에서 수행되는,
    방법.
  12. 방법으로서,
    금속을 포함하는 소스 재료를 제공하는 단계; 및
    물품 상에 금속 불산화물 코팅을 형성하도록 상기 소스 재료의 스퍼터 증착 또는 증발 증착 중 하나를 수행하는 단계를 포함하며,
    상기 수행하는 단계는,
    상기 스퍼터 증착 또는 상기 증발 증착 동안 스퍼터링된 또는 증발된 소스 재료에 산소 이온들 또는 라디칼들 또는 불소 이온들 또는 라디칼들 중 적어도 하나를 도입하는 단계를 포함하고,
    상기 물품 상에 형성된 금속 불산화물 코팅은 MxOy_coatingFz_coating의 실험식을 가지며,
    M은 가돌리늄, 알루미늄, 세륨, 디스프로슘, 지르코늄, 칼슘, 마그네슘, 에르븀, 란타늄, 네오디뮴, 이테르븀 또는 스트론튬으로 이루어진 그룹으로부터 선택되는 금속 또는 복수의 금속들의 혼합물이고, y_coating은 x 값의 0.1배 내지 1.9배의 값을 갖고, z_coating은 상기 x 값의 0.1배 내지 3.9배의 값을 가지며;
    상기 코팅은 1 내지 30 미크론의 두께 및 0.1% 미만의 다공률을 가지며,
    M은 2의 원자가(valence)를 갖고, 상기 금속 불산화물은 40-45 at.%의 금속, 20-35 at.% 산소 및 20-40 at.% 불소를 포함하거나; 또는 M은 3의 원자가를 갖고, 상기 금속 불산화물은 27-38 at.%의 금속, 10-52 at.% 산소 및 10-63 at.% 불소를 포함하거나; 또는 M은 4의 원자가를 갖고, 상기 금속 불산화물은 22-32 at.%의 금속, 10-58 at.% 산소 및 10-68 at.% 불소를 포함하는,
    방법.
  13. 제12 항에 있어서,
    상기 소스 재료는 MxOy_source의 실험식을 갖는 금속 산화물을 포함하며,
    y_source는 상기 x 값의 0.1배 내지 2배의 값을 갖는,
    방법.
  14. 제12 항에 있어서,
    상기 소스 재료는 MxFz_source의 실험식을 갖는 금속 불화물을 포함하고,
    z_source는 상기 x 값의 0.1배 내지 4배의 값을 갖는,
    방법.
  15. 삭제
  16. 제12 항에 있어서,
    상기 스퍼터링된 또는 증발된 소스 재료에 산소 이온들 또는 라디칼들 또는 불소 이온들 또는 라디칼들 중 적어도 하나를 도입하는 단계는, 상기 물품 또는 상기 스퍼터링된 또는 증발된 소스 재료 중 적어도 하나에 상기 산소 이온들 또는 라디칼들 또는 상기 불소 이온들 또는 라디칼들 중 적어도 하나로 충격을 가하는 단계를 포함하는,
    방법.
  17. 제16 항에 있어서,
    상기 충격을 가하는 단계는, 상기 물품에 인접하게 플라즈마를 형성하는 단계를 포함하며,
    상기 플라즈마는 상기 산소 이온들 및 라디칼들 또는 상기 불소 이온들 및 라디칼들을 포함하는,
    방법.
  18. 제12 항에 있어서,
    증착 전에 상기 물품을 15 내지 150℃의 범위로 가열하는 단계를 더 포함하는,
    방법.
  19. 제12 항에 있어서,
    상기 스퍼터 또는 증발 증착은 0.1 내지 100mTorr의 압력을 갖는 챔버에서 수행되는,
    방법.
  20. 삭제
KR1020187034571A 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들 KR102487562B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237000735A KR20230011489A (ko) 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662331326P 2016-05-03 2016-05-03
US62/331,326 2016-05-03
US15/498,383 2017-04-26
US15/498,383 US11572617B2 (en) 2016-05-03 2017-04-26 Protective metal oxy-fluoride coatings
PCT/US2017/030690 WO2017192622A1 (en) 2016-05-03 2017-05-02 Protective metal oxy-fluoride coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237000735A Division KR20230011489A (ko) 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들

Publications (2)

Publication Number Publication Date
KR20180132949A KR20180132949A (ko) 2018-12-12
KR102487562B1 true KR102487562B1 (ko) 2023-01-10

Family

ID=60203266

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237000735A KR20230011489A (ko) 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들
KR1020187034571A KR102487562B1 (ko) 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237000735A KR20230011489A (ko) 2016-05-03 2017-05-02 보호 금속 불산화물 코팅들

Country Status (6)

Country Link
US (2) US11572617B2 (ko)
JP (2) JP7046005B2 (ko)
KR (2) KR20230011489A (ko)
CN (2) CN109075084B (ko)
TW (3) TWI797079B (ko)
WO (1) WO2017192622A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7122854B2 (ja) * 2018-04-20 2022-08-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置用部材、またはプラズマ処理装置の製造方法およびプラズマ処理装置用部材の製造方法
JP2022514171A (ja) * 2018-10-19 2022-02-10 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7427031B2 (ja) * 2019-05-22 2024-02-02 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR102277819B1 (ko) * 2019-07-08 2021-07-15 세메스 주식회사 반도체 공정 부품, 상기 반도체 공정 부품을 코팅하는 장치 및 방법
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR102462695B1 (ko) 2019-12-23 2022-11-04 주식회사 히타치하이테크 플라스마 처리 장치의 부품의 제조 방법 및 부품의 검사 방법
US20210317572A1 (en) * 2020-04-14 2021-10-14 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
JP7489905B2 (ja) 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置
CN112725762A (zh) * 2020-12-18 2021-04-30 华虹半导体(无锡)有限公司 薄膜沉积工艺腔的腔内沉积薄膜清洁方法
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002001865A (ja) * 2000-04-21 2002-01-08 Ngk Insulators Ltd 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
JP2007063070A (ja) 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd 耐プラズマ性イットリア焼結体の製造方法
JP2007308794A (ja) 2006-04-20 2007-11-29 Shin Etsu Chem Co Ltd 導電性耐プラズマ部材
WO2010016973A2 (en) * 2008-08-08 2010-02-11 Raytheon Company Durable antireflective multispectral infrared coatings
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP2012508684A (ja) * 2008-11-12 2012-04-12 アプライド マテリアルズ インコーポレイテッド 反応性プラズマ処理に耐性をもつ保護コーティング
US20140116338A1 (en) 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating for performance enhancement of semiconductor apparatus
US20140147594A1 (en) 2012-11-27 2014-05-29 Intermolecular Inc. Magnesium Fluoride and Magnesium Oxyfluoride based Anti-Reflection Coatings via Chemical Solution Deposition Processes
US20160307740A1 (en) 2015-04-20 2016-10-20 Samsung Electronics Co., Ltd. Substrate Processing System and Ceramic Coating Method Therefor

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936653A (en) * 1988-06-02 1990-06-26 Santa Barbara Research Center Cerium oxyfluoride antireflection coating for group II-VI photodetectors and process for forming same
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3625876B2 (ja) 1994-11-14 2005-03-02 オリンパス株式会社 光学薄膜の製造方法および該光学薄膜を有する光学部品
JP3941289B2 (ja) * 1998-06-30 2007-07-04 三菱マテリアル株式会社 Pdp又はpalc用保護膜及びその製造方法並びにこれを用いたpdp又はpalc
KR100899311B1 (ko) * 1998-12-10 2009-05-27 미쓰비시 마테리알 가부시키가이샤 Fpd 용 보호막 및 그 제조방법 그리고 이것을 사용한 fpd
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6756160B2 (en) 2001-04-19 2004-06-29 E.I. Du Pont De Nemours. And Company Ion-beam deposition process for manufacturing attenuated phase shift photomask blanks
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7674751B2 (en) 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
KR101344990B1 (ko) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
EP1992430A1 (en) 2007-05-15 2008-11-19 Treibacher Industrie AG Yttria-based refractory composition
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
CN102296263B (zh) 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20140154510A1 (en) * 2011-07-11 2014-06-05 Panasonic Corporation Film structure and method for producing same
JP2013082954A (ja) 2011-10-06 2013-05-09 National Central Univ 純金属ターゲットで反応性スパッタリング方法を用いて作製されたフッ化物及びフッ素をドープした酸化物薄膜
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2013158829A1 (en) 2012-04-19 2013-10-24 Research Triangle Institute Modification of ceramic surfaces
JP5396672B2 (ja) * 2012-06-27 2014-01-22 日本イットリウム株式会社 溶射材料及びその製造方法
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6366263B2 (ja) 2013-12-13 2018-08-01 キヤノン株式会社 光学多層膜、光学レンズ及び光学多層膜の製造方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
KR101867322B1 (ko) 2015-03-05 2018-06-15 닛폰 이트륨 가부시키가이샤 소결용 재료 및 소결용 재료를 제조하기 위한 분말
EP3271494A1 (en) 2015-03-18 2018-01-24 Entegris, Inc. Articles coated with fluoro-annealed films
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002001865A (ja) * 2000-04-21 2002-01-08 Ngk Insulators Ltd 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
JP2007063070A (ja) 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd 耐プラズマ性イットリア焼結体の製造方法
JP2007308794A (ja) 2006-04-20 2007-11-29 Shin Etsu Chem Co Ltd 導電性耐プラズマ部材
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
WO2010016973A2 (en) * 2008-08-08 2010-02-11 Raytheon Company Durable antireflective multispectral infrared coatings
JP2012508684A (ja) * 2008-11-12 2012-04-12 アプライド マテリアルズ インコーポレイテッド 反応性プラズマ処理に耐性をもつ保護コーティング
US20140116338A1 (en) 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating for performance enhancement of semiconductor apparatus
US20140147594A1 (en) 2012-11-27 2014-05-29 Intermolecular Inc. Magnesium Fluoride and Magnesium Oxyfluoride based Anti-Reflection Coatings via Chemical Solution Deposition Processes
US20160307740A1 (en) 2015-04-20 2016-10-20 Samsung Electronics Co., Ltd. Substrate Processing System and Ceramic Coating Method Therefor

Also Published As

Publication number Publication date
CN109075084A (zh) 2018-12-21
KR20180132949A (ko) 2018-12-12
TW202246549A (zh) 2022-12-01
JP2022084788A (ja) 2022-06-07
TWI789688B (zh) 2023-01-11
US11572617B2 (en) 2023-02-07
JP2019515139A (ja) 2019-06-06
TWI797079B (zh) 2023-04-01
WO2017192622A1 (en) 2017-11-09
CN116083864A (zh) 2023-05-09
US20170323772A1 (en) 2017-11-09
TW202132593A (zh) 2021-09-01
KR20230011489A (ko) 2023-01-20
US20230141782A1 (en) 2023-05-11
CN109075084B (zh) 2023-02-14
JP7046005B2 (ja) 2022-04-01
TW201807223A (zh) 2018-03-01

Similar Documents

Publication Publication Date Title
KR102487562B1 (ko) 보호 금속 불산화물 코팅들
US20210317563A1 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10544500B2 (en) Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) Chamber component with fluorinated thin film coating
US9633884B2 (en) Performance enhancement of coating packaged ESC for semiconductor apparatus
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
TW202200807A (zh) 氧化釔系塗層組合物
TW202344316A (zh) 用於製造設備部件之抗電漿防電弧塗層

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant