JP2019515139A - 保護金属オキシフッ化物コーティング - Google Patents

保護金属オキシフッ化物コーティング Download PDF

Info

Publication number
JP2019515139A
JP2019515139A JP2018557814A JP2018557814A JP2019515139A JP 2019515139 A JP2019515139 A JP 2019515139A JP 2018557814 A JP2018557814 A JP 2018557814A JP 2018557814 A JP2018557814 A JP 2018557814A JP 2019515139 A JP2019515139 A JP 2019515139A
Authority
JP
Japan
Prior art keywords
metal
coating
value
thin film
atomic percent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018557814A
Other languages
English (en)
Other versions
JP7046005B2 (ja
Inventor
デビッド フェンウィック
デビッド フェンウィック
チェンジン リー
チェンジン リー
ジェニファー ワイ サン
ジェニファー ワイ サン
ユイカイ チェン
ユイカイ チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019515139A publication Critical patent/JP2019515139A/ja
Priority to JP2022044974A priority Critical patent/JP2022084788A/ja
Application granted granted Critical
Publication of JP7046005B2 publication Critical patent/JP7046005B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/082Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Materials For Medical Uses (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Wrappers (AREA)
  • Laminated Bodies (AREA)
  • Paints Or Removers (AREA)
  • Glass Compositions (AREA)

Abstract

物品は、保護コーティングを有する本体を含む。保護コーティングは、金属オキシフッ化物を含む薄膜である。金属オキシフッ化物は実験式MxOyFzを有し、Mは金属であり、yがxの値の0.1〜1.9倍の値を有し、zがxの値の0.1〜3.9倍の値を有する。保護コーティングは、1〜30ミクロンの厚さと、0.1%未満の多孔率を有する。

Description

本開示の実施形態は、一般に、半導体プロセスチャンバコンポーネントで用いることができる保護金属オキシフッ化物コーティング、及び、半導体プロセスチャンバコンポーネントで用いることができる保護金属オキシフッ化物コーティングを製造するための方法に関する。
背景
半導体ウエハ製造プロセスはチャンバ内で行われ、ここで、チャンバコンポーネントは高温、高エネルギープラズマ、腐食性ガスの混合物、高応力、及び、これらの組み合せに曝露される。チャンバコンポーネントは、保護コーティングによりこれらの極端な状態からシールドされることができる。金属酸化物は、プラズマエッチングケミストリからの侵食に対する耐性のため、チャンバコンポーネントのコーティングにしばしば用いられる。金属酸化物コーティングは、ウエハ処理中に、フッ素系のケミストリへの曝露により、金属フッ化物に変換する。金属酸化物の金属フッ化物への変換は、通常、体積膨張を伴い、コーティングに対する応力を増加させる。例えば、1モルのY(イットリア)から2モルのYF(フッ化イットリウム)への変換は、約60%の理論的体積膨張を伴う。金属酸化物の金属フッ化物への変換により引き起こされる体積膨張及び応力の付加は、チャンバコンポーネントにブリスタ及び/又は粒子を発生させ、処理されたウエハに欠陥をもたらす可能性がある。
概要
薄膜について以下に説明する。薄膜は、Mの実験式を有する金属オキシフッ化物(MOF)を含む。Mは金属元素を表す。yはxの値の0.1〜1.9倍の値を有し、zはxの値の0.1〜3.9倍の値を有する。このMOF薄膜は、1〜30ミクロンの厚さと、0.1%未満の多孔率を有する。MOF薄膜の実施例は、半導体処理装置用のチャンバコンポーネントの表面上の保護コーティングを含む。
以下に、幾つかの方法についても説明する。1つの方法は、My_sourcez_sourceの実験式を有するMOF源材料を提供することを含む。y_sourceはxの値の0.1〜1.9倍の値を有し、z_sourceはxの値の0.1〜3.9倍の値を有する。MOF源材料はスパッタ堆積又は蒸着により物品上に堆積され、物品上にMOFコーティングを形成する。例えば、物品は半導体プロセスチャンバコンポーネントを含むことができる。MOF源材料同様に、物品上のMOFコーティングは、My_coatingz_coatingの実験式を有する。y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有する。MOFコーティングのフィーチャは、1〜30ミクロンの厚さと、0.1%未満の多孔率を含む。
第2の方法は、源材料を提供し、スパッタ堆積又は蒸着により物品上に源材料を堆積させることを含む。物品は、1つ以上の半導体処理チャンバコンポーネントを含むことができる。第2の方法の一例では、源材料は金属である。堆積中に酸素及びフッ素イオン又はラジカルがスパッタされた又は蒸発された金属に導入され、物品上にMOFコーティングを形成する。この第2の方法の他の例では、源材料は金属酸化物(MO)であり、堆積中にフッ素イオン又はラジカルがスパッタされた又は蒸発された金属酸化物に導入され、物品上にMOFコーティングを形成する。この第2の方法の第3の例では、源材料は金属フッ化物(MF)であり、堆積中に酸素イオン又はラジカルがスパッタされた又は蒸発されたMFに導入され、物品上にMOFコーティングを形成する。MOFコーティングは、My_coatingz_coatingの実験式を有する。y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有する。MOFコーティングは1〜30ミクロンの厚さと、0.1%未満の多孔率を有する。
本開示の実施形態は、同様の参照符号が同様の要素を示す添付図面において、限定ではなく、例として示される。本開示における「1つの」又は「一」実施形態への異なる参照は必ずしも同じ実施形態に限定されず、そのような参照は少なくとも1つを意味することに留意すべきである。
本発明の一実施形態による、半導体製造システムの例示的なアーキテクチャを示す。 様々な実施形態による、薄膜保護コーティングを有する例示的な物品の断面図を示す。 本発明の様々な実施形態による、例示的な堆積技術を示す。 本発明の一実施形態による、物品に金属オキシフッ化物コーティングを形成する例示的な方法を示す。 本発明の様々な実施形態による、物品に金属オキシフッ化物コーティングを形成する例示的な方法を示す。
構成及び実施例の詳細な説明
上述のように、金属酸化物保護層は物品上で用いられ、プラズマへの曝露からこれらの物品を保護する。金属酸化物コーティングは、フッ素系ケミストリに曝露されると、金属フッ化物に変換し、この変換は堆積膨張と、処理されたウエハ上での粒子欠陥を伴う。基板をプラズマエッチングするために、フッ素系ケミストリがしばしば用いられる。
本明細書に記載されるのは、フッ素ケミストリとの反応に耐性を有する金属オキシフッ化物(MOF)保護コーティングの実施形態である。本明細書の実施形態に記載されるMOFコーティングは、MOコーティングと比較して、コーティングのフッ素化を著しく減少させる。更に、MOFコーティングは、MFコーティングに比べると、チャンバコンポーネントの熱膨張係数にはるかに近く一致する熱膨張係数を有することができる。これらの特徴は、半導体製造環境における物品のためのコーティングの耐腐食性を改善し、保護コーティングに起因する粒子欠陥を低減することができる。これらの特徴を有する薄膜MOF組成物が、MOFコーティングを形成するための幾つかの方法と共に以下に説明される。
薄膜の例示的な実施形態は、実験式Mを有するMOFを含み、ここでMは一般に正の原子価配置を有する金属であり、Oが酸素であり、Fがフッ素である。下付き文字は、それぞれの原子の他の原子に対する数を表す。例えば、yの値はxの値の0.1〜1.9倍であり、zの値はxの値の0.1〜3.9倍である。いずれの場合でも、それぞれの原子の価数と組み合わされたすべての下付き文字の値は、薄膜が電子的に中性であるようにバランスがとれている。更に、薄膜の実施形態は、1〜30ミクロンの厚さと、0.1%未満の多孔率を有する。
上述の利点を有する薄膜コーティングを製造するためには、幾つかの方法が利用可能である。例えば、一方法は、ターゲットコーティングと同じ実験式を有するMOF源材料を提供することを含む。その後、源材料は、スパッタ堆積又は蒸着により物品上に堆積される。他の方法では、源材料は金属である。金属は、酸素及びフッ素のイオン又はラジカル(例えば、プラズマにより形成される、又は、イオンガンから放出される)の存在下で物品上にスパッタリング又は蒸着される。いずれの場合も、イオン又はラジカルは、物品上に堆積される時に、スパッタされた源材料に衝突し、金属と結合し、物品上にMOFコーティングを形成する。
一方法は、MF源材料を提供することを含む。源材料は、物品上にスパッタリング又は蒸発され、源材料は、物品上に堆積される時に、酸素イオン又はラジカルにより衝突される。結果として、MOFコーティングが物品上に形成される。代替的に、他の方法は、MO源材料を提供し、源材料を物品上にスパッタリング又は蒸発させることを含む。源材料は、物品上に蓄積する時に、フッ素イオン又はラジカルに衝撃され、MOFコーティングを形成する。
スパッタリング又は蒸着によりコーティングを堆積させる利点は、0.1%未満の多孔率を達成する能力である。この利点を達成する他の堆積方法は、スパッタリング又は蒸着の均等物と考えられる。
「プラズマ耐性材料」という用語は、プラズマ処理条件への曝露による侵食及び腐食に対して耐性のある材料を指す。プラズマ処理条件は、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBR、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl及びSiF)及び他のガス(例えば、O、又はNO)から生成されたプラズマを含む。プラズマに対する材料の耐性は、コーティングされたコンポーネントのオペレーション及びプラズマへの曝露の時間を通して、オングストローム/分(Å/分)の単位を有することができる「エッチング速度」(ER)により測定される。また、プラズマ耐性は、ナノメートル/高周波時間(nm/RFHr)の単位を有する侵食速度により測定することができ、ここで、1RFHrは、プラズマ処理条件における1時間の処理を表す。測定は異なる処理時間の後に行うことができる。例えば、測定は、処理前、処理時間50時間後、処理時間150時間後、処理時間200時間後等で行うことができる。プラズマ耐性コーティング材料は、約100nm/RFHrより低い侵食速度が典型的である。単一のプラズマ耐性材料は、複数の異なるプラズマ耐性又は侵食速度値を有することができる。例えば、プラズマ耐性材料は、第1のタイプのプラズマに関連する第1のプラズマ耐性又は浸食速度、及び、第2のタイプのプラズマに関連する第2のプラズマ耐性又は浸食速度を有することができる。
本明細書において「約」及び「およそ」という用語が用いられる場合、これらは提示された公称値が±10%以内で正確であることを意味する。幾つかの実施形態は、半導体製造のためのプラズマエッチング装置に設置されたチャンバコンポーネント及び他の物品を参照して本明細書に記載される。そのようなプラズマエッチング装置は、微小電気機械システム(MEMS)デバイスを製造するためにも用いることができると理解すべきである。更に、本明細書に記載される物品は、プラズマに曝露される他の構造であってもよい。本明細書で説明される物品は、半導体処理チャンバ等の処理チャンバ用のチャンバコンポーネントであってもよい。例えば、物品は、プラズマエッチング装置、プラズマクリーニング装置、プラズマ推進システム、又は他の処理チャンバのためのチャンバコンポーネントであってもよい。処理チャンバは、プラズマ処理条件を有する腐食性プラズマ環境が提供されるプロセスで用いられることができる。例えば、処理チャンバは、プラズマエッチング装置又はプラズマエッチングリアクタ、プラズマクリーニング装置等のためのチャンバであってもよい。チャンバコンポーネントの例は、基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、シャワーヘッド、ノズル、蓋、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋等を含む。
更に、本明細書では、プラズマリッチプロセスのためのプロセスチャンバ内で用いられる時に粒子汚染を低減させるセラミック物品を参照して実施形態が説明される。他のプロセスのためのプロセスチャンバ(例えば、非プラズマエッチング装置、非プラズマクリーニング装置、化学気相堆積(CVD)チャンバ、物理気相堆積(PVD)チャンバ、プラズマエンハンスト化学気相堆積(PECVD)チャンバ、プラズマエンハンスト物理気相堆積(PEPVD)チャンバ、プラズマエンハンスト原子層堆積(PEALD)チャンバ等)で用いられる時にも、本明細書で説明されるセラミック物品は粒子汚染を低減させることができると考えられる。
図1は、本発明の実施形態によるMOF薄膜保護層でコーティングされた1つ以上のチャンバコンポーネントを有する半導体処理チャンバ100の断面図である。処理チャンバ100は、フッ素系腐食プラズマ環境が提供されるプロセスで用いることができる。例えば、処理チャンバ100は、エッチング及び/又はクリーニングのためにフッ素系ケミストリを使用するプラズマエッチング装置又はプラズマエッチリアクター、プラズマクリーナー等のためのチャンバであってもよい。MOF薄膜保護層を含むことができるチャンバコンポーネントの例は、基板支持アセンブリ148、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、シャワーヘッド、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋、フェイスプレート、選択的変調装置(SMD)等を含む。以下でより詳細に説明されるMOF薄膜保護層は、オキシフッ化イットリウム又は他の金属オキシフッ化物を含むことができる。
一実施形態において、処理チャンバ100は、内部容積106を囲むチャンバ本体102とシャワーヘッド130を含む。代替的に、幾つかの実施形態において、シャワーヘッド130は、蓋及びノズルで置き換えることができる。チャンバ本体102は、アルミニウム、ステンレス鋼又は他の適切な材料から製造することができる。チャンバ本体102は、一般に、側壁108と、底部110を含む。シャワーヘッド130(又は、蓋及び/又はノズル)、側壁108及び/又は底部110のいずれかは、MOF薄膜保護層を含むことができる。
外側ライナ116は、側壁108に隣接して配置され、チャンバ本体102を保護することができる。外側ライナ116は、MOF薄膜保護層で製作及び/又はコーティングすることができる。一実施形態において、外側ライナ116は、酸化アルミニウムから製造される。
排気ポート126はチャンバ本体102内に画定され、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、処理チャンバ100の内部容積106の圧力を排出及び調整するために用いられる1つ以上のポンプ及びスロットルバルブを含むことができる。
シャワーヘッド130は、チャンバ本体102の側壁108及び/又は上部に支持されることができる。シャワーヘッド130(又は蓋)は、処理チャンバ100の内部容積106へのアクセスを可能にするように開口することができ、閉鎖されている時には処理チャンバ100のシールを提供することができる。ガスパネル158はプロセスチャンバ100に結合され、プロセスガス及び/又はクリーニングガスを、シャワーヘッド130又は蓋及びノズルを介して内部容積106に提供することができる。シャワーヘッド130は誘電エッチング(誘電体のエッチング)に用いられる処理チャンバで用いられる。シャワーヘッド130は、全体に亘って複数のガス分配ホール132を有するガス分配プレート(GDP)を含むことができる。シャワーヘッド130は、アルミニウムベース又は陽極酸化アルミニウムベースであるシャワーヘッドベースに結合されたGDPを含むことができる。GDPは、Si又はSiCから製造されてもよく、又は、セラミック(例えば、Y、Al、YAl12(YAG)等)であってもよい。図示のように、シャワーヘッド130は、シャワーヘッド130の表面上にMOFコーティング152を含む。
導体エッチング(伝導性材料のエッチング)に用いられる処理チャンバでは、シャワーヘッドではなく蓋を用いることができる。蓋は、蓋の中心ホールに嵌合する中心ノズルを含むことができる。蓋は、Al、Y、YAG等のセラミック、又は、YAlとY−ZrOの固溶体とを含むセラミック化合物であってもよい。また、ノズルは、Y、YAG等のセラミック、又はYAlとY−ZrOの固溶体とを含むセラミック化合物であってもよい。蓋、シャワーヘッド130及び/又はノズルは、MOF薄膜保護層でコーティングされていてもよい。
処理チャンバ100内での基板を処理するために用いることができるフッ素系処理ガスの例は、ハロゲン含有ガス(例えば、とりわけ、C、SF、NF、CF、CHF、CH、F、NF及びSiF等)を含む。使用可能なキャリアガスの例は、N、He、Ar、及びプロセスガスに対して不活性な他のガス(例えば、非反応性ガス)を含む。
幾つかの実施形態において、処理チャンバ100は、シャワーヘッドの上に配置されることができるフェースプレート及び/又は選択的変調デバイス(SMD)を含むことができる。フェースプレート及びSMDは、処理チャンバ100に遠隔プラズマを提供するために用いられるコンポーネントである。フェースプレート及びSMDは、アルミニウム(例えば、アルミニウム6061)又は他の金属で製造することができる。幾つかの例では、フェースプレート及びSMDは、プラズマ溶射保護コーティング(例えば、浸食保護のためのYのコーティング)を有する。追加的又は代替的に、フェースプレート及びSMDは、浸食保護のためにMOF薄膜コーティングを有してもよい。これらのコンポーネントは、例えば、処理チャンバが遠隔プラズマチャンバ(例えば、選択的除去製品(SRP)チャンバ)である場合に用いることができる。動作時には、フェースプレートとSMDとの間に低強度のプラズマが存在し、選択的なエッチングのためにラジカルがこれらを通過する。SMDは、プラズマの選択性を調節する。
基板支持アセンブリ148は、処理チャンバ100の内部容積106内でシャワーヘッド130又は蓋の下方に配置される。基板支持アセンブリ148は、処理中に基板144を保持するセラミック静電チャックと、静電チャックに結合された冷却ベースとを含む。内側ライナ(図示せず)は、基板支持アセンブリ148の周縁部でコーティングされてもよい。内側ライナは、ハロゲン含有ガス耐性材料(例えば、外側ライナ116に関して論じられたもの)であってもよい。一実施形態において、内側ライナは、外側ライナ116と同じ材料から製造されてもよい。更に、内側ライナは、MOF薄膜保護層でコーティングされてもよい。
図2は、耐プラズマ性であるMOF薄膜保護層により覆われた物品(例えば、チャンバコンポーネント)の断面側面図を示す。物品200のベース又は本体205の少なくとも一部は、MOF薄膜保護層208によりコーティングされる。物品200は、チャンバコンポーネント(例えば、基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート又はシャワーヘッド、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋、フェイスプレート、SMD等)であってもよい。物品200の本体205は、金属、セラミック、金属−セラミック複合材、ポリマー、又はポリマー−セラミック複合材であってもよい。一実施形態において、物品200の本体205は、アルミニウム合金(例えば、6061アルミニウム)又はステンレス鋼である。他の実施形態において、物品200の本体205はセラミック材料(例えば、Al、Y、AlN、SiO等)である。他の実施形態において、物品200の本体205は、ポリマー系材料(例えば、Kapton(登録商標)、Teflon(登録商標)等)である。
様々なチャンバコンポーネントは、異なる材料で構成される。例えば、静電チャックはセラミック材料(例えば、陽極酸化アルミニウムベースに接着されたAl(アルミナ)、AlN(窒化アルミニウム)、TiO(酸化チタン)、TiN(窒化チタン)又はSiC(炭化ケイ素)で構成することができる。Al、AlN及び陽極酸化アルミニウムは、耐プラズマ侵食性に乏しい。フッ素ケミストリを用いたプラズマ環境に曝露されると、静電チャックの静電パックは、約50高周波時間(RFHrs)の処理の後、ウエハチャックの劣化、He漏れ率の増加、ウエハの表側及び裏側の粒子生成、ウエハ上の金属汚染を起こす可能性がある。高周波時間は1処理時間である。
Alは高い曲げ強度及び高い熱伝導率を有するので、導体エッチングプロセスに用いられるプラズマエッチング装置のための蓋は、焼結セラミック(例えば、Al)であってもよい。フッ素ケミストリに曝露されたAlは、AlF粒子及びウエハ上のアルミニウム金属汚染を形成する。
誘電体エッチングプロセスを実行するために用いられるエッチング装置用のシャワーヘッドは、典型的には、SiCフェースプレートに結合された陽極酸化アルミニウムで形成される。このようなシャワーヘッドがフッ素を含むプラズマケミストリに曝露されると、陽極酸化されたアルミニウムベースとのプラズマ相互作用のためにAlFが形成される可能性がある。更に、陽極酸化されたアルミニウムベースの高い侵食速度は、アーク放電を引き起こし、最終的にシャワーヘッドのクリーニングの平均時間を短縮する可能性がある。
幾つかのチャンバ蓋及び他のチャンバコンポーネントは、プラズマ対向面上に厚膜保護層を有し、粒子生成と金属汚染を最小にし、蓋の寿命を延ばす。プラズマ溶射及び他の溶射技術を用いて、厚膜保護層を形成することができる。ほとんどの厚膜コーティング技術は、長いリードタイムを有する。更に、大部分の厚膜コーティング技術では、コーティングを受けるためにコーティングされる物品(例えば、蓋)を調製するために特別な表面調製が行われる。そのような長いリードタイム及びコーティング調製工程は、コストを増加させ、生産性を低下させ、改装を抑制する可能性がある。更に、大部分の厚膜コーティングは、ウエハ上の欠陥性能を低下させる固有のクラック及び孔を有する。
多くの厚膜酸化物コーティング(例えば、プラズマ溶射されたY)の1つの欠点は、これらのコーティングがフッ素系のケミストリと反応することである。例えば、Y(酸化イットリウム)プラズマ溶射保護コーティングは、フッ素系ケミストリにより引き起こされる侵食からチャンバコンポーネントを保護するために用いることができる。フッ素は酸化イットリウムと反応し、プラズマ溶射された保護コーティングの表面にフッ化イットリウムを形成する。即ち、プラズマ溶射された保護コーティングは、フッ素のいくらかのパーセンテージを吸収するであろう。プラズマ溶射保護コーティングによるフッ素の吸収は、エッチング反応に利用可能なフッ素の量を減少させる。これは、エッチングプロセスのエッチング速度を低下させる可能性がある。フッ素は、後で保護コーティングからスパッタされることができ、これは、将来のエッチングプロセスに利用可能なフッ素の量を増加させる可能性がある。従って、フッ素ケミストリを用いたエッチングプロセスのエッチング速度の安定性を低下させる可能性がある。
更に、酸化物コーティングのフッ素化(例えば、イットリアのフッ化イットリウムへの変換)は、体積膨張を伴う。体積膨張は、コーティングの表面に応力を生じさせ、更に表面にブリスタを生じさせる可能性がある。応力及び/又はブリスタは、粒子をコーティングから脱落させ、処理されたウエハ上での粒子汚染を引き起こす。
上記で提供された例は、本明細書の実施形態に記載されたMOF薄膜保護層の使用により性能を改善することができる少数のチャンバコンポーネントを示す。
再び図2を参照すると、物品200の本体205は、1つ以上の表面フィーチャ(例えば、メサ206)を含むことができる。静電チャックの場合、表面フィーチャは、メサ、シーリングバンド、ガスチャンネル、ヘリウムホール等を含むことができる。シャワーヘッドの場合、表面フィーチャは、ボンドライン、ガス分配のための数百又は数千のホール、ガス分配ホールの周りのディボット又はバンプ等を含むことができる。他のチャンバコンポーネントは、他の表面フィーチャを有することができる。
本体205に形成されたMOF薄膜保護層208は、本体205の表面フィーチャと形状一致させることができる。図示のように、MOF薄膜保護層208は、本体205の上面の相対的な形状を維持する(例えば、メサの形状の移送)。更に、MOF薄膜保護層208は、シャワーヘッドのホール又は静電チャックのHeホールを塞がないように十分に薄くすることができる。一実施形態において、MOF薄膜保護層208は約200ミクロン未満の厚さを有する。更なる実施形態において、MOF薄膜保護層208は50ミクロン未満の厚さを有する。一実施形態において、MOF薄膜保護層208は1〜30ミクロンの厚さを有する。一実施形態において、MOF薄膜保護層208は1〜15ミクロンの厚さを有する。
MOF薄膜保護層208は、イオンアシスト堆積(IAD)プロセス又は物理気相堆積(PVD)プロセスを用いて物品200の本体205上に形成することができる堆積されたセラミック層である。例えば、MOF薄膜保護層208は、スパッタ堆積又は蒸着により堆積することができる。更に、イオン又はラジカルは、イオンガン又はプラズマにより、スパッタリング又は蒸着中に注入することができる。IAD又はPVDにより堆積されたMOF薄膜保護層208は、比較的低い膜応力(例えば、プラズマ溶射により生じる膜応力と比較して)を有することができる。更に、IAD又はPVDにより堆積されたMOF薄膜保護層208は、1%未満、幾つかの実施形態において、約0.1%未満の多孔率を有することができる。IAD又はPVDにより堆積されたMOF薄膜保護層208は緻密な構造であり、チャンバコンポーネントに用いるのに性能上の利点を有することができる。更に、MOF薄膜保護層208は、堆積時及び継続使用後にクラックがない可能性がある。
IAD又はPVDにより堆積されたMOF薄膜保護層208は、本体205の上面を最初に粗面化することなく、又は、他の時間を浪費する表面準備ステップを実行することなく、堆積することができる。本体の粗面化は本体205の降伏電圧を低下させるので、本体205を最初に粗くすることなくMOF薄膜保護層208を適用する能力は、幾つかの用途(例えば、静電チャック)に有益である可能性がある。更に、MOF薄膜保護層208は非常に平滑であり、処理されたウエハの粒子欠陥及び金属汚染の両方を低減する。
MOF薄膜保護層208は、フッ素系ケミストリとの反応に対して耐性がある。従って、フッ素系プラズマ中のフッ素濃度は、エッチング及びクリーンプロセス中にほぼ一定に維持することができる。その結果、エッチング速度も、エッチング及びクリーンプロセス中も安定したままである。
MOF薄膜保護層208は、1つ以上の金属と、酸素と、フッ素を含む。一般に、金属は対応するMOと比較して、金属フッ化物の体積増加がある金属である。即ち、MOFの体積がMOの体積よりも大きい場合、金属はMOF薄膜保護層208での使用に適している。適切な金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム及びストロンチウムを含む。以下の表1は、幾つかの適切な金属についてMOと比較したMFの体積増加を示す。
MOF薄膜保護層208は、1つ以上の適切な金属を含むことができる。従って、MOF薄膜保護層208は、1つ以上のMOFを含むことができる。例えば、一実施形態において、MOF薄膜保護層208は、オキシフッ化イットリウムである。他の実施形態において、MOF薄膜保護層208は、オキシフッ化イットリウムとオキシフッ化ジルコニウムの組み合わせである。そのような実施形態において、MOF薄膜保護層208の金属は、約20〜30%のジルコニウムを含むことができる。
MOF薄膜保護層208は、MOF薄膜保護層208は実験式Mを有し、実験式は1分子単位のMOF薄膜保護層208を表す。添え字は、各構成金属、酸素又はフッ素原子の相対量を表す。従って、xは1分子単位のMOF薄膜保護層208の金属原子の数を表し、yは1分子単位のMOF薄膜保護層208の酸素原子の数を表し、zは1分子単位のMOF薄膜保護層208のフッ素原子の数を表す。
実験式は、金属の原子価数、又は、金属が酸素及びフッ素原子から受け入れることができる電子の数に依存する。各々の酸素分子は、典型的には、2つの電子に寄与し、各々のフッ素原子は、典型的には、1つの電子に寄与する。タイプに応じて、金属は最大4つの電子を受け入れることができる。従って、金属に応じて、yの値(即ち、酸素原子の数)は、xの値の0.1〜1.9倍の範囲であることができる。同様に、zの値(即ち、フッ素原子の数)は、xの値の0.1〜3.9倍の範囲であることができる。MOF薄膜保護層208の一実施形態はオキシフッ化イットリウムであり、YOFの実験式を有する(注:値が1である場合には添え字は省略される)。MOF薄膜保護層208の他の実施形態は、フッ化物濃度が低いオキシフッ化イットリウムである。このようなMOF薄膜は、例えばYO1.40.2の実験式を有することができる。このような構成では、平均して、イットリウム原子当たり1.4個の酸素原子と、イットリウム原子当たり0.2個のフッ素原子が存在する。逆に、MOF薄膜保護層208の一実施形態は、フッ化物濃度が高いオキシフッ化イットリウムである。このようなMOF薄膜は、例えばYO0.12.8の実験式を有することができる。このような構成では、平均して、イットリウム原子当たり0.1個の酸素原子と、イットリウム原子当たり2.8個のフッ素原子が存在する。
MOF薄膜中の酸素及びフッ素に対する金属の割合は、原子百分率で表すこともできる。例えば、+3の価数を有する金属の場合、10原子パーセントの最小酸素含有量は63原子パーセントの最大フッ素濃度に対応する。逆に、+3の価数を有する同じ金属の場合、10原子パーセントの最小フッ素含有量は52原子パーセントの最大酸素濃度に対応する。従って、+3の価数を有する金属の場合、MOF薄膜は、約27〜38原子%の金属(又は複数の金属)と、10〜52原子%の酸素と、約10〜63原子%のフッ素を有することができる。一実施形態において、MOF薄膜は、32−34原子%の金属(又は複数の金属)と、30−36原子%の酸素と、30−38原子%のフッ素を有する。
+2の価数を有する金属の場合、MOF薄膜は、約37〜48原子%の金属と、10〜43原子%の酸素と、約10−53原子%のフッ素を有することができる。一実施形態において、MOF薄膜は40〜45原子%の金属(又は複数の金属)と、20〜35原子%の酸素と、20〜40原子%のフッ素を有する。
+4の価数を有する金属の場合、MOF薄膜は、約22〜32原子%の金属と、約10〜58原子%の酸素と、約10原子%〜68原子%のフッ素を有することができる。一実施形態において、MOF薄膜は、26−28原子%の金属(又は複数の金属)と、30−42原子%の酸素と、30−44原子%のフッ素を有する。
MOF薄膜保護層208の反射率は、一実施形態において透明から、他の実施形態において不透明である範囲である。MOF薄膜保護層208は、約15℃〜500℃の有効動作温度範囲を有する。10ミクロンのMOF薄膜保護層の降伏電圧は、実施形態において、約500ボルトである。また、MOF薄膜保護層208は、対応するMFと比較すると、高い硬さの恩恵を受ける。例えば、YFは、2.74〜3.15ギガパスカル(GPa)の範囲のビッカース硬さを有する。YOFは3.15GPaより大きい硬さを有する。
イットリア(酸化イットリウム)のようなMOは構造的に結晶質であるが、対応するMF、即ちフッ化イットリウムは非晶質であってもよい。堆積に用いられる方法及び温度に応じて、MOF薄膜保護層208は、幾つかの実施形態において非晶質であり、他の実施形態において少なくとも部分的に結晶質であってもよい。異なる実施形態において利用可能な様々な格子構造にもかかわらず、MOF薄膜保護層208の構造は、一般的に、均一である。
図3A及び図3Bは、一般的に、様々な堆積技術(例えば、IAD又はPVD)に適用可能な堆積メカニズムを示す。例示的なIAD法は堆積プロセスを含み、堆積プロセスは、イオン衝突の存在下での蒸発(例えば、活性化反応性蒸発(ARE)又は電子ビームイオンアシスト堆積(EB−IAD))及びスパッタリング(例えば、イオンビームスパッタリングイオンアシスト堆積(IBS−IAD))等のイオン衝突を組み込み、本明細書に記載されるようなプラズマ耐性コーティングを形成する。EB−IADは蒸発により行うことができる。IBS−IADは、固体ターゲット材料(例えば、固体金属ターゲット)をスパッタリングすることにより行うことができる。IAD法のいずれも、反応性ガス種(例えば、O、N、ハロゲン等)の存在下で行うことができる。代替的に、PVDはイオンアシストなしで行うことができる。
図示のように、MOF薄膜保護層315は、エネルギー粒子303(例えば、イオン又はラジカル)の存在下で堆積材料302の蓄積により形成される。堆積材料302は、原子、イオン、ラジカル、又は、これらの混合物を含む。例えば、堆積材料302は、幾つかの実施形態において、スパッタされた又は蒸発した原子及び分子を含む。エネルギー粒子303は、MOF薄膜保護層315が形成される時に、衝突して圧縮するこができる。更に、エネルギー粒子303は堆積材料と相互作用し、MOF薄膜保護層315を形成することができる。
図3Bは、IAD堆積装置の概略図を示す。図示のように、材料源352は堆積材料302のフラックスを提供し、一方、エネルギー粒子源355はエネルギー粒子303のフラックスを提供し、両方ともIADプロセスを通して物品350に衝突する。エネルギー粒子源355は、酸素、フッ素、及び/又は、他の材料源(例えば、アルゴン)であってもよい。更に、複数の異なるエネルギー粒子源を用いることができる。例えば、酸素エネルギー粒子源及びフッ素エネルギー粒子源を用いることができる。堆積材料302を提供するために用いられる材料源(例えば、ターゲット本体)352は、薄膜保護層315が構成されるのと同じセラミックに対応するバルク焼結セラミックであってもよい。例えば、材料源352はバルク焼結YOFであってもよい。幾つかの実施形態において、材料源352は、MOF薄膜保護層315の材料の一部を提供することができる。例えば、材料源352は、MOF薄膜保護層315のための金属のみからなることができる。MOF薄膜保護層315が複数の金属(例えば、イットリウムとジルコニウム)を含む場合、材料源352は金属合金であってもよい。代替的に、2つの異なる金属材料源を用いることができる。このような実施形態において、粒子源355は、MOF薄膜保護層315のための酸素原子及びフッ素原子を提供する。他の例として、材料源352は、MF(例えば、バルク焼結YF)からなることができる。このような実施形態において、粒子源355はMOF薄膜保護層315のための酸素原子を提供する。MOF薄膜保護層315が複数の金属を含む場合、MF材料源352は両方の金属を含むフッ化物であってもよい。代替的に、2つの異なるMF材料源を用いることができる。更に他の例として、材料源352はMO(例えば、Y)からなることができる。このような実施形態において、粒子源355はMOF薄膜保護層315のためにフッ素原子を提供する。MOF薄膜保護層315が複数の金属を含む場合、MO材料源352は両方の金属を含む酸化物であってもよい。代替的に、2つの異なるMO材料源を用いることができる。
IADは、1つ以上のプラズマ又はビームを用い、材料及び高エネルギーのイオン源を提供することができる。また、反応種は、プラズマ耐性コーティングの堆積中に提供することができる。一実施形態において、エネルギー粒子303は、非反応性種(例えばAr)又は反応種(例えばO、F)の少なくとも1つを含む。また、更なる実施形態において、プラズマ耐性コーティングの形成中に反応種(例えば、CO及びハロゲン(Cl、F、Br等))を導入し、薄膜保護層315に最も弱く結合した堆積材料を選択的に除去する傾向を更に高めることができる。
IADプロセスでは、エネルギー粒子303は、他の堆積パラメータとは無関係に、エネルギーイオン(又は他の粒子)源355により制御することができる。エネルギーイオン束のエネルギー(例えば、速度)、密度及び入射角により、薄膜保護層の組成、構造、結晶配向及び粒径を操作することができる。調節することができる追加のパラメータは、堆積中の物品の温度と、堆積時間である。
イオンアシストエネルギーは、コーティングを高密度化し、基板の表面上への材料の堆積を加速するために用いられる。イオンアシストエネルギーは、イオン源の電圧と電流の両方を用いて変化させることができる。電圧及び電流は、高い及び低いコーティング密度を達成し、コーティングの応力及びコーティングの結晶性を操作するために調整することができる。イオンアシストエネルギーは、約50〜800V及び約1〜50アンペア(A)の範囲であってもよい。また、イオンアシストエネルギーは、コーティングの化学量論を意図的に変化させるために使用することができる。例えば、金属ターゲットを堆積中に用い、金属オキシフッ化物に変換することができる。
コーティング温度は、ヒータを使用して、堆積チャンバ及び/又は物品を加熱し、堆積速度を調整することにより制御することができる。堆積中の物品の温度は、低温(一実施形態において、約70〜150℃)と高温(一実施形態において、150℃以上)とに大別することができる。堆積温度は、膜応力、結晶化度及び他のコーティング特性を調節するために用いることができる。
作動距離は、電子ビーム(又はイオンビーム)ガンと物品との間の距離である。作動距離は、最高の均一性を有するコーティングを達成するために変えることができる。更に、作動距離は、コーティングの堆積速度及び密度に影響を与えることができる。
堆積角度は、電子ビーム(又はイオンビーム)と物品との間の角度である。堆積角度は、基板の位置及び/又は向きを変えることにより変えることができる。堆積角度を最適化することにより、三次元形状における均一なコーティングを達成することができる。
EB−IAD及びIBS−IAD堆積は、広範囲の表面条件において実現可能である。研磨された表面は、均一なコーティング範囲を達成するために優れている可能性がある。IAD堆積中に基板を保持するために様々な固定具を用いることができる。
図4は、MOF薄膜保護コーティングを形成するための方法400の一実施形態を示す。ブロック410において、MOF源材料が提供される。MOF源材料は、My_sourcez_sourceの実験式を有する。y_sourceはxの値の0.1〜1.9倍の値を有し、z_sourceはxの値の0.1〜3.9倍の値を有する。例えば、一実施形態において、源材料はYOFである。他の実施形態において、他のMOF源材料が用いられる。各々の実施形態において、MOFは、対応するMOと比較して体積増加を示す。即ち、MOFの体積がMOの体積よりも大きい場合、金属はMOF薄膜保護層208での使用に適している。適切な金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム及びストロンチウムを含む。
ブロック420において、MOF源材料はスパッタリング又は蒸着により堆積され、物品上にMOFコーティングを形成する。MOFコーティングは、My_coatingz_coatingの実験式を有し、y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有する。幾つかの実施形態において、My_sourcez_sourceとMy_coatingz_coatingは同じであり、y_sourceがy_coatingに等しく、z_sourceがz_coatingに等しい。他の実施形態において、My_coatingz_coatingは、ブロック420で、堆積中に酸素又はフッ化物イオン又はラジカルの1以上を導入することにより、My_sourcez_sourceに対して調整することができる。
堆積は、図3Bに示される装置を用いて行うことができる。物品は、図1に関して説明した半導体プロセスチャンバコンポーネントのいずれかであってもよい。例えば、物品は、アプラドマテリアルズ社により製造されたApplied Centris(商標名) Sym3(商標名)エッチングシステム、又は、アプライドマテリアルズ社により製造されたApplied Producer(商標名)エッチングシステムのいずれかのコンポーネントであってもよい。より一般的には、物品は、物品の浸食及び機能低下を引き起こす可能性のある高エネルギープラズマ又は反応性ガス環境に曝露されるいずれかの物品であってもよい。
ブロック420における堆積は、物品を15〜150℃に加熱することを更に含むことができる。幾つかの実施形態において、物品は堆積前に加熱され、MOFコーティングが物品上に形成され、次いで物品及びMOFコーティングが冷却される。更に、幾つかの実施形態において、ブロック420における堆積は真空チャンバ内で行われる。真空チャンバ内の圧力は、最初に、mTorrの分数(例えば、0.1mTorr)まで減圧されることができる。堆積のためのガスがチャンバに導入され、チャンバ圧力は0.1〜100mTorrに維持される。幾つかの実施形態において、得られるMOF薄膜保護コーティングは、1〜30ミクロンの厚さと、0.1%未満の多孔率を有する。幾つかの実施形態において、MOF薄膜保護コーティングは、20ミクロン未満の厚さを有する。他の実施形態において、MOF薄膜保護コーティングは、約10ミクロンの厚さを有する。
方法400の特定の実施形態において、ブロック410で、バルク焼結YOF源材料及び半導体プロセスチャンバコンポーネントが真空チャンバ内に提供される。ブロック420において、真空チャンバを密閉され、真空チャンバ内の圧力は約0.1mTorrに減圧される。半導体処理チャンバコンポーネントは、約150℃に加熱される。ブロック420において、YOF源材料は半導体プロセスチャンバコンポーネント上に蒸発され、薄膜保護層を形成する。代替的に、YOF源材料は半導体プロセスチャンバコンポーネント上にスパッタリングすることができる。薄膜の目標厚さに達すると、半導体プロセスチャンバコンポーネント及びYOF薄膜保護層は冷却される。
図5A〜図5Cは、MOF薄膜保護コーティングを形成するための方法500、520、540を示す。一般に、方法500、520、540は、金属含有源材料を提供し、反応性イオン及びラジカルの存在下で源材料をスパッタリング又は蒸発させ、物品上にMOF薄膜保護コーティングを形成することを含む。方法500、520、540は、真空チャンバ内で行うことができる。幾つかの実施形態において、真空チャンバは、mTorrの分数まで減圧され、堆積中、チャンバは0.1〜100mTorrに維持される。更に、幾つかの実施形態において、物品は15〜150℃まで加熱され、MOF薄膜保護コーティングが堆積された後に冷却される。
図5Aは、方法500を示す。ブロック505において、金属源材料が提供される。金属源材料は、図1に関して上述したように、MOF薄膜保護コーティングを形成するのに適した任意の金属であってもよい。例えば、適切な金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム及びストロンチウム、又はそれらの合金又は組み合わせを含む。ブロック510において、金属源材料は、図3Bに示される装置により達成することができるように、スパッタリング又は蒸発される。ブロック515において、酸素及びフッ素イオン又はラジカルが、スパッタされた又は蒸発させられた金属源材料に導入されて、半導体プロセスチャンバコンポーネントのような物品上にMOF薄膜保護コーティングを形成する。幾つかの実施形態において、酸素及びフッ素イオン又はラジカルを導入することは、スパッタされた又は蒸着された金属に酸素及びフッ素イオン又はラジカルを衝突させること(例えば、イオンガン、又はMOF薄膜保護コーティングが堆積される物品の近傍での高エネルギープラズマの形成等)を含む。得られたMOF薄膜保護コーティングは、実験式My_coatingz_coatingを有し、y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有する。更に、コーティングは1〜30ミクロンの厚さ、及び0.1%未満の多孔率を有する。
図5Bは、方法520を示す。ブロック525において、実験式Mz_sourceを有する金属フッ化物源材料が提供される。Z_sourceの値は、xの値の0.1〜4倍である。金属は、方法500に関して記載したような任意の金属であってもよい。一実施形態において、源材料はYFである。ブロック530において、金属フッ化物源材料は、図3Bに示される装置により達成することができるように、スパッタリング又は蒸発される。ブロック535において、酸素イオン又はラジカルが、スパッタされた又は蒸発した金属フッ化物源材料に導入され、半導体プロセスチャンバコンポーネントのような物品上にMOF薄膜保護コーティングを形成する。幾つかの実施形態において、酸素イオン又はラジカルを導入することは、スパッタリングされた又は蒸着された金属フッ化物に酸素イオン又はラジカルを衝突させること(例えば、イオンガンにより、又はMOF薄膜保護コーティングが堆積されている物品の表面の近傍での高エネルギープラズマの形成により)を含む。得られたMOF薄膜保護コーティングは、実験式My_coatingz_coatingを有し、y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有する。更に、コーティングは、1〜30ミクロンの厚さ、及び0.1%未満の多孔率を有する。
図5Cは、方法540を示す。ブロック545において、実験式My_sourceを有する金属酸化物源材料が提供され、ここで、y_sourceはxの値の0.1〜2倍の値を有する。金属は、方法500に関して記載したような任意の金属であってもよい。一実施形態において、源材料はYである。ブロック550において、金属酸化物源材料は、図3Bに示される装置により達成することができるように、スパッタリング又は蒸発される。ブロック555で、フッ素イオン又はラジカルをスパッタリング又は蒸着された金属フッ化物源材料に導入し、MOF薄膜保護コーティングを半導体処理チャンバ部品のような物品上に形成する。幾つかの実施形態において、フッ素イオン又はラジカルを導入することは、スパッタリングされた又は蒸着された金属フッ化物にフッ素イオン又はラジカルを衝突させること(例えば、イオンガンにより、又はMOF薄膜保護コーティングが堆積される物品の表面の近傍での高エネルギープラズマの形成により)を含む。得られたMOF薄膜保護コーティングは、実験式My_coatingz_coatingを有し、ここで、y_coatingがxの値の0.1〜1.9倍の値を有し、z_coatingがxの値の0.1〜3.9倍の値を有する。更に、コーティングは、1〜30ミクロンの厚さ及び0.1%未満の多孔率を有する。
前述の説明は、本発明の幾つかの実施形態の良好な理解を提供するために、特定のシステム、コンポーネント、方法等の例のような多数の具体的な詳細を述べている。本発明の少なくとも幾つかの実施形態は、これらの特定の詳細なしで実施することができることは、当業者には明らかであろう。他の例では、本発明を不必要に不明瞭にすることを避けるために、周知のコンポーネント又は方法は詳細には記載されていないか、又は単純なブロック図形式で示されている。従って、記載された特定の詳細は単なる例示である。特定の実施形態はこれらの例示的な詳細と異なってもよく、依然として本発明の範囲内にあると考えられる。
本明細書を通じて、「一実施形態」又は「実施形態」は、実施形態に関連して説明した特定のフィーチャ、構造、又は特性が少なくとも1つの実施形態に含まれることを意味する。従って、本明細書の様々な箇所における「一実施形態において」又は「実施形態で」という表現の出現は、必ずしもすべて同じ実施形態を指しているとは限らない。更に、「又は」という用語は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。「約」又は「およそ」という用語が本明細書で用いられる場合、これは提示される名目値が±10%以内で正確であることを意図している。
本明細書の方法のオペレーションは特定の順序で示され説明されているが、各々の方法のオペレーションの順序は変更されてもよく、特定のオペレーションが逆の順序で実行されてもよく、また、特定のオペレーションが、少なくとも部分的に、他のオペレーションと並行して実行されてもよい。他の実施形態において、別個のオペレーションのインストラクション又はサブオペレーションは、間欠的及び/又は交互の方法であってもよい。
上記の説明は例示的なものであり、限定的なものではないと理解すべきである。上記の説明を読んで理解すれば、多くの他の実施形態が当業者には明らかであろう。本発明の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が権利を与える均等物の全範囲とともに決定されるべきである。

Claims (20)

  1. 薄膜であって、
    の実験式を有する金属オキシフッ化物であって、Mは金属であり、yはxの値の0.1〜1.9倍の値を有し、zはxの値の0.1〜3.9倍の値を有する金属オキシフッ化物を含み、
    薄膜は1〜30ミクロンの厚さと、0.1%未満の多孔率を有する薄膜。
  2. 金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム又はストロンチウムの少なくとも1つを含む、請求項1記載の薄膜。
  3. 薄膜は、半導体処理装置用のチャンバコンポーネントの少なくとも1つの表面をコーティングする請求項1記載の薄膜。
  4. 金属は2価であり、金属オキシフッ化物は、約37〜48原子%の金属と、約10〜43原子%の酸素と、約10〜53原子%のフッ素を含む請求項1記載の薄膜。
  5. 金属は3価であり、金属オキシフッ化物は、約27〜38原子%の金属と、約10〜52原子%の酸素と、約10〜63原子%のフッ素を含む請求項1記載の薄膜。
  6. 金属は4価であり、金属オキシフッ化物は、約22〜32原子%の金属と、約10〜58原子%の酸素と、約10〜68原子%のフッ素を含む請求項1記載の薄膜。
  7. 実験式My_sourcez_sourceを有する金属オキシフッ化物源材料を提供する工程であって、y_sourceがxの値の0.1〜1.9倍の値を有し、z_sourceがxの値の0.1〜3.9倍の値を有する工程と、
    金属オキシフッ化物源材料のスパッタ蒸着又は蒸着の1つを実行し、物品上に金属オキシフッ化物コーティングを形成する工程であって、金属オキシフッ化物コーティングはMy_coatingz_coatingの実験式を有し、y_coatingはxの値の0.1〜1.9倍の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有し、金属オキシフッ化物コーティングが1〜30ミクロンの厚さと、0.1%未満の多孔率を有する方法。
  8. 金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム又はストロンチウムの少なくとも1つを含む請求項7記載の方法。
  9. 物品は半導体プロセスチャンバコンポーネントを含む請求項7記載の方法。
  10. 物品を15〜150℃に加熱する工程を更に含む請求項7記載の方法。
  11. スパッタ蒸着又は蒸着は0.1〜100mTorrの圧力を有するチャンバ内で実行される請求項7記載の方法。
  12. 金属を含む源材料を提供する工程と、
    源材料のスパッタ堆積又は蒸着のうちの1つを実行し、物品上に金属オキシフッ化物コーティングを形成する工程であって、
    スパッタ堆積又は蒸着中に、スパッタされた又は蒸発された源材料に酸素イオン若しくはラジカル、又はフッ素イオン若しくはラジカルの少なくとも1つを導入する工程を含み、物品上に形成された金属オキシフッ化物皮膜が実験式My_coatingz_coatingを有し、y_coatingはxの値の0.1〜1.9の値を有し、z_coatingはxの値の0.1〜3.9倍の値を有し、金属オキシフッ化物コーティングが1〜30ミクロンの厚さ及び0.1%未満の多孔率を有する方法。
  13. 源材料は、実験式My_sourceを有する金属酸化物を含み、y_sourceがxの値の0.1〜2倍の値を有する請求項12記載の方法。
  14. 源原料は、実験式Mz_sourceを有する金属フッ化物を含み、z_sourceは、xの値の0.1〜4倍の値を有する請求項12記載の方法。
  15. 金属は、イットリウム、ガドリニウム、アルミニウム、セリウム、ジスプロシウム、ジルコニウム、カルシウム、マグネシウム、エルビウム、ランタン、ネオジム、イッテルビウム又はストロンチウムの少なくとも1つを含む請求項12記載の方法。
  16. スパッタされた又は蒸発された源材料に酸素イオン若しくはラジカル、又はフッ素イオン若しくはラジカルの少なくとも1つを導入する工程は、物品、又はスパッタされた若しくは蒸発された源材料の少なくとも1つと、酸素イオン若しくはラジカル、又はフッ素イオン若しくはラジカルを衝突させることを含む請求項12記載の方法。
  17. 衝突は物品に隣接するプラズマを形成することを含み、プラズマは、酸素イオン若しくはラジカル、又はフッ素イオン及びラジカルを含む請求項16記載の方法。
  18. 物品を15〜150℃の範囲で加熱することを更に含む請求項12記載の方法。
  19. スパッタリング又は蒸着は、0.1〜100mTorrの圧力を有するチャンバ内で行われる請求項12記載の方法。
  20. a)金属は2価であり、金属オキシフッ化物は約37〜48原子%の金属と、約10〜43原子%の酸素と、約10〜53原子%のフッ素を含むか、
    b)金属は3価であり、金属オキシフッ化物は約27〜38原子%の金属と、約10〜52原子%の酸素と、約10〜63原子%のフッ素を含むか、
    c)金属は4価であり、金属オキシフッ化物は約22〜32原子%の金属と、約10〜58原子%の酸素と、約10〜68原子%のフッ素を含むかのいずれかである請求項12記載の方法。
JP2018557814A 2016-05-03 2017-05-02 保護金属オキシフッ化物コーティング Active JP7046005B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022044974A JP2022084788A (ja) 2016-05-03 2022-03-22 保護金属オキシフッ化物コーティング

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662331326P 2016-05-03 2016-05-03
US62/331,326 2016-05-03
US15/498,383 2017-04-26
US15/498,383 US11572617B2 (en) 2016-05-03 2017-04-26 Protective metal oxy-fluoride coatings
PCT/US2017/030690 WO2017192622A1 (en) 2016-05-03 2017-05-02 Protective metal oxy-fluoride coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022044974A Division JP2022084788A (ja) 2016-05-03 2022-03-22 保護金属オキシフッ化物コーティング

Publications (2)

Publication Number Publication Date
JP2019515139A true JP2019515139A (ja) 2019-06-06
JP7046005B2 JP7046005B2 (ja) 2022-04-01

Family

ID=60203266

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018557814A Active JP7046005B2 (ja) 2016-05-03 2017-05-02 保護金属オキシフッ化物コーティング
JP2022044974A Pending JP2022084788A (ja) 2016-05-03 2022-03-22 保護金属オキシフッ化物コーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022044974A Pending JP2022084788A (ja) 2016-05-03 2022-03-22 保護金属オキシフッ化物コーティング

Country Status (6)

Country Link
US (2) US11572617B2 (ja)
JP (2) JP7046005B2 (ja)
KR (2) KR20230011489A (ja)
CN (2) CN109075084B (ja)
TW (3) TWI797079B (ja)
WO (1) WO2017192622A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021090053A (ja) * 2019-12-04 2021-06-10 アドバンスド マイクロ−ファブリケーション エクウィップメント インコーポレイテッド チャイナ 耐プラズマコーティング層を形成する方法、装置、部品及びプラズマ処理装置
JP7489905B2 (ja) 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7122854B2 (ja) * 2018-04-20 2022-08-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置用部材、またはプラズマ処理装置の製造方法およびプラズマ処理装置用部材の製造方法
JP2022514171A (ja) * 2018-10-19 2022-02-10 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7427031B2 (ja) * 2019-05-22 2024-02-02 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR102277819B1 (ko) * 2019-07-08 2021-07-15 세메스 주식회사 반도체 공정 부품, 상기 반도체 공정 부품을 코팅하는 장치 및 방법
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR102462695B1 (ko) 2019-12-23 2022-11-04 주식회사 히타치하이테크 플라스마 처리 장치의 부품의 제조 방법 및 부품의 검사 방법
US20210317572A1 (en) * 2020-04-14 2021-10-14 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
CN112725762A (zh) * 2020-12-18 2021-04-30 华虹半导体(无锡)有限公司 薄膜沉积工艺腔的腔内沉积薄膜清洁方法
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936653A (en) * 1988-06-02 1990-06-26 Santa Barbara Research Center Cerium oxyfluoride antireflection coating for group II-VI photodetectors and process for forming same
JPH08134637A (ja) * 1994-11-14 1996-05-28 Olympus Optical Co Ltd 光学薄膜の製造方法
JP2001035382A (ja) * 1998-06-30 2001-02-09 Mitsubishi Materials Corp Fpd用保護膜及びその製造方法並びにこれを用いたfpd
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP2014009361A (ja) * 2012-06-27 2014-01-20 Nippon Yttrium Co Ltd 溶射材料及びその製造方法
US20150307982A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
KR100899311B1 (ko) * 1998-12-10 2009-05-27 미쓰비시 마테리알 가부시키가이샤 Fpd 용 보호막 및 그 제조방법 그리고 이것을 사용한 fpd
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6756160B2 (en) 2001-04-19 2004-06-29 E.I. Du Pont De Nemours. And Company Ion-beam deposition process for manufacturing attenuated phase shift photomask blanks
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2007063070A (ja) 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd 耐プラズマ性イットリア焼結体の製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7674751B2 (en) 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
KR101344990B1 (ko) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
JP4905697B2 (ja) 2006-04-20 2012-03-28 信越化学工業株式会社 導電性耐プラズマ部材
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
EP1992430A1 (en) 2007-05-15 2008-11-19 Treibacher Industrie AG Yttria-based refractory composition
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20100035036A1 (en) 2008-08-08 2010-02-11 Mccloy John S Durable antireflective multispectral infrared coatings
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
CN102296263B (zh) 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20140154510A1 (en) * 2011-07-11 2014-06-05 Panasonic Corporation Film structure and method for producing same
JP2013082954A (ja) 2011-10-06 2013-05-09 National Central Univ 純金属ターゲットで反応性スパッタリング方法を用いて作製されたフッ化物及びフッ素をドープした酸化物薄膜
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2013158829A1 (en) 2012-04-19 2013-10-24 Research Triangle Institute Modification of ceramic surfaces
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140147594A1 (en) 2012-11-27 2014-05-29 Intermolecular Inc. Magnesium Fluoride and Magnesium Oxyfluoride based Anti-Reflection Coatings via Chemical Solution Deposition Processes
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6366263B2 (ja) 2013-12-13 2018-08-01 キヤノン株式会社 光学多層膜、光学レンズ及び光学多層膜の製造方法
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
KR101867322B1 (ko) 2015-03-05 2018-06-15 닛폰 이트륨 가부시키가이샤 소결용 재료 및 소결용 재료를 제조하기 위한 분말
EP3271494A1 (en) 2015-03-18 2018-01-24 Entegris, Inc. Articles coated with fluoro-annealed films
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936653A (en) * 1988-06-02 1990-06-26 Santa Barbara Research Center Cerium oxyfluoride antireflection coating for group II-VI photodetectors and process for forming same
JPH08134637A (ja) * 1994-11-14 1996-05-28 Olympus Optical Co Ltd 光学薄膜の製造方法
JP2001035382A (ja) * 1998-06-30 2001-02-09 Mitsubishi Materials Corp Fpd用保護膜及びその製造方法並びにこれを用いたfpd
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP2014009361A (ja) * 2012-06-27 2014-01-20 Nippon Yttrium Co Ltd 溶射材料及びその製造方法
US20150307982A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021090053A (ja) * 2019-12-04 2021-06-10 アドバンスド マイクロ−ファブリケーション エクウィップメント インコーポレイテッド チャイナ 耐プラズマコーティング層を形成する方法、装置、部品及びプラズマ処理装置
JP7063975B2 (ja) 2019-12-04 2022-05-09 アドバンスド マイクロ-ファブリケーション エクウィップメント インコーポレイテッド チャイナ 耐プラズマコーティング層を形成する方法、装置、部品及びプラズマ処理装置
JP7489905B2 (ja) 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置

Also Published As

Publication number Publication date
CN109075084A (zh) 2018-12-21
KR20180132949A (ko) 2018-12-12
TW202246549A (zh) 2022-12-01
JP2022084788A (ja) 2022-06-07
TWI789688B (zh) 2023-01-11
KR102487562B1 (ko) 2023-01-10
US11572617B2 (en) 2023-02-07
TWI797079B (zh) 2023-04-01
WO2017192622A1 (en) 2017-11-09
CN116083864A (zh) 2023-05-09
US20170323772A1 (en) 2017-11-09
TW202132593A (zh) 2021-09-01
KR20230011489A (ko) 2023-01-20
US20230141782A1 (en) 2023-05-11
CN109075084B (zh) 2023-02-14
JP7046005B2 (ja) 2022-04-01
TW201807223A (zh) 2018-03-01

Similar Documents

Publication Publication Date Title
US20230141782A1 (en) Protective metal oxy-fluoride coatings
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10563297B2 (en) Ion assisted deposition top coat of rare-earth oxide
US9633884B2 (en) Performance enhancement of coating packaged ESC for semiconductor apparatus
US20150311043A1 (en) Chamber component with fluorinated thin film coating
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
US11661650B2 (en) Yttrium oxide based coating composition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200501

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220322

R150 Certificate of patent or registration of utility model

Ref document number: 7046005

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150