TWI789688B - 具有保護性塗層的物件 - Google Patents

具有保護性塗層的物件 Download PDF

Info

Publication number
TWI789688B
TWI789688B TW110101890A TW110101890A TWI789688B TW I789688 B TWI789688 B TW I789688B TW 110101890 A TW110101890 A TW 110101890A TW 110101890 A TW110101890 A TW 110101890A TW I789688 B TWI789688 B TW I789688B
Authority
TW
Taiwan
Prior art keywords
metal
atomic
article
thin film
mof
Prior art date
Application number
TW110101890A
Other languages
English (en)
Other versions
TW202132593A (zh
Inventor
大衛 芬威克
正性 李
語南 孫
益凱 陳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202132593A publication Critical patent/TW202132593A/zh
Application granted granted Critical
Publication of TWI789688B publication Critical patent/TWI789688B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/082Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Glass Compositions (AREA)
  • Materials For Medical Uses (AREA)
  • Wrappers (AREA)
  • Paints Or Removers (AREA)
  • Laminated Bodies (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)

Abstract

一種包含具有保護性塗層之主體的物件。此保護性塗層是包含金屬氟氧化物的薄膜。此金屬氟氧化物具有MxOyFz之實驗式,其中M是金屬,y具有值為0.1至1.9倍的x值,z具有值為0.1至3.9倍的x值。此保護性塗層具有1至30微米的厚度與小於0.1%之孔隙度。

Description

具有保護性塗層的物件
本發明的具體例大體上關於保護性金屬氟氧化物塗層,其可用於半導體處理腔室部件上,與關於用於製造可被用於半導體處理腔室部件上的保護性金屬氟氧化物塗層的方法。
半導體晶圓製造處理在腔室中執行,在腔室中腔室部件暴露至高溫、高能電漿、腐蝕氣體的混合物、高應力、及前述的結合。腔室部件藉由保護性塗層可屏蔽掉這些極端狀況。金屬氧化物經常用於塗佈腔室部件,由於金屬氧化物對於來自電漿蝕刻化學品的腐蝕抵抗性。在暴露至氟類化學品的晶圓處理期間,金屬氧化物塗層轉化為金屬氟化物。金屬氧化物轉化成金屬氟化物經常伴隨體積膨脹,增加塗層上的應力。例如,一莫耳的Y2O3(氧化釔)轉化成兩莫耳的YF3(氟化釔)具有理論體積膨脹為約60%。由金屬氧化物轉變成金屬氟化物造成的體積膨脹與增加應力會造成腔室部件起泡(blister)及/或脫落粒子,導致缺陷於經處理晶圓。
以下描述一種薄膜。此薄膜包括金屬氟氧化物(MOF),具有實驗式為Mx Oy Fz 。M代表金屬元素;y具有值為0.1至1.9倍的x值,與z具有值為0.1至3.9倍的x值。此MOF薄膜具有厚度為1至30微米與孔隙度為小於0.1%。此MOF薄膜的一範例實施例包括用於半導體處理設備的腔室部件的表面上的保護性塗層。
以下亦敘述數種方法。一種方法包括提供MOF來源材料,具有實驗式為Mx Oy_ 來源 Fz_ 來源 。y_來源具有值為0.1至1.9倍的x值,及z_來源具有值為0.1至3.9倍的x值。MOF來源材料藉由濺射沉積或蒸鍍被沉積在物件上,以在物件上形成MOF塗層。例如,此物件可包括半導體處理腔室部件。類似於MOF來源材料,在物件上的MOF塗層具有實驗式為Mx Oy_ 塗層 Fz_ 塗層 。y_塗層具有值為0.1至1.9倍的x值,及z_塗層具有值為0.1至3.9倍的x值。MOF塗層的特徵包括厚度為1至30微米與孔隙度小於0.1%。
第二種方法包括提供來源材料與藉由濺射沉積或蒸鍍沉積而沉積來源材料於物件上。此物件可包括一或更多的半導體處理腔室部件。在此第二方法的一例子中,來源材料是金屬。氧與氟的離子或自由基在沉積期間被引導至濺射的或蒸鍍的金屬,且MOF塗層形成在物件上。在此第二方法的另一例子中,來源材料是金屬氧化物(MO),而氟的離子或自由基在沉積期間被引導至濺射的或蒸鍍的金屬氧化物,形成MOF塗層於物件上。在此第二方法的第三例子中,來源材料是金屬氟化物(MF),而氧的離子或自由基在沉積期間被引導至濺射的或蒸鍍的MF,以形成MOF塗層於物件上。此MOF塗層具有實驗式為Mx Oy_ 塗層 Fz_ 塗層 。y_塗層具有值為0.1至1.9倍的x值,及z_塗層具有值為0.1至3.9倍的x值。MOF塗層具有厚度為1至30微米與孔隙度小於0.1%。
如上所述,金屬氧化物保護性層可被用在物件上以保護那些物件免於暴露至電漿。金屬氧化物塗層當暴露至氟類化物品時轉化為金屬氟化物,且此轉化伴隨著體積膨脹與經處理晶圓上之粒子缺陷。氟類化學品通常用於執行基板的電漿蝕刻。
本文所述為金屬氟氧化物(MOF)保護性塗層的具體例,其抵抗與氟化學品反應。本文所述的MOF塗層相較於MO塗層顯著地降低塗層的加氟。此外,MOF塗層可具有的熱膨脹係數相較於MF塗層的熱膨脹係數更加接近匹配於腔室部件的熱膨脹係數。這些特徵可改善用於半導體製造環境中的物件的塗層的腐蝕抵抗性,並降低歸因於保護性塗層的粒子缺陷。具有這些特徵的薄膜MOF組成物及用於形成MOF塗層的數種方法在之後描述。
薄膜的範例具體例包括具有實驗式為Mx Oy Fz 的MOF,其中M是通常具有正價組態的金屬,O是氧,而F是氟。這些下標代表各分別原子相對於其他原子的數目。例如,y的值為0.1至1.9倍的x值,而z的值是0.1至3.9倍的x值。在任何情況下,所有下標的值結合各分別原子的價數可為平衡的,使得薄膜是電中性的。此外,薄膜的具體例具有1至30微米的厚度與小於0.1%的孔隙度。
數種方法能用於製造具有上述益處的薄膜塗層。例如,一種方法包括提供MOF來源材料,具有與目標塗層相同的實驗式。此來源材料接著藉由濺射沉積或蒸鍍沉積被沉積在物件上。在另一種方法中,來源材料是金屬。此金屬在氧與氟的離子或自由基(諸如那些藉由電漿或由離子槍發射所形成的)存在的情況下被濺射或蒸鍍於物件上。在任一情況下,當濺射的來源材料沉積在物件上,離子或自由基轟擊濺射的來源材料,並與金屬結合而形成MOF塗層於物件上。
一種方法包括提供MF來源材料。此來源材料被濺射或蒸鍍於物件上,且此來源材料積累在物件上時,被氧的離子或自由基所轟擊。因此,在物件上形成MOF塗層。或者,另一種方法包括提供MO來源材料並濺射或蒸鍍此來源材料於物件上。此來源材料積累在物件上時,被氟的離子或自由基所轟擊,形成MOF塗層。
藉由濺射或蒸鍍沉積來沉積塗層的益處是能達到小於0.1%的孔隙度。應認知到達成此益處的其他沉積方法被當作濺射或蒸鍍沉積的等效物。
用語「電漿抵抗材料」代表抵抗由於暴露至電漿處理狀況的侵蝕或腐蝕的材料。此電漿處理狀況包括一種電漿,由含鹵素氣體所產生,諸如C2 F6 、SF6 、SiCl4 、HBR、NF3 、CF4 、CHF3 、CH2 F3 、F、 NF3 、Cl2 、CCl4 、BCl3 與SiF4 、等等,與其他氣體,諸如 O2 或N2 O。此材料對電漿的抵抗性是藉由量測在整個塗佈部件的操作與暴露至電漿的期間之「蝕刻速率(ER)」,蝕刻速率(ER)可具有單位為埃/分(Å/min)。電漿抵抗性亦可藉由具有單位為奈米/射頻小時(nm/RFHr)之腐蝕速率被量測,其中一RFHr代表在電漿處理狀況下的一小時處理。量測可在不同處理次數後實施。例如,量測可在處理前實施、在50處理小時後實施、在150處理小時後實施、在200處理小時後實施、等等。低於約100 nm/RFHr的腐蝕速率為典型的電漿抵抗塗層材料。單一電漿抵抗材料可具有多種不同電漿抵抗性或腐蝕速率值。例如,電漿抵抗材料可具有關於第一種電漿之第一電漿抵抗性或腐蝕速率,與關於第二種電漿之第二電漿抵抗性或腐蝕速率。
當本文中使用用語「約」與「大約」時,其意於表示所呈現的標示值的準確度在±10%內。本文所述的某些具體例參照安裝在用於半導體製造的電漿蝕刻器中的腔室部件與其他物件。應注意到此電漿蝕刻器亦可被用於製造微機電系統(MEMS)裝置。此外,本文所述的物件可為暴露至電漿的其他結構。本文所述的物件可為用於諸如半導體處理腔室之處理腔室的腔室部件。例如,此物件可為用於電漿蝕刻器、電漿清洗器、電漿推進系統、或其他處理腔室之腔室部件。處理腔室可用於處理,其中提供具有電漿處理狀況的腐蝕電漿環境。例如,處理腔室可為用於電漿蝕刻器或電漿蝕刻反應器、電漿清洗器、等等的腔室。腔室部件的例子包括基板支撐組件、靜電夾盤(ESC)、環(例如處理套件環或單一環)、腔室壁、基底、氣體分配板、噴淋頭、噴嘴、蓋、襯墊、襯墊套件、盾、電漿屏、流量均衡器、冷卻基底、腔室視埠、腔室蓋、等等。
再者,本文所述的具體例參照當被用於富含電漿處理的處理腔室中時造成減少粒子污染的陶瓷物件。應理解到本文所述的陶瓷物件亦可提供減少粒子污染於被使用於其他處理的處理腔室中,諸如非電漿蝕刻器、非電漿清洗器、化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、電漿增強物理氣相沉積(PEPVD)腔室、電漿增強原子層沉積(PEALD)腔室、等等。
圖1 是半導體處理腔室100的剖面視圖,處理腔室100具有被塗佈根據本發明的具體例之MOF薄膜保護性層的一或更多腔室部件。處理腔室100可用於其中提供氟類腐蝕性電漿環境的處理。例如,處理腔室100可為用於電漿蝕刻器或電漿蝕刻反應器、電漿清洗器、等等的腔室,其使用氟類化學品以蝕刻及/或清洗。可包括MOF薄膜保護性層的腔室部件的例子包括基板支撐組件148、靜電夾盤(ESC)、環(例如處理套件環或單一環)、腔室壁、基底、氣體分配板、噴淋頭、襯墊、襯墊套件、盾、電漿屏、流量均衡器、冷卻基底、腔室視埠、腔室蓋、面板、選擇性調控裝置(SMD)、等等。此MOF薄膜保護性層(在之後更詳細描述)可包括釔氟氧化物或其他金屬氟氧化物。
在一具體例中,處理腔室100包括腔室主體102與噴淋頭130,其圍住內部容積106。或者,噴淋頭130在某些具體例中可被蓋或噴嘴所取代。腔室主體102可由鋁、不鏽鋼或其他合適材料所製成。腔室主體102通常包括側壁108與底部110。噴淋頭130(或蓋及/或噴嘴)、側壁108及/或底部110的任一者可包括MOF薄膜保護性層。
外部襯墊116可安置鄰接側壁108以保護腔室主體102。外部襯墊116可被製造及/或塗佈具有MOF薄膜保護性層。在一具體例中,外部襯墊116由氧化鋁所製成。
排氣口126可被限定在腔室主體102中,且可將內部容積106耦接至泵系統128。泵系統128可包括一或更多泵及節流閥,用於抽空與調節處理腔室100的內部容積106的壓力。
噴淋頭130可被支撐在側壁108上及/或腔室主體102的頂上。噴淋頭130(或蓋)可被打開以容許進入處理腔室100的內部容積106,且當關閉時可提供密封處理腔室100。氣體控制板158可耦接至處理腔室100以提供處理及/或清洗氣體透過噴淋頭130或蓋與噴嘴至內部容積106。噴淋頭130被用於進行介電質蝕刻(蝕刻介電材料)的處理腔室。噴淋頭130可包括氣體分配板(GDP),具有貫穿GDP的複數氣體傳送孔洞132。噴淋頭130可包括GDP接合至噴淋頭基底,其可為鋁基底或陽極化鋁基底。此GDP可由Si或SiC所製造,或可為諸如Y2O3、Al2O3、Y3Al5O12(YAG)、等等的陶瓷。如圖示,噴淋頭130包括MOF塗層152於噴淋頭130的表面上。
在用於導體蝕刻(蝕刻傳導材料)的處理腔室,可使用一蓋而非一噴淋頭。此蓋可包括吻合此蓋中央孔洞的中央噴嘴。此蓋可為陶瓷,諸如Al2O3、Y2O3、YAG、或包含Y4 Al2 O9 與Y2 O3 -ZrO2 的固溶體的陶瓷化合物。此噴嘴也可為陶瓷,諸如Y2 O3 、YAG、或包含Y4 Al2 O9 與Y2 O3 -ZrO2 的固溶體的陶瓷化合物。此蓋、噴淋頭130及/或噴嘴可被塗佈具有MOF薄膜保護性層。
可用於處理在處理腔室100中的基板的氟類處理氣體的例子包括含鹵素氣體,諸如C2 F6 、SF6 、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、與SiF4 、等等。亦可使用的載體氣體的例子包括N2 、He、Ar、與對處理氣體為惰性的其他氣體(例如非反應性氣體)。
在某些具體例中,處理腔室100可包括面板及/或選擇性調控裝置(SMD),其可定位在噴淋頭上方。面板與SMD為用於提供遠端電漿至處理腔室100的部件。面板與SMD可由鋁(例如鋁6061)或其他金屬所製造。在某些例子中,面板與SMD具有電漿噴塗的保護性塗層,諸如用於腐蝕保護的Y2 O3 塗層。額外地或可替換地,面板與SMD可具有用於腐蝕保護的MOF薄膜塗層。這些部件可被用於例如當處理腔室是遠端電漿腔室(例如選擇性移除產品(SRP)腔室)。在操作中,面板與SMD之間有低強度電漿,而自由基會透過它們到達晶圓進行選擇性蝕刻。此SMD調整電漿的選擇性。
基板支撐組件148安置在處理腔室100的內部容積106中,於噴淋頭130或蓋的下方。基板支撐組件148包括陶瓷靜電夾盤,其在處理期間固持基板144,而冷卻基板耦接至此靜電夾盤。內部襯墊(未圖示)可被塗佈在基板支撐組件148的周圍上。內部襯墊可為抵抗含鹵素氣體材料,諸如那些參照外部襯墊116所論述的材料。在一具體例中,內部襯墊可由與外部襯墊116相同的材料所製造。此外,內部襯墊可被塗佈具有MOF薄膜保護性層。
圖2 繪示被為電漿抵抗性的MOF薄膜保護性層所覆蓋的物件(例如腔室部件)的剖面側視圖。物件200的基底或主體205的至少一部分被MOF薄膜保護性層208所塗佈。物件200可為腔室部件,諸如基板支撐組件、靜電夾盤(ESC)、環(例如處理套件環或單一環)、腔室壁、基底、氣體分配板或噴淋頭、襯墊、襯墊套件、盾、電漿屏、流量均衡器、冷卻基底、腔室視埠、腔室蓋、面板、SMD、等等。物件200的主體205可為金屬、陶瓷、金屬-陶瓷複合物、聚合物、或聚合物-陶瓷複合物。在一具體例中,物件200的主體205是鋁合金(例如6061鋁)或不鏽鋼。在另一具體例中,物件200的主體205是陶瓷材料,諸如Al2 O3 、Y2 O3 、AlN、SiO2 、等等。在另一具體例中,物件200的主體205是聚合物類材料,諸如Kapton®、Teflon®、等等。
各種腔室部件是由不同材料所構成。例如,靜電夾盤可由陶瓷所構成,諸如Al2 O3 (氧化鋁)、AlN (氮化鋁)、TiO (氧化鈦)、TiN (氮化鈦)或SiC (碳化矽),接合至陽極化鋁基底。Al2 O3 、AlN與陽極化鋁具有不佳的電漿腐蝕抵抗性。當暴露至具有氟化學品的電漿環境時,靜電夾盤的靜電圓盤會表現出降級的晶圓夾持、增加的He洩漏率、晶圓前側與背側的粒子產生及晶圓上金屬污染,在約50射頻小時(RFHr)的處理之後。一射頻小時是一小時的處理。
用於導體蝕刻處理的電漿蝕刻器的蓋可為燒結陶瓷,諸如Al2 O3 ,由於Al2 O3 具有高撓曲強度與高熱傳導性。暴露至氟化學品的Al2 O3 形成AlF粒子,及鋁金屬污染於晶圓上。
用於執行介電質蝕刻處理的蝕刻器的噴淋頭通常是由接合至SiC面板的陽極化鋁所製成。當此噴淋頭暴露至包括氟的電漿化學品時,由於電漿與陽極化鋁基底的交互反應會形成AlF。此外,陽極化鋁基底的高腐蝕速率會導致電弧及極端地降低清洗噴淋頭之間的平均時間。
某些腔室蓋與其他腔室部件具有厚膜保護性層於面向電漿側上,以最小化粒子產生及金屬污染,而延長蓋的壽命。電漿噴塗與其他熱噴塗技術可用於成厚膜保護性層。多數的厚膜塗佈技術具有長前置時間。此外,對於多數的厚膜塗佈技術,執行特別表面準備,以準備將被塗佈的物件(例如蓋)以接收塗層。這種長前置時間與塗佈準備步驟會增加成本及降低產量,以及阻礙翻新(refurbishment)。此外,多數的厚膜塗層具有固有裂痕及孔洞,其會降級晶圓上缺陷表現。
諸如電漿噴塗Y2 O3 的許多厚膜氧化物塗層的一個缺點是這些塗層會與氟類化學品反應。例如,Y2 O3 (氧化釔)電漿噴塗保護性塗層可被用於保護腔室部件不受由氟類化學品造成的侵蝕。氟會與氧化釔反應形成氟化釔於電漿噴塗保護性塗層的表面。換言之,此電漿噴塗保護性塗層會吸收某些比例的氟。此電漿噴塗保護性塗層中的氟吸收降低可用於蝕刻反應的氟數量。此會降低蝕刻處理的蝕刻速率。氟亦可之後從此保護性塗層被濺射出來,其會增加在未來蝕刻處理之可用的氟數量。因此,使用氟化學品的蝕刻處理的蝕刻速率的穩定性會降低。
此外,氧化物塗層的加氟(例如氧化釔轉變為氟化釔)是伴隨著體積膨脹。此體積膨脹造成應力於塗層的表面,及會額外地造成起泡於表面。此應力及/或起泡造成粒子從塗層脫落,並造成經處理晶圓上的粒子污染。
上述所提供的例子只是一小部分腔室部件,其表現藉由使用本文所述具體例的MOF薄膜保護性層可被改善。
回頭參照圖2,物件200的主體205可包括一或更多表面特徵,諸如台面206。就靜電夾盤而言,表面特徵可包括台面、密封帶、氣體通道、氦孔洞、等等。就噴淋頭而言,表面特徵可包括接合線、用於氣體分佈的數百或數千個孔洞、圍繞氣體分配孔洞的凹部或凸部、等等。其他腔室部件可具有其他表面特徵。
形成在主體205上的MOF薄膜保護性層208可順應主體205的表面特徵。如圖示,MOF薄膜保護性層208維持主體205的上表面的相應外形(例如傳遞出台面的外形)。此外,MOF薄膜保護性層208可為足夠薄,不致於堵塞噴淋頭中的孔洞或靜電夾盤中的He孔洞。在一具體例中,MOF薄膜保護性層208具有低於約200微米的厚度。在進一步具體例中,MOF薄膜保護性層208具有小於50微米的厚度。在一具體例中,MOF薄膜保護性層208具有厚度為1–30微米。在一具體例中,MOF薄膜保護性層208具有厚度為1–15微米。
MOF薄膜保護性層208是沉積的陶瓷層,其可使用離子輔助沉積(IAD)處理或物理氣相沉積(PVD)處理而被形成在物件200的主體205上。例如,藉由濺射沉積或蒸鍍沉積可沉積MOF薄膜保護性層208。此外,離子或自由基在濺射或蒸鍍沉積期間藉由離子槍或電漿可被植入。IAD或PVD沉積的MOF薄膜保護性層208可具有相對低的膜應力(例如與藉由電漿噴塗所造成膜應力相比)。IAD或PVD沉積的MOF薄膜保護性層208可額外地具有孔隙度小於1%,及在某些具體例中小於約0.1%。IAD或PVD沉積的MOF薄膜保護性層208是緻密結構,其可具有應用於腔室部件上的效能益處。此外, MOF薄膜保護性層208於沉積時及持續使用後可為無裂痕。
IAD或PVD沉積的MOF薄膜保護性層208可被沉積,而不需要首先粗糙化主體205的上表面或執行其他耗時表面準備步驟。由於粗糙化主體會降低主體205的崩潰電壓,不需要首先粗糙化主體205而能夠施加MOF薄膜保護性層208對於某些應用(例如用於靜電夾盤)是有益的。再者,MOF薄膜保護性層208是非常平滑且降低粒子缺陷與金屬污染於經處理晶圓。
MOF薄膜保護性層208抵抗與氟類化學品反應。此外,氟類電漿中的氟濃度在蝕刻與清洗處理期間可維持接近不變。因此,在蝕刻與清洗處理期間亦可維持穩定的蝕刻速率。
MOF薄膜保護性層208包括一或更多金屬、氧、及氟。大體上,此金屬為在金屬氟化物時,相對於對應的MO有著體積增加的一種金屬。換言之,適用於MOF薄膜保護性層208的金屬為MOF的體積是大於MO的體積。合適的金屬包括釔、釓、鋁、鈰、鏑、鋯、鈣、鎂、鉺、鑭、釹、鐿與鍶。下方表1顯示一小部分合適金屬的MF相較於MO的體積增加。
金屬 體積比率  氟化物 / 氧化物
1.46
1.76
1.34
2.06
1.30
1.32
1.61
1.30
1.88
1.74
1.19
1.31
表 1: MF相較於 MO的體積膨脹
MOF薄膜保護性層208可包括一或更多合適金屬。因此,MOF薄膜保護性層208可包括一或更多MOF。例如,在一具體例中,MOF薄膜保護性層208是釔氟氧化物。在另一具體例中,MOF薄膜保護性層208是釔氟氧化物與鋯氟氧化物的組合。在此類具體例中,MOF薄膜保護性層208的金屬可包括大約20–30%鋯。
MOF薄膜保護性層208具有實驗式Mx Oy Fz ,此實驗式代表一分子單位的MOF薄膜保護性層208。這些下標代表各組成金屬、氧或氟原子的相對數量。因此,x代表MOF薄膜保護性層208的每一分子單位的金屬原子的數目,y代表MOF薄膜保護性層208的每一分子單位的氧原子的數目,及z代表MOF薄膜保護性層208的每一分子單位的氟原子的數目。
此實驗式取決於金屬的價數,或金屬可從氧或氟原子所接受的電子的數目。每一個氧分子通常會貢獻兩個電子,而每一個氟原子通常會貢獻一個電子。取決於種類,金屬可接收高達四個電子。因此,取決於金屬,y的值(即氧原子的數目)可在約0.1與1.9倍的x值的範圍。類似地,z的值(即氟原子的數目)可在約0.1與3.9倍的x值的範圍。MOF薄膜保護性層208的一具體例為釔氟氧化物,其具有實驗式為YOF(附註:當值為1時忽略下標)。MOF薄膜保護性層208的另一具體例為具有低氟化物濃度的釔氟氧化物。此MOF薄膜可具有實驗式例如為YO1.4 F0.2 。在此類組態中,有著平均每個釔原子有1.4個氧原子,與每個釔原子有0.2個氟原子。相反地,MOF薄膜保護性層208的一具體例為具有高氟化物濃度的釔氟氧化物。此MOF薄膜可具有實驗式例如為YO0.1 F2.8 。在此類組態中,有著平均每個釔原子有0.1個氧原子,與每個釔原子有2.8個氟原子。
在MOF薄膜中的金屬對氧及氟的比例亦可被表示為原子百分比的形式。例如,具有+3價的金屬,最小氧含量為10原子百分比,對應於最大氟濃度為63原子百分比。相反地,對於具有+3價的相同金屬,最小氟含量為10原子百分比,對應於最大氧濃度為52原子百分比。因此,對於具有+3價的金屬,MOF薄膜可具有大約27–38原子%金屬(或多種金屬)、10–52原子百分比(原子%)氧與大約10–63原子%氟。在一具體例中,MOF薄膜具有32–34%金屬(或多種金屬)、30–36原子%氧與30–38原子%氟。
對於具有+2價的金屬,MOF薄膜可具有大約37–48原子%金屬、10–43原子%氧與大約10–53原子%氟。在一具體例中,MOF薄膜具有40–45原子%金屬(或多種金屬)、20–35原子%氧與20–40原子%氟。
對於具有+4價的金屬,MOF薄膜可具有大約22–32原子%金屬、大約10–58原子%氧與大約10–68原子%氟。在一具體例中,MOF薄膜具有26–28原子%金屬(或多種金屬)、30–42原子%氧與30–44原子%氟。
MOF薄膜保護性層208的反射率的範圍從在某些具體例中為透明至在其他具體例中為不透明。MOF薄膜保護性層208具有有效操作溫度範圍從大約攝氏15度至攝氏500度。10微米的MOF薄膜保護性層的崩潰電壓在具體例中大約是500伏特。MOF薄膜保護性層208亦從相較於對應的MF的高硬度而得益。例如,YF3 具有維氏硬度範圍從2.74至3.15十億帕斯卡(GPa)。YOF具有硬度為大於3.15GPa。
諸如氧化釔(釔氧化物)的MO在結構上可為結晶,而對應的MF,即氟化釔,可為非晶態。取決於使用在沉積的方法與溫度,MOF薄膜保護性層208在某些具體例中可為非晶態,而在其他具體例中可為至少部分結晶。儘管各種晶格結構可用在不同具體例中,MOF薄膜保護性層208的形態大致上是一致的。
圖3A 與3B 大體上描繪沉積機制,適用於各種沉積技術,諸如IAD或PVD。範例IAD方法包括沉積處理,其合併離子轟擊,諸如在離子轟擊存在下的蒸鍍(例如活性反應蒸鍍(ARE)或電子束離子輔助沉積(EB-IAD))與濺射(例如離子束濺射離子輔助沉積(IBS-IAD)),以形成如本文所述的電漿抵抗塗層。EB-IAD可藉由蒸鍍執行。IBS-IAD可藉由濺射固態靶材材料(例如固態金屬靶材)執行。這些IAD方法的任一者可在反應氣體物種(諸如O2 、N2 、鹵素、等等)存在下執行。或者,可在沒有離子輔助下執行PVD。
如圖示,MOF薄膜保護性層315在高能粒子303(諸如離子或自由基)的存在下藉由沉積材料302的積累而形成。沉積材料302包括原子、離子、自由基、或其之混合物。例如,沉積材料302在某些具體例中包括被濺射或蒸鍍的原子與分子。當MOF薄膜保護性層315形成時,高能粒子303可衝擊並緻密MOF薄膜保護性層315。此外,高能粒子303可與沉積材料交互反應以形成MOF薄膜保護性層315。
圖3B 描繪IAD沉積設備的示意圖。如圖示,材料來源352提供沉積材料302的通量的同時,高能粒子來源355提供高能粒子303的通量,此兩者在整個IAD處理中衝擊於物件350上。高能粒子來源355可為氧、氟及/或其他材料來源,諸如氬。此外,可使用多種不同高能粒子來源。例如,可使用氧高能粒子來源與氟高能粒子來源。用於提供沉積材料302的材料來源(例如靶材主體)352可為塊體燒結陶瓷,對應於將構成薄膜保護性層315之相同陶瓷。例如,材料來源352可為塊體燒結YOF。在某些具體例中,材料來源352可提供一部分的材料用於MOF薄膜保護性層315。例如,材料來源352可單獨由用於MOF薄膜保護性層315的金屬所組成。若MOF薄膜保護性層315將包括多種金屬(例如釔與鋯),則材料來源352可為金屬合金。或者,可使用兩種不同金屬材料來源。在此類具體例中,粒子來源355提供用於MOF薄膜保護性層315的氧與氟原子。在另一個例子,材料來源352可由MF所組成,諸如塊體燒結YF3 。在此類具體例中,粒子來源355提供用於MOF薄膜保護性層315的氧原子。若MOF薄膜保護性層315將包括多種金屬,則MF材料來源352可為包括兩者金屬的氟化物。或者,可使用兩種不同MF材料來源。在又另一個例子,材料來源352可由MO所組成,諸如Y2 O3 。在此類具體例中,粒子來源355提供用於MOF薄膜保護性層315的氟原子。若MOF薄膜保護性層315將包括多種金屬,則MO材料來源352可為包括兩者金屬的氧化物。或者,可使用兩種不同MO材料來源。
IAD可利用一或更多電漿或束以提供材料與高能離子來源。在沉積電漿抵抗塗層期間亦可提供反應性物種。在一具體例中,高能粒子303包括非反應性物種(例如Ar)或反應性物種(例如O、F)的至少一者。在進一步具體例中,在形成電漿抵抗塗層期間,諸如CO與鹵素(Cl、F、Br、等等)的反應性物種亦可被引進以進一步增加傾向於選擇性移除接合至薄膜保護性層315之最弱的沉積材料。
利用IAD處理,高能粒子303可藉由高能離子(或其他粒子)來源355而無關其他沉積參數被控制。根據高能離子通量的能量(例如速度)、密度與入射角,薄膜保護性層的組成、結構、結晶定向與晶粒尺寸可被操控。可調整的額外參數為沉積期間的物件溫度與沉積持續時間。
離子輔助能量用於緻密化塗層與加速材料沉積於基板表面上。可使用離子來源的電壓與電流改變離子輔助能量。電壓與電流可調整以達到高與低的塗層密度,以操控塗層的應力以及塗層的結晶度。離子輔助能量的範圍可從大約50–800V與大約1–50安培(A)。離子輔助能量亦可用於有意地改變塗層的化學計量。例如,在沉積期間可使用金屬靶材,並轉化為金屬氟氧化物。
藉由使用加熱器以加熱沉積腔室及/或物件與藉由調整沉積速率,可控制塗佈溫度。沉積期間的物件溫度可粗略地分為低溫(在一具體例中大約70–150℃)與高溫(在一具體例中大於150℃)。沉積溫度可用於調整膜應力、結晶度、及其他塗層性質。
工作距離是電子束(或離子束)槍與物件之間的距離。可改變工作距離以達到具有最高均勻度的塗層。因此,工作距離可影響沉積速率與塗層密度。
沉積角度是電子束(或離子束)槍與物件之間的角度。藉由改變基板的定位及/或定向可改變沉積角度。藉由最佳化沉積角度,可達成在三維幾何中的均勻塗層。
EB-IAD與IBS-IAD沉積可實行於寬廣的表面情況上。拋光表面可為有優勢以達成均勻塗層覆蓋性。各種配件可用於在IAD沉積期間固持基板。
圖4 描繪用於形成MOF薄膜保護性層的方法400的一範例具體例。在方塊410,提供MOF來源材料。此MOF來源材料具有實驗式為Mx Oy_ 來源 Fz_ 來源 。y_來源具有值為0.1至1.9倍的x值而z_來源具有值為0.1至3.9倍的x值。例如,在一具體例中,來源材料是YOF。在其他具體例中,使用其他MOF來源材料。在每個具體例中,MOF展現出相對於對應MO的體積增加。換言之,若MOF的體積是大於MO的體積,此金屬是適用於MOF薄膜保護性層208。合適的金屬包括釔、釓、鋁、鈰、鏑、鋯、鈣、鎂、鉺、鑭、釹、鐿、及鍶。
在方塊420,MOF來源材料藉由濺射或蒸鍍沉積而沉積以形成MOF塗層於物件上。MOF塗層具有實驗式為Mx Oy_ 塗層 Fz_ 塗層 ,其中y_塗層具有值為0.1至1.9倍的x值而z_塗層具有值為0.1至3.9倍的x值。在某些具體例中,Mx Oy_ 來源 Fz_ 來源 與Mx Oy_ 塗層 Fz_ 塗層 是相同的,使得y_來源等於y_塗層及z_來源等於z_塗層。在其他具體例中,Mx Oy_ 塗層 Fz_ 塗層 可相對於Mx Oy_ 來源 Fz_ 來源 被調整,藉由在方塊420的沉積期間引進氧或氟的離子或自由基的一者或更多者。
可使用描繪於 3B 的設備完成沉積。此物件可為參照圖1所論述的任何半導體處理腔室部件。例如,此物件可為由應用材料公司所製造的Applied CentrisTM Sym3TM 蝕刻系統的任何部件,或亦是由應用材料公司所製造的Applied Producer®蝕刻系統的任何部件。更通常,此物件可為暴露至會造成腐蝕與降低物件功能性的高能量電漿或反應性氣體環境的任何物件。
在方塊420的沉積可進一步包含加熱物件於15至150℃。在某些具體例中,此物件在沉積之前被加熱,MOF塗層形成在物件上,隨後物件與MOF塗層被冷卻。此外,在某些具體例中,在方塊420的沉積執行在真空腔室中。真空腔室內的壓力可一開始被抽氣至1 mTorr的一小部分,諸如至0.1 mTorr。當用於沉積的氣體被引入腔室時,腔室壓力維持在從0.1至100 mTorr。在某些具體例中,生成的MOF薄膜保護性塗層具有厚度從1至30微米及孔隙度小於0.1%。在某些具體例中,MOF薄膜保護性塗層具有厚度小於20微米。在其他具體例中,MOF薄膜保護性塗層具有厚度大約10微米。
在方法400的一特定具體例中,在方塊410提供塊體燒結YOF來源材料與半導體處理腔室部件於真空腔室中。在方塊420,真空腔室被密封且真空腔室內部的壓力被降到大約0.1 mTorr。半導體處理腔室部件被加熱至大約150℃。在方塊420,YOF來源材料被蒸鍍至半導體處理腔室部件上,形成薄膜保護性層。或者,YOF來源材料可被濺射在半導體處理腔室部件上。一旦達到薄膜的目標厚度,冷卻半導體處理腔室部件與YOF薄膜保護性層。
圖5A C 描繪用於形成MOF薄膜保護性塗層的方法500、520、540。通常,方法500、520、540涉及提供含金屬來源材料與在反應性離子與自由基存在下濺射或蒸鍍來源材料,以形成MOF薄膜保護塗層於物件上。方法500、520、540可在真空腔室中執行。在某些具體例中,真空腔室被抽氣至1 mTorr的一小部分,而在沉積期間,腔室維持在從0.1至100 mTorr。此外,在某些具體例中,物件被加熱達到15至150℃,而在沉積MOF薄膜保護性塗層之後被接著冷卻。
圖5A 描繪方法500。在方塊505,提供金屬來源材料。此金屬來源材料可為適用於形成MOF薄膜保護性塗層的任何金屬,如上參照 1 所述的。例如,合適的金屬包括釔、釓、鋁、鈰、鏑、鋯、鈣、鎂、鉺、鑭、釹、鐿、及鍶、或前述物的合金或組合。在方塊510,金屬來源材料被濺射或蒸鍍,諸如能夠藉由 3B 所描繪的設備所完成。在方塊515,氧與氟的離子或自由基被引入濺射或蒸鍍的金屬來源材料,以形成MOF薄膜保護性塗層於物件上,諸如半導體處理腔室部件。在某些具體例中,引進氧與氟的離子或自由基進一步包含以氧與氟的離子或自由基撞擊濺射或蒸鍍的金屬,諸如藉由離子槍撞擊、或藉由形成高能量電漿鄰近於物件的表面,此表面被MOF薄膜保護性塗層所沉積。生成的MOF薄膜保護性塗層具有實驗式Mx Oy_ 塗層 Fz_ 塗層 ,其中y_塗層具有值為0.1至1.9倍的x值而z_塗層具有值為0.1至3.9倍的x值。此外,此塗層具有厚度為1至30微米而孔隙度小於0.1%。
圖5B 描繪方法520。在方塊525,提供金屬氟化物來源材料,具有實驗式Mx Fz_ 來源 。z_來源具有值為0.1至4倍的x值。此金屬可為參照方法500所述的任何金屬。在一具體例中,來源材料是YF3 。在方塊530,金屬氟化物來源材料被濺射或蒸鍍,諸如能夠藉由 3B 所描繪的設備所完成。在方塊535,氧的離子或自由基被引入濺射或蒸鍍的金屬氟化物來源材料,以形成MOF薄膜保護性塗層於物件上,諸如半導體處理腔室部件。在某些具體例中,引進氧的離子或自由基進一步包含以氧的離子或自由基撞擊濺射或蒸鍍的金屬氟化物,諸如藉由離子槍撞擊、或藉由形成高能量電漿鄰近於物件的表面,其中此表面被MOF薄膜保護性塗層所沉積。生成的MOF薄膜保護性塗層具有實驗式Mx Oy_ 塗層 Fz_ 塗層 ,其中y_塗層具有值為0.1至1.9倍的x值而z_塗層具有值為0.1至3.9倍的x值。此外,此塗層具有厚度為1至30微米而孔隙度小於0.1%。
圖5C 描繪方法540。在方塊545,提供金屬氧化物來源材料,具有實驗式Mx Oy_ 來源 。y_來源具有值為0.1至2倍的x值。此金屬可為參照方法500所述的任何金屬。在一具體例中,來源材料是Y2 O3 。在方塊550,金屬氧化物來源材料被濺射或蒸鍍,諸如能夠藉由 3B 所描繪的設備所完成。在方塊555,氟的離子或自由基被引入濺射或蒸鍍的金屬氧化物來源材料,以形成MOF薄膜保護性塗層於物件上,諸如半導體處理腔室部件。在某些具體例中,引進氟的離子或自由基進一步包含以氟的離子或自由基撞擊濺射或蒸鍍的金屬氧化物,諸如藉由離子槍撞擊、或藉由形成高能量電漿鄰近於物件的表面,其中此表面被MOF薄膜保護性塗層所沉積。生成的MOF薄膜保護性塗層具有實驗式Mx Oy_ 塗層 Fz_ 塗層 ,其中y_塗層具有值為0.1至1.9倍的x值而z_塗層具有值為0.1至3.9倍的x值。此外,此塗層具有厚度為1至30微米而孔隙度小於0.1%。
前述說明書說明許多特定細節,諸如特定系統、部件、方法、等等的例子,以提供良好理解本發明的數個具體例。在沒有這些特定細節下可實行本發明的至少某些具體例對於本領域的熟習技藝者會是顯而易見的。在其他例子中,習知的部件或方法並未被詳述或是以簡單方塊圖的形式呈現,以避免不必要地模糊本發明。因此,說明的特定細節僅為範例。特別實施例可從這些範例細節變化且仍被認為落在本發明的範疇中。
說明書中提及「一具體例(one embodiment)」或「一具體例(an embodiment)」表示關於此具體例所敘述的特定特徵、結構或性質是包括在至少一個具體例中。因此,在說明書中許多地方出現的用語「在一具體例中(in one embodiment)」或「在一具體例中(in an embodiment)」並不必然全部指示為相同的具體例。此外,用語「或」是意味為包含性的「或」而非排他性的「或」。當本文中使用用語「約」或「大約」時,此意於表示所呈現的標示值的準確度在±10%內。
儘管是以特定次序顯示與敘述本文中的方法的操作,各方法的操作順序可以改變,使得特定操作可以反向的方式執行,或使得特定操作可以至少部分地與其他操作同時地執行。在另一具體例中,不同操作的指示或子操作可為週期性及/或交替的方式。
應理解到上述說明是意為示例性的,而非限制性的。在閱讀與理解上述說明後,許多其他具體例對於本領域的熟習技藝者是顯而易見的。本發明的範疇應參照隨附申請專利範圍所決定,以及此申請專利範圍賦予的等效物的全部範疇。
100:處理腔室 102:腔室主體 106:內部容積 108:側壁 110:底部 116:外部襯墊 126:排氣口 128:泵系統 130:噴淋頭 132:氣體傳送孔洞 144:基板 148:基板支撐組件 152:MOF塗層 158:氣體控制板 200:物件 205:主體 206:台面 208:MOF薄膜保護性層 302:沉積材料 303:高能粒子 315:MOF薄膜保護性層 350:物件 352:材料來源 355:高能粒子來源 400,500,520,540:方法 410,420,505,510,515,525,530,535,545,550,555:方塊
本發明的具體例做為例子被繪示於隨附圖式的圖表中,而非做為限制,其中相同的參考符號指示類似元件。應注意到參照本發明中不同的「一(an)」或「一(one)」具體例並不必然為相同的具體例,且此類指稱意味著至少一個具體例。
圖1 繪示根據本發明的一具體例的半導體製造系統的範例架構。
圖2 描繪具有根據各種具體例的薄膜保護性塗層的範例物件的剖面圖。
圖3A 3B 繪示根據本發明的各種具體例的範例沉積技術。
圖4 繪示根據本發明的一具體例在物件上形成金屬氟氧化物塗層的範例方法。
圖5A 5C 繪示根據本發明的各種具體例在物件上形成金屬氟氧化物塗層的範例方法。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:腔室主體
106:內部容積
108:側壁
110:底部
116:外部襯墊
126:排氣口
128:泵系統
130:噴淋頭
132:氣體傳送孔洞
144:基板
148:基板支撐組件
152:MOF塗層
158:氣體控制板

Claims (20)

  1. 一種具有一保護性塗層的物件,包含:一主體,包含在該主體的至少一部分上的一薄膜;該薄膜包含:一金屬氟氧化物,具有一實驗式為MxOyFz,其中M是一金屬,y具有一值為0.1至1.9倍的x的一值,及z具有一值為0.1至3.9倍的x的該值,及其中該金屬氟氧化物包含約20-30%的鋯;其中該薄膜具有一厚度為1至30微米與一孔隙度為小於0.1%。
  2. 如請求項1所述之物件,其中該金屬包含釔、釓、鋁、鈰、鏑、鋯、鈣、鎂、鉺、鑭、釹、鐿、或鍶的至少一者。
  3. 如請求項1所述之物件,其中該薄膜塗佈於用於半導體處理設備的一腔室部件的至少一表面。
  4. 如請求項1所述之物件,其中該金屬具有一原子價為2,且該金屬氟氧化物包含約37-48原子%的該金屬、約10-43原子%的氧及約10-53原子%的氟。
  5. 如請求項1所述之物件,其中該金屬具有一原子價為3,且該金屬氟氧化物包含約27-38原子%的該金屬、約10-52原子%的氧及約10-63原子%的氟。
  6. 如請求項1所述之物件,其中該金屬具有一 原子價為4,且該金屬氟氧化物包含約22-32原子%的該金屬、約10-58原子%的氧及約10-68原子%的氟。
  7. 如請求項1所述之物件,其中該薄膜為一濺射的薄膜或一蒸鍍的薄膜。
  8. 如請求項1所述之物件,其中該物件是一腔室部件。
  9. 如請求項8所述之物件,其中該腔室部件選自由一基板支撐組件、一靜電夾盤、一環、一腔室壁、一基底、一氣體分配板、一噴淋頭、一襯墊、一襯墊套件、一盾、一電漿屏、一流量均衡器、一冷卻基底、一腔室視埠、一腔室蓋、一面板、及一選擇性調控裝置所組成的一群組。
  10. 如請求項8所述之物件,其中該腔室部件包含選自由Al2O3、Y2O3、釔鋁石榴石、Y4Al2O9、Y2O3-ZrO2的固溶體、SiC、AlN、TiO、TiN、及AlF所組成的一群組的一材料。
  11. 如請求項8所述之物件,其中該腔室部件至少部分地由選自由Al2O3、Al、不鏽鋼、Y2O3、AlN、及SiC所組成的一群組的一材料所構成。
  12. 如請求項8所述之物件,其中該腔室部件不包含SiO2
  13. 如請求項8所述之物件,其中該腔室部件不包含一聚合物。
  14. 如請求項1所述之物件,其中該金屬氟氧化物包含釔氟氧化物(YOF)。
  15. 如請求項14所述之物件,其中該YOF具有一實驗式為YO0.1F2.8
  16. 如請求項1所述之物件,其中該薄膜順應該主體的多個表面特徵。
  17. 如請求項1所述之物件,其中該物件是包含多個孔洞的一噴淋頭,及其中該薄膜足夠薄而不堵塞該等孔洞。
  18. 如請求項1所述之物件,其中該薄膜為非晶態。
  19. 如請求項1所述之物件,其中該薄膜為至少部分結晶。
  20. 如請求項1所述之物件,其中以下至少一者:a)該金屬具有一原子價為2,且該金屬氟氧化物包含40-45原子%的該金屬、20-35原子%的氧及20-40原子%的氟;b)該金屬具有一原子價為3,且該金屬氟氧化物包含約27-38原子%的該金屬、約10-52原子%的氧及約10-63原子%的氟;或c)該金屬具有一原子價為4,且該金屬氟氧化物包含約22-32原子%的該金屬、約10-58原子%的 氧及約10-68原子%的氟。
TW110101890A 2016-05-03 2017-05-02 具有保護性塗層的物件 TWI789688B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662331326P 2016-05-03 2016-05-03
US62/331,326 2016-05-03
US15/498,383 US11572617B2 (en) 2016-05-03 2017-04-26 Protective metal oxy-fluoride coatings
US15/498,383 2017-04-26

Publications (2)

Publication Number Publication Date
TW202132593A TW202132593A (zh) 2021-09-01
TWI789688B true TWI789688B (zh) 2023-01-11

Family

ID=60203266

Family Applications (3)

Application Number Title Priority Date Filing Date
TW110101890A TWI789688B (zh) 2016-05-03 2017-05-02 具有保護性塗層的物件
TW106114421A TWI797079B (zh) 2016-05-03 2017-05-02 薄膜及製造塗層的方法
TW111129285A TW202246549A (zh) 2016-05-03 2017-05-02 薄膜及製造塗層的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106114421A TWI797079B (zh) 2016-05-03 2017-05-02 薄膜及製造塗層的方法
TW111129285A TW202246549A (zh) 2016-05-03 2017-05-02 薄膜及製造塗層的方法

Country Status (6)

Country Link
US (2) US11572617B2 (zh)
JP (2) JP7046005B2 (zh)
KR (2) KR20230011489A (zh)
CN (2) CN116083864A (zh)
TW (3) TWI789688B (zh)
WO (1) WO2017192622A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7122854B2 (ja) * 2018-04-20 2022-08-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置用部材、またはプラズマ処理装置の製造方法およびプラズマ処理装置用部材の製造方法
SG11202103979UA (en) * 2018-10-19 2021-05-28 Lam Res Corp In situ protective coating of chamber components for semiconductor processing
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
KR20210157921A (ko) * 2019-05-22 2021-12-29 어플라이드 머티어리얼스, 인코포레이티드 고온 부식성 환경을 위한 기판 지지부 커버
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR102277819B1 (ko) * 2019-07-08 2021-07-15 세메스 주식회사 반도체 공정 부품, 상기 반도체 공정 부품을 코팅하는 장치 및 방법
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
JP6960062B1 (ja) 2019-12-23 2021-11-05 株式会社日立ハイテク プラズマ処理装置の部品の製造方法及び部品の検査方法
CN115485411A (zh) * 2020-04-14 2022-12-16 恩特格里斯公司 氟化钇膜和制备和使用氟化钇膜的方法
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
JP7489905B2 (ja) 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置
CN112725762A (zh) * 2020-12-18 2021-04-30 华虹半导体(无锡)有限公司 薄膜沉积工艺腔的腔内沉积薄膜清洁方法
US11702744B2 (en) * 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法
WO2024123579A1 (en) * 2022-12-07 2024-06-13 Lam Research Corporation Semiconductor processing chamber with metal or metalloid fluoride process exposed coating

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140116338A1 (en) * 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating for performance enhancement of semiconductor apparatus
TWI468364B (zh) * 2008-11-12 2015-01-11 Applied Materials Inc 抗反應性電漿處理之保護塗層
US20150096462A1 (en) * 2012-06-27 2015-04-09 Nippon Yttrium Co., Ltd. Thermal spray material and process for preparing same

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936653A (en) * 1988-06-02 1990-06-26 Santa Barbara Research Center Cerium oxyfluoride antireflection coating for group II-VI photodetectors and process for forming same
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3625876B2 (ja) 1994-11-14 2005-03-02 オリンパス株式会社 光学薄膜の製造方法および該光学薄膜を有する光学部品
JP3941289B2 (ja) * 1998-06-30 2007-07-04 三菱マテリアル株式会社 Pdp又はpalc用保護膜及びその製造方法並びにこれを用いたpdp又はpalc
US6821616B1 (en) * 1998-12-10 2004-11-23 Mitsubishi Materials Corporation Protective thin film for FPDS, method for producing said thin film and FPDS using said thin film
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6756160B2 (en) 2001-04-19 2004-06-29 E.I. Du Pont De Nemours. And Company Ion-beam deposition process for manufacturing attenuated phase shift photomask blanks
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2007063070A (ja) * 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd 耐プラズマ性イットリア焼結体の製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7674751B2 (en) 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP4905697B2 (ja) * 2006-04-20 2012-03-28 信越化学工業株式会社 導電性耐プラズマ部材
KR101344990B1 (ko) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
EP1992430A1 (en) 2007-05-15 2008-11-19 Treibacher Industrie AG Yttria-based refractory composition
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20100035036A1 (en) 2008-08-08 2010-02-11 Mccloy John S Durable antireflective multispectral infrared coatings
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
CN102296263B (zh) 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
WO2013008421A1 (ja) * 2011-07-11 2013-01-17 パナソニック株式会社 膜構造体とその製造方法
JP2013082954A (ja) 2011-10-06 2013-05-09 National Central Univ 純金属ターゲットで反応性スパッタリング方法を用いて作製されたフッ化物及びフッ素をドープした酸化物薄膜
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9402697B2 (en) 2012-04-19 2016-08-02 Research Triangle Institute Modification of ceramic surfaces
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US20140147594A1 (en) 2012-11-27 2014-05-29 Intermolecular Inc. Magnesium Fluoride and Magnesium Oxyfluoride based Anti-Reflection Coatings via Chemical Solution Deposition Processes
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6366263B2 (ja) 2013-12-13 2018-08-01 キヤノン株式会社 光学多層膜、光学レンズ及び光学多層膜の製造方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
CN107250082B (zh) 2015-03-05 2018-10-12 日本钇股份有限公司 烧结用材料以及用于制造烧结用材料的粉末
US10961617B2 (en) 2015-03-18 2021-03-30 Entegris, Inc. Articles coated with fluoro-annealed films
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI468364B (zh) * 2008-11-12 2015-01-11 Applied Materials Inc 抗反應性電漿處理之保護塗層
US20150096462A1 (en) * 2012-06-27 2015-04-09 Nippon Yttrium Co., Ltd. Thermal spray material and process for preparing same
US20140116338A1 (en) * 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating for performance enhancement of semiconductor apparatus

Also Published As

Publication number Publication date
TW202132593A (zh) 2021-09-01
US20170323772A1 (en) 2017-11-09
TW201807223A (zh) 2018-03-01
CN116083864A (zh) 2023-05-09
KR20180132949A (ko) 2018-12-12
JP2019515139A (ja) 2019-06-06
CN109075084A (zh) 2018-12-21
JP2022084788A (ja) 2022-06-07
JP7046005B2 (ja) 2022-04-01
TWI797079B (zh) 2023-04-01
KR102487562B1 (ko) 2023-01-10
TW202246549A (zh) 2022-12-01
KR20230011489A (ko) 2023-01-20
US11572617B2 (en) 2023-02-07
US20230141782A1 (en) 2023-05-11
WO2017192622A1 (en) 2017-11-09
CN109075084B (zh) 2023-02-14

Similar Documents

Publication Publication Date Title
TWI789688B (zh) 具有保護性塗層的物件
US11424136B2 (en) Rare-earth oxide based coatings based on ion assisted deposition
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN105408987B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
US20150311043A1 (en) Chamber component with fluorinated thin film coating
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
US11920234B2 (en) Yttrium oxide based coating composition
TW202344316A (zh) 用於製造設備部件之抗電漿防電弧塗層