JP2012508684A - 反応性プラズマ処理に耐性をもつ保護コーティング - Google Patents

反応性プラズマ処理に耐性をもつ保護コーティング Download PDF

Info

Publication number
JP2012508684A
JP2012508684A JP2011536327A JP2011536327A JP2012508684A JP 2012508684 A JP2012508684 A JP 2012508684A JP 2011536327 A JP2011536327 A JP 2011536327A JP 2011536327 A JP2011536327 A JP 2011536327A JP 2012508684 A JP2012508684 A JP 2012508684A
Authority
JP
Japan
Prior art keywords
coating
substrate
yttrium
fluoride
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011536327A
Other languages
English (en)
Other versions
JP5877711B2 (ja
JP2012508684A5 (ja
Inventor
ジェニファー ワイ サン
レン グアン デュアン
ケネス エス コリンズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012508684A publication Critical patent/JP2012508684A/ja
Publication of JP2012508684A5 publication Critical patent/JP2012508684A5/ja
Application granted granted Critical
Publication of JP5877711B2 publication Critical patent/JP5877711B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/86Glazes; Cold glazes
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C8/00Enamels; Glazes; Fusion seal compositions being frit compositions having non-frit additions
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5022Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with vitreous materials
    • C04B41/5023Glass-ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2214/00Nature of the non-vitreous component
    • C03C2214/20Glass-ceramics matrix

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Glass Compositions (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Dispersion Chemistry (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

本発明の実施形態は、耐プラズマ固体基板又は他の基板上の耐プラズマ保護コーティングとして有益な金属オキシフッ化物含有グレーズ又は金属フッ化物含有グレーズ、ガラスセラミックス、及びこれらの組み合わせの混合物に関する。また、約1600℃よりも高い融点をもつ基板(酸化アルミニウム、窒化アルミニウム、石英、炭化珪素、窒化珪素など)の表面上に固体基板及びコーティングを含むそのような混合物を組み込む様々な構造を作る方法が説明される。

Description

優先権の主張
本出願は、「反応性プラズマ処理に耐性をもつ保護コーティング」の名称で2008年11月12日に提出された米国仮出願第61/199,127号に基づく優先権を主張する。
分野
本発明の実施形態は、耐プラズマ保護固体基板又は他の基板上の耐プラズマコーティングとして有益な、金属オキシフッ化物含有グレーズ(釉)、ガラスセラミックス、及びそれらの組み合わせの組成物に関する。更に、本発明の実施形態は、反応性プラズマ処理装置の一部として有益な様々な処理部品を提供するために基板上にバルク材料又は混合物のコーティングを適用(塗布)する方法に関する。
背景
本節は、本発明の開示された実施形態に関連する背景事項を説明する。本節において説明される背景技術は、法的に従来技術を構成することを明示する又は暗示する意図はない。
グレーズは、ガラスの特殊な形態であり、したがって、アモルファスの固体として説明することができる。グレージング(施釉)は、グレーズ層によって部品をコーティングするプロセスである。ガラスセラミックスは、セラミックスの特殊な形態であり、それは、最初にガラスとして形成され、その後、制御冷却を含む計画的な熱処理を通して部分的に結晶化させて作られる。
伝統的な焼結セラミックスとは異なり、ガラスセラミックスは結晶粒の間に空孔を持たない。粒子間の空間は、ガラスで満たされている。ガラスセラミックスは、ガラス及び伝統的な結晶化セラミックスの両方と多くの特性を共有している。処理技術によってガラスセラミックスの混合物を調整した後で、最終材料は、伝統的なセラミックが持たない多くの高度な特性を表すかもしれない。
グレーズ及びガラスセラミックスは、保護コーティングを提供するために長い間使われてきた。保護コーティングを形成するために、一般に、非酸化物との組み合わせであるかもしれない酸化物の粉末が、バインダー混合物が追加されるかもしれない懸濁化剤内に配置され、材料のこの組み合わせがコーティングされる基板上に適用されるスラリーを作り出し、その後、時間、温度、及び環境条件の制御下でスラリーが焼結される。焼結の間、流体のコーティング材料が急速に冷却すると、一般にグレーズが作られ、コーティング材料がゆっくり冷却すると、ガラスセラミックスが得られる。
得られるコーティングの物理的特性(熱伝導度、熱膨張係数、硬度、及び靱性など)は、例えば、セラミックス粉末の混合物及び/又は処理技術を変更することによって調整可能である。特定のアプリケーションプロセス用のコーティング厚は、例えばスラリーの粘度、pH、及びバインダーを調整することによって「微調整」されるかもしれない。コーティング及び基板の混合物、及びアプリケーションプロセスに依存して、基板と、コーティングの基板と接触している部分との間に遷移層が形成されるかもしれない。コーティングを基板表面に適用する間にインサイチュー(in−situ)で形成された遷移層は、基板とコーティングの間により良好な化学結合を提供するかもしれず、また基板とコーティングの間の熱膨張差による応力を消散させるかもしれない。
コーティングを適用するために、セラミック粉末を含むスラリー、懸濁化剤、バインダー、及び場合によると様々な種類のドーパントが、一般に、技術的に既知の技術(例として、塗装、浸漬、吹き付け(溶射)、スクリーン印刷、又はスピンオンなど)を用いて、基板の表面上に適用される。基板は、コーティングを形成するために要求される焼結温度に耐えることができなければならない。そして、コーティングを形成できるのに十分な温度及び時間で、コーティングは焼結される。あるアプリケーションのコーティング性能は、コーティングの混合物及びコーティングを適用するために使用される処理条件によって制限される。
電子デバイス及びマイクロマシン(MEMS)の製造において使用される処理チャンバ内に存在する処理チャンバライナー及び部品装置は、例えばしばしばセラミックス(酸化アルミニウム及び窒化アルミニウムなど)で構成されるが、これらに制限されない。シリコンを含む電子デバイス構造をエッチングするために一般に使用される種類のフッ素含有プラズマ内におけるこれらの材料に対する耐プラズマ浸食性は、5年前における処理技術で使用された多くの材料よりも良好であるが、処理装置の寿命を延ばし、デバイス処理の間における金属汚染及び粒子形成を削減する手段として、エッチング処理部品の耐浸食性を改善しようとする努力が常にある。処理装置は非常に高価であるだけでなく、浸食のために良好に機能しない装置を交換する必要性による生産停止時間はまた非常に高価である。
固体の酸化イットリウム部品構造は、反応性プラズマ処理において半導体装置部品として使用される時、多くの利点を示してきた。酸化イットリウム固体部品基板は、一般に酸化イットリウムが体積で少なくとも99.9%を占めており、少なくとも4.92g/cmの密度と、約0.02%以下の吸水度を有する。酸化イットリウムの平均結晶粒径は、約10μm〜約25μmの範囲内にある。本発明の共同発明者たちは、次に示す最大濃度以下の不純物を含む酸化イットリウム含有基板を開発した:90ppmのAl、10ppmのCa、5ppmのCr、5ppmのCu、10ppmのFe、5ppmのK、5ppmのMg、5ppmのNa、5ppmのNi、120ppmのSi、及び5ppmのTi。この酸化イットリウム含有基板は、技術的に以前に知られていた基板に対して改良を提供した。酸化アルミニウムを体積で最大約10%含むこの一般組成をもつ酸化イットリウム含有基板もまた開発された。
反応性エッチングプラズマがCF及びCHFのプラズマ源ガスから生成されるプラズマ種を含む反応性プラズマエッチングレートテストにおいて、固体の酸化イットリウム基板部品は、固体形態の又は下にある基板上のコーティングとしての本発明のいずれかの部品と同様ではないが、固体の酸化アルミニウム基板又は固体の窒化アルミニウム基板よりも良好にプラズマによるエッチングに耐性を示す。
本発明の例示的実施形態を実行する方法が明確となり詳細に理解することができるように、上記の特定の説明を参照して、及び例示的実施形態の詳細な説明を参照して、出願人は実例の図面を提供している。図面は本発明の例示的実施形態を理解するのに必要なときのみ提供され、既知のプロセス及び装置は、開示内容の発明性が曖昧とならないように本明細書内では示されていないことを理解すべきである。
セラミックス基板(酸化アルミニウム又は窒化アルミニウムなど)上でコーティング層としてグレーズ/ガラスセラミックスの使用を示す概略図100である。 フッ化イットリウムガラスセラミックス206に直接隣接する遷移領域204に直接隣接するアルミニウム基板202の結晶構造を示す顕微鏡写真200を示す。 図2Aに示される顕微鏡写真の2倍の拡大率でフッ化イットリウムガラスセラミックス206の結晶構造を示す顕微鏡写真220を示す。 フッ化ネオジウム306をドープしたフッ化イットリウムガラスセラミックスに直接隣接する遷移領域304に直接隣接する酸化アルミニウム基板302の結晶構造の顕微鏡写真300を示す。 図3Aに示される顕微鏡写真の5倍の拡大率で、フッ化ネオジウムをドープしたフッ化イットリウムガラスセラミックス306の結晶構造の顕微鏡写真320を示す。 窒化アルミニウム402、酸化アルミニウム404、異なるメーカーから入手可能な一連の3つの酸化イットリウム(404、408、410、412)、及びオキシフッ化イットリウムガラスセラミックス414を含む様々な固体基板の相対的な正規化された浸食レートを示す棒グラフ400を示す。 オキシフッ化イットリウムガラスセラミックス504に直接隣接する酸化アルミニウム基板(左には図示せず)に直接隣接する遷移層502を示す顕微鏡写真500を示す。遷移領域502を通り抜けるクラック506は、オキシフッ化イットリウムガラスセラミックスコーティングで止まる。 酸化アルミニウム基板上に適用されたオキシフッ化イットリウムガラスセラミックスコーティング用の焼結プロファイル600を示す。焼結時間は軸602上に分単位で示され、温度は軸604上に示される。 酸化アルミニウム基板上に適用されたフッ化ネオジウムをドープしたオキシフッ化イットリウムガラスセラミックスコーティング用の焼結プロファイル700を示す。焼結時間は軸702上に分単位で示され、温度は軸704上に示される。
例示的実施形態の詳細な説明
詳細な説明の前書きとして、本明細書及び特許請求の範囲内で使用されるとき、単数形の「a(1つの)」、「an(1つの)」、「the(その)」は、文脈でそうでないことが明確に述べられていない限り、複数の指示物を含むことに留意すべきである。
本明細書内で「about(約)」という単語が使用されるとき、これは、提示された公称値が±10%以内で正確であることを意味することが意図される。
本明細書内で説明される材料及び方法は、半導体及びMEMS処理装置用の部品装置部分を設計及び製造するのに有益である。特に、部品を製造する材料及び方法は、一般のハロゲンプラズマに、及び部品表面と反応し部品表面を浸食する点で問題が多いフッ素含有プラズマに耐性を持つ部品装置を生み出す。本明細書内で説明される材料及び方法から特に恩恵を得る種類の構成パーツの例は、プラズマ処理チャンバ装置(ガス分布用シャワーヘッド、プロセスチャンバ蓋の内面、プロセスチャンバライナー、及び静電チャック表面など)を例として含むが、これらに限定されない。本明細書内で説明される材料及びこれらの材料からパーツを作る方法を使用することによって、形成される粒子量及び構成パーツの動作寿命の間に起こる金属汚染は減少し、構成パーツの寿命も延びるだろう。
本明細書内で説明される種類の保護コーティングの混合物は、酸化アルミニウム、窒化アルミニウム、石英、炭化珪素、窒化珪素、及び約1600℃よりも高い融点をもつ他のセラミックス又はガラス基板の表面上で有益である。保護コーティングは、イットリウムベースのフッ化物結晶又はイットリウムベースのオキシフッ化物結晶又はオキシフッ化物アモルファス相又はこれらの組み合わせを含む焼結された混合物である。コーティングの形成のために選択される材料は、耐プラズマ性及び与えられた部品に対して要求される機械的、熱的、及び電気的特性に依存する。開始物質は一般に、化合物粉末、懸濁化剤、及びバインダーを含む。化合物粉末の大部分(一般に重量で約30%以上)は、イットリウム化合物であり、それは、オキシフッ化物、フッ化物、又はそれらの組み合わせであるかもしれない。この大部分の化合物は、少量の成分の粉末、例えば、ネオジム、セリウム、サマリウム、エルビウム、アルミニウム、スカンジウム、ランタン、ハフニウム、ニオブ、ジルコニウム、イッテルビウム、ハフニウム、及びこれらの組み合わせの、酸化物、フッ化物、又はオキシフッ化物がドープされるかもしれない。特性(熱伝導度、熱膨張係数、硬度、靭性、絶縁耐力、誘電率、誘電正接、電気抵抗、及び耐浸食性など)は、焼結されるコーティングを形成する際の組み合わせのために選択される化合物によって大部分が決定されるだろう。
懸濁化剤は例として、水、又は、メタノール及びエタノールを含むがこれらに限定されない有機化学薬品、及びそれらの組み合わせから選択されるかもしれない。一般に、懸濁化剤がメタノール又はエタノールであるとき、懸濁液中のこの懸濁化剤の濃度は、約30重量%から約90重量%の範囲にある。バインダーは、例としてポリビニルアルコール(PVA)及びセルロースエーテル重合体、又はそれらの組み合わせから選択されるかもしれないがそれらに限定されない。
材料が選択されると、決定されなければならない他の多くの変数がある。これらは、粉末化された材料の相対的な重量又は体積百分率(又は比率)と初期の粉末化された材料サイズ、懸濁化剤の相対的な重量百分率、及びバインダーの相対的な重量%を含む。これらの変数の決定は、懸濁液の特性(粘度、及び懸濁液を基板面上に適用可能な方法など)に影響するだろう。これらの変数のすべては、焼結前における基板上のコーティングの厚さを含む特性に影響し、最終的には、焼結されるコーティングの厚さを含む特性に影響する。焼結時間及び温度プロファイルは、形成される混合物及び焼結されるコーティングの最終的な結晶構造を決定する。初めに議論されたように、冷却速度が速いとき、グレーズが形成され、冷却速度が遅いとき、ガラスセラミックスが形成される。更に、焼結が起こる周囲の環境(雰囲気)は、追加の元素をコーティング面内に導入するかもしれない。例えば、酸素が焼結雰囲気内に存在するとき、酸素が焼結体内に導入されるだろう。焼結の時間及び温度プロファイルは、コーティング内への酸素の貫入深さ及び形成される混合物に影響するだろう。初めに大量の実証研究が必要とされ、これによって本発明の満足のいく製品が生み出されるガイドラインを確立した。
焼結されたコーティングの厚さは、上述の変数と、酸又は塩基を追加することによって調整されるかもしれないスラリーの最終的なpHにも依存するスラリー粘度を変更することによって調整されるかもしれない。
コーティングを構成するガラスセラミックスは、膨脹係数を調整可能にし、これによって、基板とコーティング間の差異はゼロに近づく。しかしながら、他の所望の特性が、膨脹係数の差異を減らす試みにおいて犠牲となる場合、上述の変数を制御することによって、基板とコーティング間の遷移領域をインサイチュー(in−situ)で生成することができることを私たちは発見した。遷移領域は、基板とコーティング間の熱膨張差による応力を放散させるために使用されるかもしれない。更に、遷移領域は、基板とコーティング間により強力な結合を提供するために使用されるかもしれない。
(例示的なスラリー混合物及びガラス/ガラスセラミックスのコーティングをセラミックス基板上に適用する方法)
調整されるべき変数の境界(限界)を確定するために、2つの系が例における説明のために選択された。第1の系は、純YF粉末系である。第2の系は、YF−NdFドープ粉末系である。使用された懸濁化剤はエタノールであった。本明細書内で説明される実施例において、焼結される粉末混合物の焼結の間、バインダーは全く使用されなかった。説明された実施形態の代案として、懸濁化剤は、例えばポリビニルアルコール(PVC)のバインダー剤と組み合わせて使用される水であることも可能であるが、これに限定されない。
図1は、セラミックス基板(酸化アルミニウム又は窒化アルミニウムなど)上でコーティング層としてグレーズ/ガラスセラミックスの使用を示す概略図100である。図1は、基板102の上にある遷移層(遷移領域)104の上にあるコーティング106を示す。実施例1において、基板は酸化アルミニウム、Alであったが、当業者は、基板がAlNであることも可能であることを認めるだろう。グレーズ/ガラスセラミックスのコーティング層106を形成するために使用された粉末は純YFであった。異なる焼結時間及び温度プロファイルが焼結されるコーティングに対して異なる相混合物をもたらすことを私たちは発見した。焼結は、大気圧でアルゴンの保護ガスを流して実行された。この実施例において説明されるガラスセラミックスコーティングは、約100nmの平均粒径を有する純YF粉末から焼結された。約30nm〜約1μmまでの範囲内の平均粒径を有する粉末が使用されてもよい。YF粉末の重量%が約30%であり、懸濁液中のエタノールの重量%が約70%であるエタノール懸濁化剤中に、YF粉末は懸濁された。以前に述べたように、その代わりとして、懸濁化剤は、バインダーが使用される水であるかもしれない。バインダー(PVAなど)は、良好に機能する。コーティングは、技術的に既知の種類の浸漬技術を用いて、酸化アルミニウム基板上に適用される。基板は、所望のコーティング厚さを達成するために何度も懸濁液に浸漬されることができる。この例において、焼結前のコーティング厚さは、約100μmであった。
焼結プロセスは、大気圧でアルゴンの保護ガスを流して実行された。約25μmの厚さを有する酸化アルミニウム基板上に存在するコーティングに対する焼結時間/温度プロファイルが図6に示される。グラフ600は、軸602上に分単位の時間間隔と、軸604上に℃単位の温度を示す。図示されるように、コーティングを適用された基板は、領域606に示されるように、約60分の時間間隔に亘って室温から1000℃まで線形レートで温度を急速に増加させた。その後、約140分の時間間隔に亘って1000℃から1410℃まで温度を増加させる間、曲線の領域608によって示されるように、加熱レートは減速された。その後、焼結は、約180分の時間間隔の間、曲線の領域610で示されるように、1410℃の一定温度に保持された。最後に、コーティングされた基板は、曲線の領域612によって示されるように、約275分の時間間隔に亘って1410℃から室温まで線形レートで冷却された。生成された焼結コーティングの厚さは、約25μmであった。
4つの結晶相が、1410℃で焼結されたガラスセラミックスコーティング構造のX線回折において見出された。コーティング面の近く及びコーティング面のコーティング層組成は、YOF及びYを含んでいた。コーティングと酸化アルミニウム基板の間には、基板に隣接し接触するAlが続くAlFの遷移領域があった。表層内の結晶粒と遷移層の間には、Y−Al−O−Fアモルファス相が約22モル%分布していた。コーティング構造に対する相の組成、相組成%、及び粒径が以下の表1に示される。
Figure 2012508684
実施例2において、初期の懸濁液の組成は、実施例1において説明されたものと同じであった。基板上で未焼結のコーティング厚さは、100μmであった。焼結は、大気圧でアルゴンの保護ガスを流して実行された。焼結時間/温度プロファイルが、図7に示される。グラフ700は、軸702上に分単位の時間間隔と、軸704上に℃単位の温度を示す。図示されるように、コーティングを適用された基板は、領域706に示されるように、約58分の時間間隔に亘って室温から1000℃まで線形レートで温度を急速に増加させた。その後、約145分の時間間隔に亘って1000℃から1430℃まで温度を増加させる間、曲線の領域708によって示されるように、加熱レートは減速された。その後、焼結は、約120分の時間間隔の間、曲線の領域610で示されるように、1430℃の一定温度に保持された。最後に、コーティングされた基板は、曲線の領域712によって示されるように、約265分の時間間隔に亘って1430℃から室温まで線形レートで冷却された。生成された焼結コーティングの厚さは、約25μmであった。
5つの結晶相が、ガラスセラミックスコーティング構造のX線回折において見出された。コーティング層は、YOF、Y、及び結晶のYFを含んでいた。コーティングと酸化アルミニウム基板の間には、基板に隣接するAlが続くAlFの遷移領域があった。X線回折では、アモルファス相の含有量が重量で1%未満を示すこのガラスセラミックスにアモルファス相を検出することができなかった。コーティング構造に対するXRDで分析された相の組成及び粒径が以下の表2に示される。
Figure 2012508684
加熱レート及び冷却レートを含む焼結プロファイルは、実施例1に対するものと同じであった。しかしながら、焼結温度は1430℃へ上昇させ、ドウェル時間を2時間に減らすことによって、コーティング構造全体に非常に顕著な驚くべき効果を得た。図7は、アモルファス材料が存在しないコーティングを生成した焼結条件を示し、様々な化合物の粒径が大きく変わった。例えば、YOF相の粒径は、約46nmから100nm超へ増加した。YF結晶相は、最高温度が1410℃である前の焼結プロファイルでは形成しなかったが、最高温度が1430℃である焼結プロファイルでは形成した。YF結晶相の粒径は、100nmよりも大きかった。AlFの粒径は、2.9nmから58.6nmへ増加した。これらの結晶成分のすべての粒径は増加したが、Al結晶成分の粒径は、100nm超から約59.4nmへ減少した。ガラスセラミックスコーティングを結果として生成する混合物における差異は、様々な相に対する相混合物及び粒径のシフト量の点で驚くべき結果であった。更に、基板からコーティングまでの遷移領域は、顕著に変化しており、遷移領域の混合物は、例1において議論されたコーティングの主にAl(28.7%のAlと3.89%のAlF)であった遷移領域と比べて、主にAlF(23.88%のAlFと9.13%のAl)となっていた。混合物の相違は、遷移層の異なる熱特性及び機械的特性をもたらした。
遷移領域のこの変化は、コーティングが基板間の膨脹係数の差異による応力の原因となる温度変動に耐える能力をも決定する。後述のように、生成された応力は、コーティングのクラッキング(割れ)を引き起こす可能性がある。
図2A及び2Bは、実施例1において説明された方法で生成されたコーティングされた酸化アルミニウム基板の割れた表面観察を示す顕微鏡写真を示す。図2Aにおいて、構造200は、明らかな多孔質の潜在性を示す結晶から成る酸化アルミニウム基板202を含む。酸化アルミニウムの平均結晶粒径は、100nmよりも大きい。遷移領域204は、これらの結晶と直接接触している。遷移領域204は、酸化アルミニウム基板202に隣接して、いくらかの酸化アルミニウムを含むが、はるかに結晶粒径が小さく、平均が約2.9nmであるAlF混合物が、基板から離れて広がっている。このより小さな結晶は、顕微鏡写真に示されるように、より密に詰まった構造を提供することができる。YとYOFの組み合わせを含むコーティング層206は、遷移領域204から離れて動く。Yの平均結晶粒径は約18.6nmであり、YOFの平均結晶粒径は約46nmである。Y及びYOF結晶を取り囲むマトリックスとして作用するアモルファスY−Al−O−F相の存在は、不浸透性のコーティングを提供する。図2Bは、コーティング202が、図2Aで領域206に対して示された倍率の2倍の倍率で示されるアモルファスY−Al−O−F相が点在するY及びYOF結晶粒である構造220の顕微鏡写真を示す。構造220の右方の割れのない領域206を見て推測されるように、仕上げコーティング面(図示せず)は密であり、自由な微粒子はない。
コーティングマトリックスのY及びYOFの結晶部分に存在する酸素は、一般に酸化物基板から供給された。焼結プロセスの間、アルゴンの流れは、焼結炉を通して循環された。AlF遷移層は、以下のメカニズムに従って形成された。1410℃〜1430℃の焼結温度は、YFの融解温度よりも高い。Y−AL−O−F溶融物が形成される。しかしながら、溶融物混合物は均質ではなく、Al基板に近い領域に、より高いアルミニウム含有量をもつ。溶融物の冷却の間、AlF(不均質)の核生成が、Al基板と溶融物間の境界の場所で始まり、冷却する間、成長は続き、これによってAlF結晶粒を生成する。
実施例4において、基板はまたAlであったが、当業者は、基板が酸化アルミニウム又は窒化アルミニウムであってもよいことを認めるだろう。コーティングを生成するために用いられたセラミックス粉末は、重量で80%のYFと重量で20%のNdFの混合物であった。再び、私達は、異なる焼結時間/温度プロファイルが、焼結セラミックスコーティングに対して異なる相組成をもたらすことを確定した。焼結は大気圧でアルゴンの保護ガスを流して実行された。重量で80%のYFと重量で20%のNdFの混合物から焼結されたガラスセラミックスコーティングは、実施例1に関して説明され、図6で示される焼結プロファイルを用いて、最初に焼結された。YF粉末は、約100nmの平均粉末粒径を有していた。NdF粉末は、約100nmの平均粉末粒径を有していた。粉末混合物の重量%が約30%であり、懸濁液中のエタノールが約70重量%であるエタノール懸濁化剤中に、粉末は懸濁された。コーティングは、浸漬技術を用いて、酸化アルミニウム基板上に適用され、これによって基板上に約100μmの未焼結コーティング厚さを結果として生成した。
先に述べたように、約25μmの厚さを有する酸化アルミニウム基板上に存在するコーティングに対する焼結時間/温度プロファイルが図6に示される。
5つの結晶相が、ガラスセラミックスコーティング構造のX線回折において見出された。コーティング層は、YOF、Nd11、NdAl、NdAlO、及びAlを含んでいた。アモルファスY−Nd−Al−O−F相の約20モル%が結晶粒間に分布していた。YOF及びNd11は、コーティング表面により近いコーティング上部から生成された。NdAlO及びNdAlは、遷移層から生成され、AlはAl基板面に隣接していた。アモルファスY−Nd−Al−O−F相は、コーティングの上部及び遷移領域全域に亘って存在していた。コーティング構造に対するXRDで分析された相の組成及び粒径が以下の表3に示される。
Figure 2012508684
開始物質の組成は、実施例4に対するものと同じであった。焼結時間/温度プロファイルが図7に示され、コーティングは120分(2時間)の間、1430℃で焼結された。
5つの結晶相が、ガラスセラミックスコーティング構造のX線回折において見出された。コーティング層は、YOF及びNdを含んでいた。コーティングと酸化アルミニウム基板の間には、基板に隣接するAlが続くNd−5Y−5Al12、NdAl、及びAlFである遷移領域があった。アモルファス相は示されなかった。これは、アモルファス相の含有量が重量で1%未満であり、X線回折が存在を検出できないことを意味する。ガラスセラミックスコーティングと基板間の遷移領域内に、1つのNd−Y−Al−O相(Nd−5Y−5Al12)及び1つのAlF相をもつ1つのNd−Al−O相(NdAl)の存在は、コーティングと基板間に特に強力な結合を提供していた。結晶間で粒界を作るアモルファス相は依然として低い含有量であるので、X線回折がアモルファス相を検出できないという事実にもかかわらず、コーティングの表面は浸食に耐え続ける。
実施例6において、基板はまたAlであったが、当業者は、基板が酸化アルミニウム又は窒化アルミニウムであってもよいことを認めるだろう。コーティングを生成するために用いられたセラミックス粉末は、重量で90%のYFと重量で10%のNdFの混合物であった。YF粉末は、約100nmの平均粉末粒径を有していた。NdF粉末は、約100nmの平均粉末粒径を有していた。粉末混合物の重量%が約30%であり、懸濁液中のエタノールが約70重量%であるエタノール懸濁化剤中に、粉末は懸濁された。コーティングは、浸漬技術を用いて、酸化アルミニウム基板上に適用され、これによって基板上に約100μmの焼結されていないコーティング厚さを結果として生成した。焼結プロセスは、焼結温度が1430℃で120分間実行される図7に示されるような焼結プロファイルを用いて、大気圧でアルゴンの保護ガスを流して実行された。
6つの結晶相が、ガラスセラミックスコーティング構造のX線回折において見出された。コーティングの上部は、YOF及びNd(又はNd11)を含んでいた。遷移層は、Al相がAl基板の表面近くに存在する状態で、Nd−5Y−5Al12、NdAl、及びAlFから成る。約4モル%のアモルファスY−Nd−Al−O−F相が、結晶粒の間に分布していた。ガラスセラミックスコーティングと基板間の遷移領域内に、1つのNd−Y−Al−O相(Nd−5Y−5Al12)及び1つのAlF相をもつ1つのNd−Al−O相(NdAl)の存在は、コーティングと基板間に特に強力な結合を提供していた。コーティング構造に対するXRDで分析された相の組成及び粒径が以下の表4に示される。
Figure 2012508684
コーティングの上部は、YOF及びNd(又はNd11)及びアモルファスY−Nd−Al−O−F相であった。遷移層は、Al相がAl基板の表面近くに存在する状態で、Nd−5Y−5Al12、NdAl、及びAlFであった。
図3A及び3Bは、実施例6において説明されたように生成された種類のコーティングに対して得られたコーティング構造を示す。図3Aは、Al基板302、遷移領域304、及びアモルファスY−Md−Al−O−F相と共にYOF及びNdの結晶化相を有するコーティング306を有する構造300の顕微鏡写真を示す。図3Bは、図3Aに示される5倍の倍率の3相を含むコーティング306の拡大図を示す。
図5は、図3Aにおいて寸法をμmスケールで記した領域近くの顕微鏡写真下部を示す。倍率は図3Aの倍率の2倍に拡大され、これによって遷移層を通って進展し、ガラスセラミックスマトリックスであるコーティング層の上部で止まるクラックを示す。クラックがガラスセラミックスコーティング504中に前進させるであろう点で、示された構造500は、遷移領域502、遷移領域502を通って進展するクラック506、及びクラックがガラスセラミックスコーティング504内へ進展するであろう点におけるクラック508の終端を示す。この顕微鏡写真は、コーティングが過度の応力下に置かれるとき(顕微鏡写真サンプルを提供するために構造が破断されるときなど)でさえ、ガラスセラミックス構造がコーティングに対する完全性を提供する可能性を示す。
図4は、窒化アルミニウム402、酸化アルミニウム404、異なるメーカーから入手可能な一連の3つの酸化イットリウム(404、408、410、412)、及びイットリウムオキシフッ化物ガラスセラミックス414を含む様々な固体基板の正規化された相対浸食レートを示す棒グラフ400を示す。イットリウムオキシフッ化物ガラスセラミックス試験片は、実施例1において上述された種類のコーティングによって保護された酸化アルミニウム基板であった。試験片はCF/CHFプラズマ源ガスから作成されたエッチングプラズマ中で処理された。エッチング処理は、一般にシリコン基板のプラズマエッチングの間に用いられる種類のものであった。イットリウムオキシフッ化物ガラスセラミックスコーティングの浸食レートは、固体のY基板の浸食レートに対して25%向上し、Al基板の浸食レートに対して600%向上し、A/N基板に対して800%向上した。上述の向上した機械的、熱的、電気的特性及び図5に示される応力下でのクラッキングに対する耐性と共に、この向上した浸食レートは、改善されたメカニカルで、熱、及び電気的性質の説明された上記とクラッキングへの抵抗と結合されたこの改善された浸食割合は、技術的に以前から既知の競合材料に対して、彼らが開発した材料が驚くべき改善を提供するという発明者の主張を支持する。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく本発明の開示内容を考慮して創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. イットリウムベースのフッ化物結晶相、又はイットリウムベースのオキシフッ化物結晶相、又はオキシフッ化物アモルファス相、又はそれらの組み合わせを含む焼結された混合物を含み、前記コーティングは約1600℃よりも高い融点を有する表面上に存在する、ハロゲン含有プラズマに抵抗力をもつ保護コーティング混合物。
  2. 追加の結晶相化合物が存在し、前記追加の結晶相化合物は、ネオジウム、セリウム、サマリウム、エルビウム、アルミニウム、スカンジウム、ランタン、ハフニウム、ニオブ、ジルコニウム、イッテルビウム、ハフニウム、及びこれらの元素の少なくとも1つの酸化物、フッ化物、又はオキシフッ化物の組み合わせの、酸化物、フッ化物、又はオキシフッ化物から成る群から選択されるドーパントの存在による前記混合物の焼結の間に形成される請求項1に係る保護コーティング混合物。
  3. 前記コーティング混合物の前記結晶部分は、重量で約70%から重量で100%未満の範囲にある請求項1に係る保護コーティング混合物。
  4. 半導体処理装置の部品であって、前記部品の表面は、半導体処理の間にハロゲン含有反応性プラズマに曝露され、前記部品は、
    約1600℃よりも高い融点をもつセラミックス又はガラス基板と、
    前記基板の少なくとも1つの面上に適用された保護コーティングを含み、前記コーティングは、少なくとも1つのイットリウムベースのフッ化物結晶相、又は少なくとも1つのイットリウムベースのオキシフッ化物結晶相、又はイットリウム及びフッ素を含む少なくとも1つのアモルファス相、又はそれらの組み合わせを含む部品。
  5. 前記基板は、酸化アルミニウム、窒化アルミニウム、石英、炭素珪素、窒化珪素、及びそれらの組み合わせから選択される請求項4記載の部品。
  6. 前記保護コーティングは、前記コーティングの上面近くにマトリックス領域を含み、前記マトリックス領域は、ネオジウム、セリウム、サマリウム、エルビウム、アルミニウム、スカンジウム、ランタン、ハフニウム、ニオブ、ジルコニウム、イッテルビウム、ハフニウム、及びこれらの元素の少なくとも1つの酸化物、フッ化物、又はオキシフッ化物の組み合わせの、酸化物、フッ化物、又はオキシフッ化物から成る群から選択される結晶粒を含む請求項4記載の部品。
  7. 前記結晶粒は、約70重量%から100重量%未満までの範囲の濃度で存在する請求項6記載の部品。
  8. 前記コーティングの上面近くの前記マトリックス領域と前記基板の間に遷移マトリックス領域が存在し、前記遷移マトリクス領域はフッ化アルミニウムを含む請求項6記載の部品。
  9. 半導体処理装置の部品であって、前記部品の表面は、半導体処理の間にハロゲン含有反応性プラズマに曝露され、前記構造は、
    全体に均一な混合物を有する固体構造を含み、前記混合物は、酸化イットリウム、フッ化イットリウム、オキシフッ化イットリウム、及び、ネオジウム、セリウム、サマリウム、エルビウム、アルミニウム、スカンジウム、ランタン、ハフニウム、ニオブ、ジルコニウム、イッテルビウム、ハフニウム、及びこれらの組み合わせの、酸化物、フッ化物、又はオキシフッ化物から成る群から選択される少なくとも1つの追加の化合物から成る群から選択される結晶粒を含む部品。
  10. 前記固体構造は、イットリウム及びフッ素を含むアモルファス相も含む請求項9記載の部品。
  11. 基板の少なくとも1つの面上に、フッ化イットリウム、オキシフッ化イットリウム、又はそれらの組み合わせを含む保護コーティングを形成する方法であって、
    a)前記基板の少なくとも1つの面上に懸濁液中に粉末を含むスラリーを適用し、これによって前記少なくとも1つの面上にコーティングを提供するステップであって、前記粉末はフッ化イットリウムを含み、前記基板は約1600℃よりも高い融点を有するように選択されるステップと、
    b)前記基板面上に存在する前記コーティングを焼結し、これによってフッ化イットリウム、又はオキシフッ化イットリウム、又はこれらの組み合わせを含む焼結されたコーティングを生成するステップを更に含む方法。
  12. 前記粉末は、ネオジウム、セリウム、サマリウム、エルビウム、アルミニウム、スカンジウム、ランタン、ハフニウム、ニオブ、ジルコニウム、イッテルビウム、ハフニウム、及びこれらの元素の少なくとも1つの酸化物、フッ化物、又はオキシフッ化物の組み合わせの、酸化物、フッ化物、又はオキシフッ化物から成る群から選択されるドーパントを含む請求項11記載の方法。
  13. 前記スラリーは、少なくとも1400℃の温度で、少なくとも2時間の間、焼結される請求項11又は請求項12記載の方法。
  14. 前記焼結は、アルゴンが流れる環境で行われる請求項13記載の方法。
  15. 前記焼結は、酸素を含む環境で行われる請求項13記載の方法。
JP2011536327A 2008-11-12 2009-11-12 反応性プラズマ処理に耐性をもつ保護コーティング Expired - Fee Related JP5877711B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US19912708P 2008-11-12 2008-11-12
US61/199,127 2008-11-12
US12/590,200 2009-11-03
US12/590,200 US9017765B2 (en) 2008-11-12 2009-11-03 Protective coatings resistant to reactive plasma processing
PCT/US2009/006096 WO2010056338A2 (en) 2008-11-12 2009-11-12 Protective coatings resistant to reactive plasma processing

Publications (3)

Publication Number Publication Date
JP2012508684A true JP2012508684A (ja) 2012-04-12
JP2012508684A5 JP2012508684A5 (ja) 2012-12-27
JP5877711B2 JP5877711B2 (ja) 2016-03-08

Family

ID=42170591

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011536327A Expired - Fee Related JP5877711B2 (ja) 2008-11-12 2009-11-12 反応性プラズマ処理に耐性をもつ保護コーティング

Country Status (6)

Country Link
US (1) US9017765B2 (ja)
JP (1) JP5877711B2 (ja)
KR (1) KR101500932B1 (ja)
CN (1) CN102245540B (ja)
TW (1) TWI468364B (ja)
WO (1) WO2010056338A2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014002580A1 (ja) * 2012-06-27 2014-01-03 日本イットリウム株式会社 溶射材料及びその製造方法
JP2014040634A (ja) * 2012-08-22 2014-03-06 Shin Etsu Chem Co Ltd 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材
WO2014112171A1 (ja) * 2013-01-18 2014-07-24 日本イットリウム株式会社 溶射材料
JP5668260B1 (ja) * 2013-08-08 2015-02-12 日本イットリウム株式会社 プラズマ溶射用スラリー
WO2016080459A1 (ja) * 2014-11-21 2016-05-26 日本イットリウム株式会社 焼結体
JP2016156046A (ja) * 2015-02-24 2016-09-01 株式会社フジミインコーポレーテッド 溶射用粉末
JP2016211071A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211072A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211070A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2017034257A (ja) * 2015-08-03 2017-02-09 ラム リサーチ コーポレーションLam Research Corporation プラズマエッチング耐性コーティングを有するプラズマエッチングデバイス
WO2017115662A1 (ja) * 2015-12-28 2017-07-06 日本イットリウム株式会社 成膜用材料
JP2018511943A (ja) * 2015-03-18 2018-04-26 インテグリス・インコーポレーテッド フッ化アニールした膜でコーティングした物品
KR20180132949A (ko) * 2016-05-03 2018-12-12 어플라이드 머티어리얼스, 인코포레이티드 보호 금속 불산화물 코팅들
JP2019192701A (ja) * 2018-04-20 2019-10-31 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理装置用部材
JP2021063247A (ja) * 2019-10-10 2021-04-22 三星電子株式会社Samsung Electronics Co.,Ltd. 部材、その製造方法、その製造装置、及び半導体製造装置
KR20220151610A (ko) 2020-03-06 2022-11-15 도카로 가부시키가이샤 신규한 텅스텐계 용사 피막 및 그것을 얻기 위한 용사용 재료
WO2024053257A1 (ja) * 2022-09-09 2024-03-14 日本イットリウム株式会社 成膜用材料及び皮膜の製造方法

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157731B2 (en) * 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
FR2966455B1 (fr) * 2010-10-25 2013-05-17 Commissariat Energie Atomique Procede pour revetir une piece d'un revetement de protection contre l'oxydation
CN101973773B (zh) * 2010-11-03 2013-03-13 淄博恒世科技发展有限公司 氮化硅结合碳化硅大型坩埚的制备方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101998440B1 (ko) 2011-08-10 2019-07-09 엔테그리스, 아이엔씨. 선택적 이트리아 상부층을 가지는 AlON 피복 기판
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN102629541B (zh) * 2012-04-25 2016-02-17 中微半导体设备(上海)有限公司 喷淋头及其形成方法
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
TWI659853B (zh) * 2014-04-25 2019-05-21 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
TWI751106B (zh) * 2015-05-08 2022-01-01 日商東京威力科創股份有限公司 熔射用材料、熔射被膜及附熔射被膜之構件
US10106466B2 (en) * 2015-05-08 2018-10-23 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
US10138167B2 (en) * 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
KR102350441B1 (ko) * 2015-06-05 2022-01-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
US20170018408A1 (en) * 2015-07-15 2017-01-19 Lam Research Corporation Use of sintered nanograined yttrium-based ceramics as etch chamber components
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9850161B2 (en) 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
TWM563652U (zh) * 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102407119B1 (ko) 2016-11-02 2022-06-10 닛폰 이트륨 가부시키가이샤 성막용 재료 및 피막
KR102035513B1 (ko) * 2016-11-10 2019-10-23 토토 가부시키가이샤 구조물
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10563303B2 (en) * 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113260732A (zh) * 2018-12-05 2021-08-13 京瓷株式会社 等离子体处理装置用构件和具备它的等离子体处理装置
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7268177B2 (ja) * 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
JP7316441B2 (ja) * 2019-07-29 2023-07-27 レイセオン カンパニー 多相赤外線透過性セラミック材料
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR102371936B1 (ko) * 2019-12-04 2022-03-08 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220154325A1 (en) * 2020-11-18 2022-05-19 Entegris, Inc. Articles coated with crack-resistant fluoro-annealed films and methods of making
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11699611B2 (en) 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023183330A1 (en) * 2022-03-23 2023-09-28 Lam Research Corporation Spark plasma sintered component for cryo-plasma processing chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3384508A (en) * 1964-01-08 1968-05-21 Corning Glass Works Method of glazing semicrystalline glass-ceramic articles and resultant intermediate layer containing composite
US3715196A (en) * 1970-09-02 1973-02-06 Corning Glass Works Low-expansion glass-ceramic cementing method
JP2001351966A (ja) * 2000-06-05 2001-12-21 Sumitomo Osaka Cement Co Ltd サセプタ及びサセプタの製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5541012A (en) * 1992-05-08 1996-07-30 Nippon Telegraph And Telephone Corporation Infrared-to-visible up-conversion material
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6316377B1 (en) 1999-09-10 2001-11-13 Battelle Memorial Institute Rare earth oxide fluoride nanoparticles and hydrothermal method for forming nanoparticles
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4601160B2 (ja) 2000-12-26 2010-12-22 京セラ株式会社 耐食性部材
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP2007116088A (ja) * 2005-09-26 2007-05-10 Hitachi Ltd 磁性材料,磁石及び回転機
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI483291B (zh) * 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3384508A (en) * 1964-01-08 1968-05-21 Corning Glass Works Method of glazing semicrystalline glass-ceramic articles and resultant intermediate layer containing composite
US3715196A (en) * 1970-09-02 1973-02-06 Corning Glass Works Low-expansion glass-ceramic cementing method
JP2001351966A (ja) * 2000-06-05 2001-12-21 Sumitomo Osaka Cement Co Ltd サセプタ及びサセプタの製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JPN6014022194; Koji Okuda et al.: 'Electrical Joining of Silicon Nitride Ceramics' J.Am.Ceram.Soc. Vol.76 No.6, 1993, pp.1459-1465 *
JPN6014022197; 江畑儀弘 他: '窒化ケイ素セラミックスの電気的接合' 日本セラミックス協会学術論文誌 Vol.97 No.1, 1989, pp.88-90 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014002580A1 (ja) * 2012-06-27 2014-01-03 日本イットリウム株式会社 溶射材料及びその製造方法
US9388485B2 (en) 2012-06-27 2016-07-12 Nippon Yttrium Co., Ltd. Thermal spray material and process for preparing same
JP2014040634A (ja) * 2012-08-22 2014-03-06 Shin Etsu Chem Co Ltd 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材
WO2014112171A1 (ja) * 2013-01-18 2014-07-24 日本イットリウム株式会社 溶射材料
JP2014136835A (ja) * 2013-01-18 2014-07-28 Nippon Yttrium Co Ltd 溶射材料
US9708187B2 (en) 2013-01-18 2017-07-18 Nippon Yttrium Co., Ltd. Thermal spray material
EP3031944A4 (en) * 2013-08-08 2017-02-01 Nippon Yttrium Co., Ltd. Slurry for thermal spraying
JP5668260B1 (ja) * 2013-08-08 2015-02-12 日本イットリウム株式会社 プラズマ溶射用スラリー
WO2015019673A1 (ja) * 2013-08-08 2015-02-12 日本イットリウム株式会社 溶射用スラリー
WO2016080459A1 (ja) * 2014-11-21 2016-05-26 日本イットリウム株式会社 焼結体
JP2016156046A (ja) * 2015-02-24 2016-09-01 株式会社フジミインコーポレーテッド 溶射用粉末
JP2018511943A (ja) * 2015-03-18 2018-04-26 インテグリス・インコーポレーテッド フッ化アニールした膜でコーティングした物品
JP2016211070A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211071A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211072A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2017034257A (ja) * 2015-08-03 2017-02-09 ラム リサーチ コーポレーションLam Research Corporation プラズマエッチング耐性コーティングを有するプラズマエッチングデバイス
WO2017115662A1 (ja) * 2015-12-28 2017-07-06 日本イットリウム株式会社 成膜用材料
US10934174B2 (en) 2015-12-28 2021-03-02 Nippon Yttrium Co., Ltd. Film-forming material
KR102487562B1 (ko) * 2016-05-03 2023-01-10 어플라이드 머티어리얼스, 인코포레이티드 보호 금속 불산화물 코팅들
KR20180132949A (ko) * 2016-05-03 2018-12-12 어플라이드 머티어리얼스, 인코포레이티드 보호 금속 불산화물 코팅들
JP2019192701A (ja) * 2018-04-20 2019-10-31 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理装置用部材
JP7122854B2 (ja) 2018-04-20 2022-08-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置用部材、またはプラズマ処理装置の製造方法およびプラズマ処理装置用部材の製造方法
JP2021063247A (ja) * 2019-10-10 2021-04-22 三星電子株式会社Samsung Electronics Co.,Ltd. 部材、その製造方法、その製造装置、及び半導体製造装置
JP7426796B2 (ja) 2019-10-10 2024-02-02 三星電子株式会社 部材、その製造方法、その製造装置、及び半導体製造装置
KR20220151610A (ko) 2020-03-06 2022-11-15 도카로 가부시키가이샤 신규한 텅스텐계 용사 피막 및 그것을 얻기 위한 용사용 재료
WO2024053257A1 (ja) * 2022-09-09 2024-03-14 日本イットリウム株式会社 成膜用材料及び皮膜の製造方法
JP7501813B1 (ja) 2022-09-09 2024-06-18 日本イットリウム株式会社 成膜用材料及び皮膜の製造方法

Also Published As

Publication number Publication date
JP5877711B2 (ja) 2016-03-08
WO2010056338A3 (en) 2010-08-26
US20100129670A1 (en) 2010-05-27
TWI468364B (zh) 2015-01-11
KR101500932B1 (ko) 2015-03-10
US9017765B2 (en) 2015-04-28
KR20110086851A (ko) 2011-08-01
WO2010056338A2 (en) 2010-05-20
TW201026632A (en) 2010-07-16
CN102245540B (zh) 2017-07-07
CN102245540A (zh) 2011-11-16

Similar Documents

Publication Publication Date Title
JP5877711B2 (ja) 反応性プラズマ処理に耐性をもつ保護コーティング
US8858745B2 (en) Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
KR101441865B1 (ko) 할로겐-함유 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 장치 및 방법
JP4987238B2 (ja) 窒化アルミニウム焼結体、半導体製造用部材及び窒化アルミニウム焼結体の製造方法
WO2009085117A2 (en) Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components
TWI375734B (en) Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
US20190019655A1 (en) Plasma resistant semiconductor processing chamber components
KR102395660B1 (ko) 용사 재료 및 그 용사 재료로 제조된 용사 피막
TW202222735A (zh) 經氧化鋯強化之氧化鋁陶瓷燒結體
JP4095345B2 (ja) 耐食性部材

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140302

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140410

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140503

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150602

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151228

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160126

R150 Certificate of patent or registration of utility model

Ref document number: 5877711

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees