US20180151401A1 - Substrate support assembly having a plasma resistant protective layer - Google Patents

Substrate support assembly having a plasma resistant protective layer Download PDF

Info

Publication number
US20180151401A1
US20180151401A1 US15/874,495 US201815874495A US2018151401A1 US 20180151401 A1 US20180151401 A1 US 20180151401A1 US 201815874495 A US201815874495 A US 201815874495A US 2018151401 A1 US2018151401 A1 US 2018151401A1
Authority
US
United States
Prior art keywords
protective layer
ceramic body
ceramic
plasma
iad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/874,495
Inventor
Jennifer Y. Sun
Senh Thach
Biraja P. Kanungo
Vahid Firouzdor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/874,495 priority Critical patent/US20180151401A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FIROUZDOR, VAHID, KANUNGO, BIRAJA P., SUN, JENNIFER Y., THACH, SENH
Publication of US20180151401A1 publication Critical patent/US20180151401A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • Y10T156/1002Methods of surface bonding and/or assembly therefor with permanent bending or reshaping or surface deformation of self sustaining lamina
    • Y10T156/1039Surface deformation only of sandwich or lamina [e.g., embossed panels]
    • Y10T156/1041Subsequent to lamination
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A substrate support assembly comprises a ceramic body and a thermally conductive base bonded to a lower surface of the ceramic body. The substrate support assembly further comprises a protective layer covering an upper surface of the ceramic body, wherein the protective layer comprises at least one of yttrium aluminum garnet (YAG) or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2.

Description

    RELATED APPLICATIONS
  • This patent application is a divisional of U.S. patent application Ser. No. 14/086,799, filed on Nov. 21, 2013, which claims the benefit under 35 U.S.C. § 119(e) of U.S. Provisional Patent Application No. 61/733,349, filed Dec. 4, 2012, and further claims the benefit under 35 U.S.C. § 119(e) of U.S. Provisional Patent Application No. 61/791,669, filed Mar. 15, 2013. The contents of these applications are hereby incorporated by reference in their entirety.
  • TECHNICAL FIELD
  • Embodiments of the present invention relate, in general, to a substrate support assembly such as an electrostatic chuck that has a plasma resistant protective layer.
  • BACKGROUND
  • In the semiconductor industry, devices are fabricated by a number of manufacturing processes producing structures of an ever-decreasing size. Some manufacturing processes such as plasma etch and plasma clean processes expose a substrate to a high-speed stream of plasma to etch or clean the substrate. The plasma may be highly corrosive, and may corrode processing chambers and other surfaces that are exposed to the plasma.
  • SUMMARY
  • In one embodiment, a substrate support assembly comprises a ceramic body, a thermally conductive base bonded to a lower surface of the ceramic body, and a protective layer covering an upper surface of the ceramic body, wherein the protective layer is a yttrium aluminum garnet (YAG) or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. In one embodiment, the protective layer is deposited on the upper surface of the ceramic body via ion assisted deposition (IAD) or plasma spraying. In another embodiment, the protective layer is a bulk sintered ceramic body that is diffusion bonded to the ceramic body via a heat treatment.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that different references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one.
  • FIG. 1 depicts a sectional view of one embodiment of a processing chamber;
  • FIG. 2 depicts an exploded view of one embodiment of a substrate support assembly;
  • FIG. 3 depicts a side view of one embodiment of a substrate support assembly;
  • FIG. 4A depicts an exploded side view of one embodiment of a substrate support;
  • FIG. 4B depicts an exploded side view of another embodiment of a substrate support;
  • FIG. 5 illustrates one embodiment of a process for forming a protective layer over a ceramic body of a substrate support surface;
  • FIG. 6 illustrates another embodiment of a process for forming a protective layer over a ceramic body of a substrate support surface; and
  • FIG. 7 illustrates yet another embodiment of a process for forming a protective layer over a ceramic body of a substrate support surface.
  • DETAILED DESCRIPTION OF EMBODIMENTS
  • Embodiments of the present invention provide a substrate support assembly having a protective layer formed over a ceramic body of the substrate support assembly. The protective layer may provide plasma corrosion resistance for protection of the ceramic body. The protective layer may be yttrium aluminum garnet (YAG) or a ceramic compound that includes Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The improved erosion resistance provided by the protective layer may improve the service life of the substrate support assembly, while reducing maintenance and manufacturing cost.
  • Note that embodiments are described herein with reference to substrate support assemblies such as electrostatic chucks (ESCs). However, it should be understood that embodiments may also apply to other structures that are exposed to plasma. For example, embodiments may apply to ceramic coated rings, walls, bases, gas distribution plates, shower heads, liners, liner kits, shields, plasma screens, flow equalizers, chamber walls, cooling base, etc. of a plasma etcher, a plasma cleaner, a plasma propulsion system, and so forth.
  • For embodiments of the present invention applying to any of the aforementioned chamber components, a protective layer may be a composite ceramic that includes Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The protective layer may be applied over existing material (e.g., be a top coat), or may be a sole protecting layer. Though certain embodiments are discussed with regards to a ceramic compound that includes Y4Al2O9 and a solid-solution of Y2O3—ZrO2 (referred to herein as the composite ceramic), other plasma resistant ceramics may also be used. Such other plasma resistant ceramics may include yttria alumina garnet (YAG), yttria stabilized zirconia (YSZ) or various yttria based solid solutions.
  • FIG. 1 is a sectional view of one embodiment of a semiconductor processing chamber 100 having a substrate support assembly 148 disposed therein. The substrate support assembly 148 has a protective layer 136 of a ceramic, which may be a composite ceramic or other ceramic. The composite ceramic is composed of a compound of Y4Al2O9 (YAM) and a solid solution of Y2-xZrxO3 (Y2O3—ZrO2 solid solution). The protective layer 136 may be a sintered bulk ceramic article that was produced from a ceramic powder or a mixture of ceramic powders. Alternatively, the protective layer 136 may be a plasma sprayed or thermally sprayed layer that was produced by plasma spraying (or thermally spraying) a mixture of ceramic powders. Alternatively, the protective layer 136 may be an ion assisted deposition (IAD) coating that was deposited using a bulk composite ceramic target or other bulk ceramic target.
  • In one embodiment, the composite ceramic coating is composed of a compound Y4Al2O9 (YAM) and a solid solution Y2-xZrxO3 (Y2O3—ZrO2 solid solution). In a further embodiment, the composite ceramic coating includes 62.93 mol % Y2O3, 23.23 mol % ZrO2 and 13.94 mol % Al2O3. In another embodiment, the composite ceramic coating can include Y2O3 in a range of 50-75 mol %, ZrO2 in a range of 10-30 mol % and Al2O3 in a range of 10-30 mol %. In other embodiments, other distributions may also be used for the composite ceramic coating. In one embodiment, the composite ceramic is a yttrium oxide containing solid solution that may be mixed with one or more of ZrO2, Al2O3, or combination thereof.
  • In one embodiment the, the ceramic coating is yttrium aluminum garnet (YAG) composed of 35 mol % Y2O3, 65 mol % Al2O3. In another embodiment, the ceramic coating can be YAG composed of 30-40 mol % Y2O3 and 60-70 mol % Al2O3.
  • The processing chamber 100 includes a chamber body 102 and a lid 104 that enclose an interior volume 106. The chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material. The chamber body 102 generally includes sidewalls 108 and a bottom 110. An outer liner 116 may be disposed adjacent the side walls 108 to protect the chamber body 102. The outer liner 116 may be fabricated and/or coated with a plasma or halogen-containing gas resistant material. In one embodiment, the outer liner 116 is fabricated from aluminum oxide. In another embodiment, the outer liner 116 is fabricated from or coated with yttria, yttrium alloy or an oxide thereof.
  • An exhaust port 126 may be defined in the chamber body 102, and may couple the interior volume 106 to a pump system 128. The pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100.
  • The lid 104 may be supported on the sidewall 108 of the chamber body 102. The lid 104 may be opened to allow access to the interior volume 106 of the processing chamber 100, and may provide a seal for the processing chamber 100 while closed. A gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through a gas distribution assembly 130 that is part of the lid 104. Examples of processing gases may be used to process in the processing chamber including halogen-containing gas, such as C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, Cl2 and SiF4, among others, and other gases such as O2, or N2O. Examples of carrier gases include N2, He, Ar, and other gases inert to process gases (e.g., non-reactive gases). The gas distribution assembly 130 may have multiple apertures 132 on the downstream surface of the gas distribution assembly 130 to direct the gas flow to the surface of the substrate 144. Additionally, the gas distribution assembly 130 can have a center hole where gases are fed through a ceramic gas nozzle. The gas distribution assembly 130 may be fabricated and/or coated by a ceramic material, such as silicon carbide, bulk Yttrium oxide thereof to provide resistance to halogen-containing chemistries to prevent the gas distribution assembly 130 from corrosion.
  • The substrate support assembly 148 is disposed in the interior volume 106 of the processing chamber 100 below the gas distribution assembly 130. The substrate support assembly 148 holds the substrate 144 during processing. An inner liner 118 may be coated on the periphery of the substrate support assembly 148. The inner liner 118 may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116. In one embodiment, the inner liner 118 may be fabricated from the same materials of the outer liner 116.
  • In one embodiment, the substrate support assembly 148 includes a mounting plate 162 supporting a pedestal 152, and an electrostatic chuck 150. The electrostatic chuck 150 further includes a thermally conductive base 164 and an electrostatic puck 166. An upper surface of the electrostatic puck 166 is covered by the protective layer 136. In one embodiment, the protective layer 136 is disposed on the upper surface of the electrostatic puck 166. In another embodiment, the protective layer 136 is disposed on the entire surface of the electrostatic chuck 150 including the outer and side periphery of the thermally conductive base 164 and the electrostatic puck 166. The mounting plate 162 is coupled to the bottom 110 of the chamber body 102 and includes passages for routing utilities (e.g., fluids, power lines, sensor leads, etc.) to the thermally conductive base 164 and the electrostatic puck 166.
  • The thermally conductive base 164 and/or electrostatic puck 166 may include one or more optional embedded heating elements 176, embedded thermal isolators 174 and/or conduits 168, 170 to control a lateral temperature profile of the support assembly 148. The conduits 168, 170 may be fluidly coupled to a fluid source 172 that circulates a temperature regulating fluid through the conduits 168, 170. The embedded isolator 174 may be disposed between the conduits 168, 170 in one embodiment. The heater 176 is regulated by a heater power source 178. The conduits 168, 170 and heater 176 may be utilized to control the temperature of the thermally conductive base 164, thereby heating and/or cooling the electrostatic puck 166 and a substrate (e.g., a wafer) being processed. The temperature of the electrostatic puck 166 and the thermally conductive base 164 may be monitored using a plurality of temperature sensors 190, 192, which may be monitored using a controller 195.
  • The electrostatic puck 166 may further include multiple gas passages such as grooves, mesas and other surface features, that may be formed in an upper surface of the electrostatic puck 166 and/or the protective layer. The gas passages may be fluidly coupled to a source of a heat transfer (or backside) gas, such as He via holes drilled in the electrostatic puck 166. In operation, the backside gas may be provided at controlled pressure into the gas passages to enhance the heat transfer between the electrostatic puck 166 and the substrate 144.
  • The electrostatic puck 166 includes at least one clamping electrode 180 controlled by a chucking power source 182. The electrode 180 (or other electrode disposed in the electrostatic puck 166 or base 164) may further be coupled to one or more RF power sources 184, 186 through a matching circuit 188 for maintaining a plasma formed from process and/or other gases within the processing chamber 100. The sources 184, 186 are generally capable of producing RF signal having a frequency from about 50 kHz to about 3 GHz and a power of up to about 10,000 Watts.
  • FIG. 2 depicts an exploded view of one embodiment of the substrate support assembly 148. The substrate support assembly 148 depicts an exploded view of the electrostatic chuck 150 and the pedestal 152. The electrostatic chuck 150 includes the electrostatic puck 166 and the thermally conductive base 164 attached to the electrostatic puck 166. The electrostatic puck 166 has a disc-like shape having an annular periphery 222 that may substantially match the shape and size of the substrate 144 positioned thereon. In one embodiment, the electrostatic puck 166 may be fabricated by a ceramic material. Suitable examples of the ceramic materials include aluminum oxide (Al2O3), aluminum nitride (AlN), titanium oxide (TiO), titanium nitride (TiN), silicon carbide (SiC) and the like.
  • The thermally conductive base 164 attached below the electrostatic puck 166 may have a disc-like main portion 224 and an annular flange 220 extending outwardly from a main portion 224 and positioned on the pedestal 152. The thermally conductive base 164 may be fabricated by a material having thermal properties substantially matching that of the overlying puck 166. In one embodiment, the thermally conductive base 164 may be fabricated by a metal, such as aluminum or stainless steel or other suitable materials. Alternatively, the thermally conductive base 164 may be fabricated by a composite of ceramic and metal material providing good strength and durability as well as heat transfer properties. The composite material may have a thermal expansion coefficient that is substantially matched to the overlying puck 166 to reduce thermal expansion mismatch. An upper surface 206 of the electrostatic puck 166 may be coated with the protective layer 136, and may have an outer ring 216, multiple mesas 206, 210 and channels 208, 212 between the mesas.
  • FIG. 3 illustrates a cross sectional side view of the electrostatic chuck 150. Referring to FIG. 3, the thermally conductive base 164 is coupled to the electrostatic puck 166 by a bonding material 138. The bonding material 138 facilitates thermal energy exchange between the electrostatic puck 166 and the thermally conductive base 164 and may reduce thermal expansion mismatch therebetween. In one exemplary embodiment, the bonding material 138 mechanically bonds the thermally conductive base 164 to the electrostatic puck 166. In another embodiment, the bonding material 138 may be a thermal conductive paste or tape having at least one of an acrylic based compound and silicone based compound. In yet another embodiment, the bonding material 138 may be a thermal conductive paste or tape having at least one of an acrylic based compound and silicone based compound with metal or ceramic fillers mixed or added thereto. The metal filler may be at least one of Al, Mg, Ta, Ti, or combination thereof and the ceramic filler may be at least one of aluminum oxide (Al2O3), aluminum nitride (AlN), titanium diboride (TiB2) or combination thereof.
  • A quartz ring 146, or other protective ring, surrounds and covers portions of the electrostatic chuck 150. The electrostatic puck 166 includes the clamping electrode 180. The protective layer 136 adheres to an upper surface of the electrostatic puck 166. The substrate 144 is lowered down over the electrostatic puck 166, and is held in place via electrostatic forces.
  • The protective layer 136 may be coated by traditional atmospheric plasma spray, low pressure plasma spray (LP PS), vacuum plasma spray (VPS), physical vapor deposition (PVD), chemical vapor deposition (CVD), ion assisted deposition (IAD), immersion coating, sputtering, thermal spraying, hot isostatic pressing, cold isostatic pressing, lamination, compression molding, casting, compacting, sintering or co-sintering techniques. In one embodiment, protective layer is plasma sprayed onto the electrostatic puck 166. In another embodiment, the protective layer 136 is deposited on the electrostatic puck 166 using ion assisted deposition (IAD). In still another embodiment, the protective layer 136 is a bulk sintered composite ceramic article that is diffusion bonded to an upper surface of the electrostatic puck 166.
  • Note that an optimal coating technique for applying the protective layer 136 may depend on the type of structure to be coated. For example, planar, flat surfaces such as the electrostatic puck 166, a shower head or a cooling base may be coated using any of the above techniques. Traditional PVD and IAD are two examples of coating techniques that are more successful on planar, flat surfaces. Parts with complex geometries such as a liner, shield, plasma screen, flow equalizer or chamber wall may be more successfully coated using plasma spray coating methods such as LP PS.
  • The composite ceramic and YAG both have a high hardness that resists wear (due to relative motion because of coefficient of thermal expansion mismatch between the substrate & the electrostatic puck) during plasma processing of the substrate or wafer. In one embodiment, the composite ceramic provides a Vickers hardness (5 Kgf) between about 5 GigaPascals (GPa) and about 20 GPa. In one embodiment, the composite ceramic is a bulk sintered ceramic that provides a Vickers hardness of about 9-10 GPa. In another embodiment, the bulk sintered ceramic provides a Vickers hardness of 14-16 GPa. Additionally, the composite ceramic may be a bulk sintered ceramic having a density of around 4.90 g/cm3, a flexural strength of about 215 MPa, a fracture toughness of about 1.6 MegaPascals·square root of meters (MPa·m1/2), a Youngs Modulus of about 190 GPa, a thermal expansion of about 8.5×10−6/K (20-900° C.), a thermal conductivity of about 3.5 Watts per milliKelvin (W/mK), a dielectric constant of about 15.5 (measured at 20° C. 13.56 MHz), a dielectric loss tangent of less than 20×10-4 (20° C. 13.56 MHz), and a volume resistivity of greater than 1015 ohm centimeters (Ω·cm) at room temperature in one embodiment.
  • In one embodiment, bulk sintered YAG provides a Vickers hardness (5 Kgf) between about 10 GPa and about 20 GPa (with a hardness of 15 GPa in one embodiment). Additionally, the bulk sintered YAG may have a density of around 4.55 grams per centimeter cubed (g/cm3), a flexural strength of about 280 MPa, a fracture toughness of about 2.0 MPa·m1/2, a Youngs Modulus of about 282 GPa, a thermal expansion of about 8.2×10−6/K (20-900° C.), a thermal conductivity of about 12.9 W/mK, and a volume resistivity of greater than 1014 Ω·cm at room temperature in one embodiment.
  • Coating properties may be similar to bulk for both the composite ceramic and YAG, with some coating properties being lower than those of bulk properties. In one embodiment, IAD coating hardness is about 4 GPa for the composite ceramic. Additionally, the IAD coated composite ceramic may have a high density, with a very low porosity of around 0.1-1.0%. The IAD coated composite ceramic may also have a breakdown voltage of about 2500 V/mil, a volume resistivity of about 4.1×1016 Ω·cm, a dielectric constant of about 9.83, a loss tangent of about 4×10−4, and a thermal conductivity of about 20.6 W/mK.
  • In one embodiment, IAD coating hardness is about 6 GigaPascals (GPa) for YAG. Additionally, the IAD coated YAG may have a high density, with a very low porosity of around 0.1-1.0%. The IAD coated YAG may also have a breakdown voltage of about 6800 V/mil, a volume resistivity of about 11.3×1016 Ω·cm, a dielectric constant of about 9.76, a loss tangent of about 4×10−4, and a thermal conductivity of about 20.1 W/mK.
  • In one embodiment, the plasma spray coated ceramic composite has a Vickers hardness (5 Kgf) of about 4.3 GPa. Additionally, the plasma sprayed composite ceramic may have a porosity of around 1.5-5% (with a porosity of 2.5% in one embodiment), a thermal expansion of about 8×10−6/K (20-900° C.), a thermal conductivity of about 18.2 W/mK, a volume resistivity of around 1015 Ω·cm at room temperature, a breakdown voltage of about 1050 V/mil, and a loss tangent of about 16.5×10−4 in one embodiment.
  • In one embodiment, the plasma sprayed YAG coating has a Vickers hardness (5 Kgf) of about 4.6 GPa. Additionally, the plasma sprayed YAG coating may have a porosity of around 2.5-4% (with a porosity of 3.5% in one embodiment), a thermal expansion of about 7.7×10−6/K (20-900° C.), a thermal conductivity of about 19.6 W/mK, a volume resistivity of around 7×1014 Ω·cm at room temperature, a breakdown voltage of about 1190 V/mil, and a loss tangent of about 3×10−4 in one embodiment.
  • FIG. 4A illustrates a cross sectional side view of one embodiment of an electrostatic chuck 400. The electrostatic chuck 400 has a ceramic body 410 known as an electrostatic puck. The ceramic body 410 includes an electrode 430 embedded therein. In one embodiment, an upper portion 435 of the ceramic body that lies above the electrode 430 has a thickness of greater than 200 micron (e.g., 5 mil in one embodiment). The thickness of upper portion of the ceramic body 410 may be selected to provide desired dielectric properties such as a specific breakdown voltage. A lower portion 440 of the ceramic body that lies below the electrode 430 may have a thickness of up to about 5 mm. In one embodiment, the entire ceramic body has a thickness of about 5 mm. A lower surface of the ceramic body 410 is bonded to a thermally conductive base 405 (e.g., a metal base). Multiple mesas 425 or dimples are formed on an upper surface of the ceramic body 410. The mesas may be around 10-15 micron tall and about 200 micron in diameter in some embodiments. Additionally, multiple holes 420 are drilled through the ceramic body 410. In one embodiment, the holes 420 have a diameter of about 4-7 mil. In one embodiment, the holes are formed by laser drilling. The holes 420 may deliver a thermally conductive gas such as helium to valleys or conduits between the mesas. The helium (or other thermally conductive gas) may facilitate heat transfer between a substrate and the ceramic body 410.
  • A thin protective layer 415 having a thickness of less than about 20 microns is deposited on the upper surface of the ceramic body 410. In one embodiment, the protective layer has a thickness of about 3-5 microns. Due to the thinness of the protective layer 415, the protective layer 415 does not plug any of the holes 420. Additionally, the protective layer 415 maintains a relative shape of the upper surface of the ceramic body 410 (e.g., telegraphing the shapes of the mesas and valleys between the mesas). Note that in an alternative embodiment the holes 420 may be drilled (e.g., via laser drilling) after forming the protective layer 415.
  • The protective layer 415 may be deposited by performing ion beam assisted deposition (IAD). Alternatively, other types of energetic particles may be used to assist deposition, including neutron atoms, radicals, and nano-sized particles which come from particle generation sources, such as the plasma, reactive gases or from the material source that provide the deposition materials. For IAD, a material source provides a flux of deposition materials, while an energetic ion source provides a flux of energetic ions, both of which impinge upon the ceramic body 410 throughout the IAD process. In one embodiment, the material source (target body) used to provide the deposition materials is a bulk sintered ceramic composite body. In another embodiment, the target material is a pre-melt of the composite ceramic. In another embodiment, the target material is bulk sintered YAG. IAD may utilize one or more plasmas or beams to provide the material and energetic ion sources. In one embodiment, the energetic ions include at least one of non-reactive species (e.g., Ar) or reactive species (e.g., O). In further embodiments, reactive species such as CO and halogens (Cl, F, Br, etc.) may also be introduced during the formation of a plasma resistant coating to further increase the tendency to selectively remove deposited material most weakly bonded to the protective layer 415. Exemplary IAD methods include deposition processes which incorporate ion bombardment, such as the evaporation (e.g., activated reactive evaporation (ARE)) and sputtering in the presence of ion bombardment. Any of the IAD methods may be performed in the presence of a reactive gas species, such as O2, N2, halogens, etc.
  • The IAD deposited protective layer 415 may have a relatively low film stress (e.g., as compared to a film stress caused by plasma spraying or sputtering). The relatively low film stress may cause the lower surface of the ceramic body to be very flat, with a curvature of less than about 50 microns over the entire ceramic body (which may be about 12 inches in diameter). In one embodiment, the curvature is less than about 20 microns. The IAD deposited protective layer may have a porosity that is less than 1%, and less than about 0.1% in some embodiments. Therefore, the IAD deposited protective layer is a dense structure, which can have performance benefits for application on an electrostatic chuck. For example, the IAD deposited protective layer 415 can have a dielectric breakdown voltage of greater than 1000 V/mil, and greater than 1500 V/mil in some embodiments. Additionally, the IAD deposited protective layer 415 may be deposited without first roughening the upper surface of the ceramic body 410. Since roughening the ceramic body may reduce a breakdown voltage of the ceramic body 410, the ability to apply the protective coating 415 without first roughening the ceramic body 410 may be beneficial. The electrostatic chuck 400 can be used both for Johnson-Rahbek electrostatic chucking applications and Columbic electrostatic chucking applications.
  • FIG. 4B illustrates a cross sectional side view of one embodiment of an electrostatic chuck 450. The electrostatic chuck 450 has a ceramic body 460 known as an electrostatic puck. In one embodiment, the ceramic body 460 includes an electrode 485, an upper portion 490 above the electrode 485 and a lower portion 495 below the electrode. The upper portion 490 may have a thickness of greater than 200 micron (e.g., 5 mil in one embodiment). In a further embodiment, the ceramic body 460 has a thickness of between about 200 micron and 500 micron. A lower surface of the ceramic body 460 is bonded to a thermally conductive base 455 (e.g., a metal base).
  • An upper surface of the ceramic body 460 is bonded to a protective layer 465. In one embodiment, the protective layer is a plasma sprayed composite ceramic. In another embodiment, the protective layer is plasma sprayed YAG. In either embodiment, an upper surface of the ceramic body 460 may be roughened prior to plasma spraying the protective coating 465 onto it. The roughening may be performed, for example, by bead blasting the ceramic body 460. Roughening the upper surface of the ceramic body provides anchor points to create a mechanical bond between the plasma sprayed protective layer 465 and the ceramic body 460 for better adhesion.
  • The protective layer 465 may have an as sprayed thickness of up to about 250 micron or thicker, and may be ground down to a final thickness of approximately 50 microns. Alternatively, the protective layer may be plasma sprayed to a final thickness. The plasma sprayed protective layer 465 may have a porosity of about 2-4%. In one embodiment, a combined thickness of the ceramic body 460 over the electrode and the protective layer 465 is sufficient to provide a total breakdown voltage of >2500V. The ceramic body 460 may be, for example, alumina, which has a breakdown voltage of about 15 Volts/micron (V/μm). The ceramic composite plasma sprayed protective layer 465 may have a breakdown voltage of about 30 V/μm (or about 750 V/mil) in one embodiment. Accordingly, the ceramic body 460 may be about 250 microns thick and the protective layer may be about 50 microns thick to have a breakdown voltage of about 5250 V, for example.
  • In another embodiment, the protective layer 465 is a bulk sintered composite ceramic article or bulk sintered YAG article that is placed on the upper surface of the ceramic body 460. The protective layer 465 may be provided, for example, as a thin ceramic wafer having a thickness of approximately 200 micron. A high temperature treatment is then performed to promote interdiffusion between the protective layer 465 and the ceramic body 460. The thermal treatment may be a heat treatment at up to about 1400-1500 degrees C. for a duration of up to about 24 hours (e.g., 3-6 hours in one embodiment). This may cause diffusion bonding between the protective layer 465 and the ceramic body 460. If the ceramic body is Al2O3, and the protective layer is composed of a compound Y4Al2O9 (YAM) and a solid solution Y2-xZrxO3 (Y2O3—ZrO2 solid solution), then a Y3Al5O12 (YAG) interface layer will be formed. Notably, the transition layer may be a non-reactive and non-porous layer. Accordingly, during subsequent processing using a heat treated ceramic article, process gases may penetrate the ceramic coating, but may not penetrate the transition layer. Thus, the transition layer may prevent the process gasses from reacting with the ceramic substrate. The strong adhesion caused by the diffusion bonding allows the protective layer 465 to adhere to the ceramic body securely and prevents the protective layer 465 from cracking, peeling off, or stripping off during plasma processing. After the heat treatment, the protective layer may be ground down to a final thickness. The final thickness may be about 200 micron in one embodiment.
  • After the protective layer 465 is formed (and ground to a final thickness in some embodiments), mesas 480 are formed on an upper surface of the protective layer 465. The mesas may be formed, for example, by bead blasting or salt blasting the surface of the protective layer 465. After the protective layer 465 is formed, holes 475 may also be drilled in the protective layer 365 and the underlying ceramic body 460. The embodiments described with reference to FIG. 4B may be used for Columbic electrostatic chucking applications.
  • FIG. 5 illustrates one embodiment of a process 500 for forming a protective layer over a ceramic body of a substrate support surface. At block 505 of process 500, a ceramic body is provided. The ceramic body may be a metalized ceramic puck for an electrostatic chuck. The ceramic body may contain heating elements, an electrode, cooling channels, and/or other features. At block 510, a lower surface of the ceramic body is bonded to a thermally conductive base.
  • At block 515, mesas are formed on an upper surface of the ceramic body. At block 520, holes are formed in the ceramic body (e.g., by laser drilling). At block 525, IAD is performed to deposit a protective layer on the ceramic body. The protective layer may comprise a composite ceramic as previously described in embodiments or YAG. In an alternative embodiment, the ceramic body may be bonded to the base after the mesas are formed, after the holes are formed or after the protective layer is deposited.
  • FIG. 6 illustrates another embodiment of a process for forming a protective layer over a ceramic body of a substrate support surface. At block 605 of process 600, a ceramic body is provided. At block 610, a lower surface of the ceramic body is bonded to a thermally conductive base. At block 612, an upper surface of the ceramic body is roughened (e.g., via bead blasting or grinding).
  • At block 615, a protective layer is plasma sprayed (or thermally sprayed) on the roughened upper surface of the ceramic body. The protective layer may comprise a composite ceramic as previously described in embodiments or YAG. At block 620, mesas are formed on an upper surface of the ceramic body. At block 625, holes are formed in the ceramic body (e.g., by laser drilling). In an alternative embodiment, the ceramic body may be bonded to the base after the mesas are formed, after the holes are formed or after the protective layer is deposited.
  • FIG. 7 illustrates yet another embodiment of a process for forming a protective layer over a ceramic body of a substrate support surface. At block 705 of process 700, a ceramic body is provided. At block 710, a bulk sintered ceramic article is applied to an upper surface of the ceramic body. The bulk sintered ceramic article may act as a protective layer for the ceramic body. The bulk sintered ceramic article may be a ceramic composite as previously described in embodiments or YAG. At block 715, the ceramic body and bulk sintered ceramic article are heated to a temperature of about 1400-1500 degrees C. (co-fired) to form an interface layer and cause diffusion bonding. At block 725, mesas are formed on an upper surface of the ceramic body by bead blasting. At block 730, holes are formed in the ceramic body (e.g., by laser drilling). In an alternative embodiment, the ceramic body may be bonded to the base before the mesas are formed, before the holes are formed or before the protective layer is bonded to the ceramic body.
  • The preceding description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide a good understanding of several embodiments of the present invention. It will be apparent to one skilled in the art, however, that at least some embodiments of the present invention may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present invention. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present invention.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. In addition, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” When the term “about” or “approximately” is used herein, this is intended to mean that the nominal value presented is precise within ±10%.
  • Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and/or alternating manner.
  • It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reading and understanding the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (13)

1.-11. (canceled)
12. A method comprising:
providing a ceramic body;
bonding a thermally conductive base to lower surface of the ceramic body;
performing an ion assisted deposition (IAD) process or a plasma spray process to deposit a protective layer on the upper surface of the ceramic body, the protective layer comprising at least one of yttrium aluminum garnet (YAG) or a ceramic compound of Y4Al2O9 and a solid-solution of Y2O3—ZrO2;
forming a plurality of mesas on at least one of an upper surface of the ceramic body or the protective layer; and
forming a plurality of holes in at least one of the ceramic body or the protective layer.
13. The method of claim 12, wherein the IAD process is preformed to deposit the protective layer, wherein the plurality of mesas are formed on the upper surface of the ceramic body and the plurality of holes are formed in the ceramic body prior to performing the IAD process, and wherein the protective layer telegraphs the plurality of mesas and does not plug the plurality of holes.
14. The method of claim 13, wherein the protective layer has a porosity below 1%, a thickness of less than 20 microns, and a breakdown voltage of greater than 1000 V/mil.
15. The method of claim 13, wherein the ceramic body is an electrostatic puck, the upper surface of the ceramic body is not roughened prior to performing the IAD process, and a curvature over the lower surface of the electrostatic puck is less than 50 micron after performing the IAD process.
16. The method of claim 12, wherein the plasma spray process is performed to deposit the plasma protective layer, the plurality of mesas are formed on an upper surface of the protective layer and the plurality of holes are formed in the ceramic body and the protective layer, the method further comprising roughening the upper surface of the ceramic body prior to the plasma spray process.
17. The method of claim 16, wherein the protective layer has a thickness of 100-300 microns, a porosity of 2-5% and a breakdown voltage of up to 1200 V/mil.
18.-20. (canceled)
21. The method of claim 12, wherein the upper surface of the ceramic body is non-roughened.
22. The method of claim 12, wherein the upper surface of the ceramic body is roughened.
23. The method of claim 12, further comprising diffusion bonding a bulk sintered ceramic article to the upper surface of the ceramic body via an interface layer.
24. The method of claim 23, wherein the ceramic body comprises Al2O3 and the interface layer comprises Y3Al5O12 (YAG).
25. The method of claim 23, wherein the bulk sintered ceramic article has a hardness between about 14 GPa and about 16 GPa.
US15/874,495 2012-12-04 2018-01-18 Substrate support assembly having a plasma resistant protective layer Abandoned US20180151401A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/874,495 US20180151401A1 (en) 2012-12-04 2018-01-18 Substrate support assembly having a plasma resistant protective layer

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261733349P 2012-12-04 2012-12-04
US201361791669P 2013-03-15 2013-03-15
US14/086,799 US9916998B2 (en) 2012-12-04 2013-11-21 Substrate support assembly having a plasma resistant protective layer
US15/874,495 US20180151401A1 (en) 2012-12-04 2018-01-18 Substrate support assembly having a plasma resistant protective layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/086,799 Division US9916998B2 (en) 2012-12-04 2013-11-21 Substrate support assembly having a plasma resistant protective layer

Publications (1)

Publication Number Publication Date
US20180151401A1 true US20180151401A1 (en) 2018-05-31

Family

ID=50825727

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/086,799 Active 2035-01-26 US9916998B2 (en) 2012-12-04 2013-11-21 Substrate support assembly having a plasma resistant protective layer
US15/874,495 Abandoned US20180151401A1 (en) 2012-12-04 2018-01-18 Substrate support assembly having a plasma resistant protective layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/086,799 Active 2035-01-26 US9916998B2 (en) 2012-12-04 2013-11-21 Substrate support assembly having a plasma resistant protective layer

Country Status (6)

Country Link
US (2) US9916998B2 (en)
JP (1) JP2016502276A (en)
KR (1) KR20150092227A (en)
CN (1) CN104904005A (en)
TW (1) TW201428886A (en)
WO (1) WO2014089244A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180080116A1 (en) * 2014-04-25 2018-03-22 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10679885B2 (en) 2015-11-17 2020-06-09 Applied Materials, Inc. Substrate support assembly with deposited surface features
WO2021154754A1 (en) * 2020-01-30 2021-08-05 Lam Research Corporation Component with protective surface for processing chamber
WO2022006004A1 (en) * 2020-06-30 2022-01-06 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11229968B2 (en) * 2011-11-30 2022-01-25 Watlow Electric Manufacturing Company Semiconductor substrate support with multiple electrodes and method for making same
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
JP5934069B2 (en) * 2012-09-14 2016-06-15 日本碍子株式会社 LAMINATED STRUCTURE, SEMICONDUCTOR MANUFACTURING DEVICE MEMBER AND METHOD FOR PRODUCING LAMINATED STRUCTURE
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
EP3085215B1 (en) * 2013-12-18 2019-09-04 3M Innovative Properties Company Electromagnetic interference (emi) shielding products using titanium monoxide (tio) based materials
JP6277015B2 (en) * 2014-02-28 2018-02-07 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP2017028111A (en) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ Plasma processing device
KR102630782B1 (en) * 2016-08-19 2024-01-31 삼성전자주식회사 Substrate treating apparatus
US20180204747A1 (en) * 2017-01-17 2018-07-19 Applied Materials, Inc. Substrate support assembly having surface features to improve thermal performance
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR20230121932A (en) * 2018-03-22 2023-08-21 어플라이드 머티어리얼스, 인코포레이티드 Laser polishing ceramic surfaces of processing components to be used in the manufacturing of semiconductor devices
JP2022532775A (en) 2019-05-16 2022-07-19 アプライド マテリアルズ インコーポレイテッド Methods and equipment to minimize damage on the back side of the board
CN110919695B (en) * 2019-11-29 2021-04-02 Tcl华星光电技术有限公司 Mechanical arm
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
JP7115582B2 (en) * 2020-04-30 2022-08-09 Toto株式会社 COMPOSITE STRUCTURES AND SEMICONDUCTOR MANUFACTURING EQUIPMENT WITH COMPOSITE STRUCTURES
TWI778587B (en) * 2020-04-30 2022-09-21 日商Toto股份有限公司 Composite structure and semiconductor manufacturing apparatus provided with composite structure
TWI777504B (en) * 2020-04-30 2022-09-11 日商Toto股份有限公司 Composite structure and semiconductor manufacturing apparatus including the composite structure
KR102390123B1 (en) * 2020-12-22 2022-04-25 한국세라믹기술원 Plasma resistant ceramic substrate and manufacturing method of the same
CN114351080B (en) * 2022-01-13 2023-12-12 中国民航大学 Preparation method of plasma spraying YSZ ceramic matrix sealing coating capable of improving deposition rate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050041364A1 (en) * 2003-08-18 2005-02-24 Kellerman Peter L. Mems based multi-polar electrostatic chuck
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080157106A1 (en) * 2006-12-28 2008-07-03 Nichia Corporation Nitride semiconductor laser element
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
FR2583220B1 (en) 1985-06-11 1987-08-07 Thomson Csf PROCESS FOR PRODUCING AT LEAST TWO METALLIZATIONS OF A SEMICONDUCTOR COMPONENT COVERED WITH A DIELECTRIC LAYER AND COMPONENT OBTAINED BY THIS DIELECTRIC
FR2631165B1 (en) 1988-05-05 1992-02-21 Moulene Daniel TEMPERATURE CONDITIONING MEDIUM FOR SMALL OBJECTS SUCH AS SEMICONDUCTOR COMPONENTS AND THERMAL REGULATION METHOD USING THE SAME
DE69007733T2 (en) * 1989-05-08 1994-09-29 Philips Nv DEVICE AND METHOD FOR TREATING A FLAT, DISC-SHAPED SUBSTRATE AT LOW PRESSURE.
US5108025A (en) 1991-05-20 1992-04-28 Gte Laboratories Incorporated Ceramic-metal composite article and joining method
US5113472A (en) 1991-05-28 1992-05-12 Allied-Signal Inc. Optical waveguides of aluminum garnet
JP3271352B2 (en) 1993-01-13 2002-04-02 ソニー株式会社 Electrostatic chuck, method of manufacturing the same, substrate processing apparatus, and substrate transfer apparatus
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6245202B1 (en) 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
JP3160229B2 (en) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 Susceptor for plasma CVD apparatus and method for manufacturing the same
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000306986A (en) 1999-04-19 2000-11-02 Nippon Eng Kk Electrostatic chuck
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
JP2002057207A (en) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd Wafer holder for semiconductor-manufacturing apparatus, manufacturing method of the same and the semiconductor-manufacturing apparatus
JP4272786B2 (en) 2000-01-21 2009-06-03 トーカロ株式会社 Electrostatic chuck member and manufacturing method thereof
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
JP2001253777A (en) 2000-03-13 2001-09-18 Ibiden Co Ltd Ceramic substrate
US6444957B1 (en) 2000-04-26 2002-09-03 Sumitomo Osaka Cement Co., Ltd Heating apparatus
JP2001308075A (en) 2000-04-26 2001-11-02 Toshiba Ceramics Co Ltd Wafer support
CN1503769A (en) 2000-05-02 2004-06-09 Լ��˹���ս�˹��ѧ Multilayer foil capable of independent reaction
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
WO2002047129A1 (en) 2000-12-05 2002-06-13 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP3893888B2 (en) 2001-03-19 2007-03-14 株式会社日立製作所 Plasma processing equipment
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
KR20040031691A (en) 2001-08-10 2004-04-13 이비덴 가부시키가이샤 Ceramic joint body
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR100427459B1 (en) 2001-09-05 2004-04-30 주성엔지니어링(주) Electro-static chuck for preventing arc
JP2003146751A (en) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd Plasma-resistant member and method of producing the same
JP4493251B2 (en) 2001-12-04 2010-06-30 Toto株式会社 Electrostatic chuck module and substrate processing apparatus
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224180A (en) 2002-01-28 2003-08-08 Kyocera Corp Wafer support member
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10224137A1 (en) 2002-05-24 2003-12-04 Infineon Technologies Ag Etching gas and dry etching method
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6838646B2 (en) 2002-08-22 2005-01-04 Sumitomo Osaka Cement Co., Ltd. Susceptor device
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6682820B1 (en) * 2002-10-31 2004-01-27 Saint-Gobain Ceramics & Plastics, Inc. Recession resistant coated ceramic part
FR2850790B1 (en) 2003-02-05 2005-04-08 Semco Engineering Sa ELECTROSTATIC COLLAGE SOLE WITH RADIO FREQUENCY ELECTRODE AND INTEGRATED THERMOSTATIC MEANS
JP3967278B2 (en) 2003-03-07 2007-08-29 日本碍子株式会社 Joining member and electrostatic chuck
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
DE10317792A1 (en) 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
JP4189373B2 (en) 2003-10-31 2008-12-03 株式会社トクヤマ Aluminum nitride joined body and manufacturing method thereof
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7413069B2 (en) 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4349952B2 (en) 2004-03-24 2009-10-21 京セラ株式会社 Wafer support member and manufacturing method thereof
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
TWI267940B (en) * 2004-06-28 2006-12-01 Kyocera Corp Electrostatic chuck
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
FR2881869A1 (en) 2005-02-04 2006-08-11 St Microelectronics Sa DYNAMIC MEMORY FOR CELLULAR TERMINAL
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016709A (en) 2006-07-07 2008-01-24 Shinko Electric Ind Co Ltd Electrostatic chuck and manufacturing method therefor
CN100468619C (en) * 2006-08-23 2009-03-11 北京北方微电子基地设备工艺研究中心有限责任公司 Temperature control device of etching equipment and its method for controlling wafer temperature
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
JP5053696B2 (en) 2007-04-26 2012-10-17 信越化学工業株式会社 Electrostatic chuck
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI459851B (en) 2007-09-10 2014-11-01 Ngk Insulators Ltd Heating equipment
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5236927B2 (en) 2007-10-26 2013-07-17 信越化学工業株式会社 Corrosion-resistant laminated ceramic members
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
DE102008021167B3 (en) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Method for producing a hermetically sealed, electrical feedthrough by means of exothermic nanofoil and device produced therewith
TWI475594B (en) 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (en) 2008-09-30 2013-12-04 東京エレクトロン株式会社 Plasma processing equipment
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (en) 2009-06-12 2014-09-24 東京エレクトロン株式会社 Method for reusing consumable parts for plasma processing equipment
JP5604888B2 (en) 2009-12-21 2014-10-15 住友大阪セメント株式会社 Manufacturing method of electrostatic chuck
JP5423632B2 (en) 2010-01-29 2014-02-19 住友大阪セメント株式会社 Electrostatic chuck device
JP5267603B2 (en) 2010-03-24 2013-08-21 Toto株式会社 Electrostatic chuck
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (en) 2011-07-14 2015-02-04 住友重機械工業株式会社 Impurity introduction layer forming apparatus and electrostatic chuck protecting method
KR20130098707A (en) 2012-02-28 2013-09-05 삼성전자주식회사 Electrostatic chuck device and control method thereof
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050041364A1 (en) * 2003-08-18 2005-02-24 Kellerman Peter L. Mems based multi-polar electrostatic chuck
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080157106A1 (en) * 2006-12-28 2008-07-03 Nichia Corporation Nitride semiconductor laser element
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180080116A1 (en) * 2014-04-25 2018-03-22 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10544500B2 (en) 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10563297B2 (en) * 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10679885B2 (en) 2015-11-17 2020-06-09 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11476146B2 (en) 2015-11-17 2022-10-18 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11769683B2 (en) 2015-11-17 2023-09-26 Applied Materials, Inc. Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
WO2021154754A1 (en) * 2020-01-30 2021-08-05 Lam Research Corporation Component with protective surface for processing chamber
WO2022006004A1 (en) * 2020-06-30 2022-01-06 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
US20230348290A1 (en) * 2020-06-30 2023-11-02 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions

Also Published As

Publication number Publication date
WO2014089244A1 (en) 2014-06-12
US9916998B2 (en) 2018-03-13
JP2016502276A (en) 2016-01-21
TW201428886A (en) 2014-07-16
KR20150092227A (en) 2015-08-12
CN104904005A (en) 2015-09-09
US20140154465A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US11769683B2 (en) Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
CN107916399B (en) Ion-assisted deposition of top coat of rare earth oxide

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y.;THACH, SENH;KANUNGO, BIRAJA P.;AND OTHERS;REEL/FRAME:045584/0657

Effective date: 20131120

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION