TWI753572B - 區域控制稀土氧化物ald及cvd塗佈 - Google Patents

區域控制稀土氧化物ald及cvd塗佈 Download PDF

Info

Publication number
TWI753572B
TWI753572B TW109131444A TW109131444A TWI753572B TW I753572 B TWI753572 B TW I753572B TW 109131444 A TW109131444 A TW 109131444A TW 109131444 A TW109131444 A TW 109131444A TW I753572 B TWI753572 B TW I753572B
Authority
TW
Taiwan
Prior art keywords
crystalline
layer
oxide
rare earth
phase
Prior art date
Application number
TW109131444A
Other languages
English (en)
Other versions
TW202117057A (zh
Inventor
鄔笑煒
語南 孫
麥可R 萊斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202117057A publication Critical patent/TW202117057A/zh
Application granted granted Critical
Publication of TWI753572B publication Critical patent/TWI753572B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/68Crystals with laminate structure, e.g. "superlattices"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/80Constructional details
    • H10N60/85Superconducting active materials
    • H10N60/855Ceramic superconductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

本文揭示一種在製品的表面上的具有一個或多個中斷層以控制晶體生長的稀土氧化物塗層及其形成方法。所述塗層可以通過原子層沉積和/或通過化學氣相沉積來沉積。本文揭示的所述塗層中的稀土氧化物可以具有與所述一個或多個中斷層的原子晶相或非晶相不同的原子晶相。

Description

區域控制稀土氧化物ALD及CVD塗佈
本文揭示的實施方式總的來說涉及用於製品的具有中斷層的稀土塗層,並具體地涉及具有用於控制氧化釔晶粒生長的一個或多個中斷層的氧化釔塗層。
各種製造製程使半導體製程腔室部件暴露於高溫、高能電漿、腐蝕性氣體的混合物、高應力及其組合。這些極端條件可能侵蝕和/或腐蝕腔室部件,以使腔室部件易受缺陷影響。
用於減少因苛刻處理條件造成的腔室部件上的缺陷的保護塗層通常沉積在腔室部件上。可以通過各種技術沉積保護塗層,包括但不限於熱噴塗、濺射、離子輔助沉積(IAD)、電漿噴塗、蒸發技術、原子層沉積、化學氣相沉積等。這些技術中的一些可能產生具有異常大的晶粒的保護塗層。異常大的晶粒可能增加保護塗層的表面粗糙度並提供用於化學物質通過晶粒之間的可能的裂縫或通過晶界來擴散的途徑。
在一個示例實施方式中,本文揭示一種製品,所述製品包括在所述製品的表面上的抗電漿保護塗層。所述抗電漿保護塗層可以包括結晶稀土氧化物層和結晶或非晶金屬氧化物層的交替層的堆疊。所述交替層的堆疊中的第一層可以是結晶稀土氧化物層。所述結晶稀土氧化物層可以具有約500-5000埃的厚度。在所述金屬氧化物層是結晶的實施方式中,所述金屬氧化物層中的每一個金屬氧化物層可以具有與所述稀土氧化物層的晶相不同的原子晶相,並且每個金屬氧化物層可以具有約1-500埃的厚度。所述結晶或非晶金屬氧化物層可以抑制結晶氧化釔層中的晶粒生長。
在一個示例實施方式中,本文揭示一種方法,所述方法包括使用原子層沉積(ALD)製程或化學氣相沉積(CVD)製程將抗電漿保護塗層沉積到製品的表面上。沉積所述抗電漿保護塗層可以包括使用ALD或CVD來沉積結晶稀土氧化物層。沉積所述抗電漿保護塗層還可以包括使用ALD或CVD來在所述結晶稀土氧化物層上沉積結晶或非晶金屬氧化物層。在所述金屬氧化物層是結晶的實施方式中,所述金屬氧化物層可以具有與所述結晶稀土氧化物的晶相不同的原子晶相。
在一個示例實施方式中,本文揭示一種方法,所述方法包括使用原子層沉積(ALD)製程或化學氣相沉積(CVD)製程將抗電漿保護塗層沉積到製品的表面上。沉積所述抗電漿保護塗層可以包括沉積結晶氧化釔層和結晶或非晶金屬氧化物層的交替層的堆疊。所述結晶氧化釔層中的每一個結晶氧化釔層可以具有立方相並具有約500-5000埃的厚度。在所述金屬氧化物層是結晶的實施方式中,所述金屬氧化物層可以具有與所述結晶氧化釔的所述立方相不同的原子晶相,並且所述金屬氧化物層中的每一個金屬氧化物層可以具有約1-500埃的厚度。所述交替層的堆疊中的第一層可以是結晶氧化釔層。所述結晶或非晶金屬氧化物層可以抑制所述結晶氧化釔層中的晶粒生長。
本文所述的實施方式涵蓋製品(例如,被塗覆的腔室部件)和方法,其中抗電漿保護塗層具有一個或多個單晶(多晶)單相或多相稀土氧化物層和一個或多個非晶或(多晶)結晶單相或多相中斷層沉積在製品的表面上。在示例性實施方式中,一個或多個結晶稀土氧化物層可以包括呈立方相的結晶氧化釔。本文的實施方式以呈立方相的結晶氧化釔層為例進行描述。應當理解,在中斷層之間的一個或多個層可以包括呈(多晶)結晶單相或多相的任何稀土金屬氧化物或稀土金屬氧化物的混合物(即,有或沒有釔)。例如,在中斷層之間的(一個或多個)稀土金屬氧化物層可以包括氧化釔和/或氧化鋯釔。
在一個示例性實施方式中,一個或多個非晶或(多晶)結晶單相或多相中斷層可以包括選自由以下項組成的組的結晶或非晶金屬氧化物層:含稀土金屬的氧化物、氧化鋯、氧化鋁及其混合物。在一個或多個中斷層是(多晶)結晶單相或多相的實施方式中,中斷層可以具有與結晶氧化釔的立方相不同的一個原子晶相或多個原子晶相。例如,中斷層的(多晶)結晶單相或多相可以選自以下項組成的組:六方相、單斜相、立方相(如果稀土氧化物層是呈立方相的氧化釔,那麼中斷層可以具有與結晶氧化釔的立方相的晶格結構不同的晶格結構)、六方相、四方相及其組合。
如本文所用,術語「抗電漿」是指對一種或多種類型的電漿有抗性以及對與一種或多種類型的電漿相關聯的化學物質和自由基有抗性。
如本文所用,術語「多晶」和「結晶」在本文中可互換來使用,並且可以是指包括許多晶粒(也被稱為微晶)的材料,晶粒相對於彼此隨機地取向或具有優選的取向或紋理,並且可能具有不同尺寸。微晶相遇的區域稱為晶界。多晶層可以包括單個晶相或多個晶相(在本文中也被稱為「多相」)。如本文所用,應當理解,提及多相層是指具有多個晶相的結晶或多晶層。
製品的表面可以是金屬材料(例如諸如鋁(例如Al 6061、Al 6063)和不銹鋼)或陶瓷材料(例如諸如氧化鋁(Al2 O3 )。
沉積製程可以是原子層沉積(ALD)製程或化學氣相沉積(CVD)製程。ALD和CVD製程可以用於一個或多個結晶稀土氧化物層的和一個或多個非晶或結晶金屬氧化物中斷層的沉積。包括多於一種金屬的層可以通過前驅物的順序沉積或通過前驅物的共沉積來沉積。
抗電漿保護塗層可以包括雙層堆疊或多個交替層的堆疊。雙層堆疊或多個交替層的堆疊可以包括例如呈立方相的一層或多層結晶單相氧化釔(Y2 O3 ),以及例如呈四方相和單斜相的一層或多層多相氧化鋯層。雙層堆疊或多個交替層的堆疊可以包括例如呈立方相的一層或多層結晶單相氧化釔(Y2 O3 ),以及例如呈四方相的一層或多層結晶單相氧化鋯釔層。雙層堆疊或多個交替層的堆疊可以包括例如在第一晶格結構中呈立方相的一層或多層結晶單相氧化釔(Y2 O3 ),以及例如以第二晶格結構呈立方相的氧化鋯釔和例如以第三晶格結構呈立方相的氧化釔的一層或多層多相混合物。第二晶格結構和第三晶格結構與第一晶格結構不同。
多層抗電漿保護塗層中的每個中斷層的厚度可以在約1埃至約500埃的範圍內。多層抗電漿保護塗層中的每個稀土氧化物層的厚度可以在約500埃至約10000埃的範圍內。在一些實施方式中,多層抗電漿保護塗層中的每個稀土氧化物層的厚度可以在約500埃至約5000埃的範圍內。在實施方式中,多層抗電漿保護塗層可以具有約1μm至約10μm、或約1μm至約5μm的厚度。抗電漿保護塗層可以塗覆或覆蓋製品中的具有高深寬比(例如約10:1至約300:1)的特徵的表面。抗電漿保護塗層也可以以基本均勻的厚度保形地覆蓋這些特徵。在一個實施方式中,抗電漿保護塗層具有以均勻厚度對被塗覆的下層表面(包括被塗覆的表面特徵)的保形覆蓋,這種均勻厚度具有從塗層的一部分到另一部分的小於約+/-20%的厚度變化、+/-10%的厚度變化、+/-5%的厚度變化的厚度變化或更小的厚度變化。抗電漿保護塗層也非常緻密,其孔隙率為約0%(例如,在實施方式中,抗電漿保護塗層可以是無孔隙的)。
ALD允許通過與製品的表面的化學反應來控制材料的自限制沉積。除了作為保形製程,ALD也是均勻製程。製品的所有被暴露的側面(包括高深寬比特徵(例如,約10:1至約300:1))將具有相同或近似相同的量的被沉積的材料。ALD製程的典型反應循環開始於前驅物(即,單一化學物質A)湧入到ALD腔室中並吸附到製品的表面上。然後將多餘的前驅物從ALD腔室中沖洗掉,之後將反應物(即單一化學物質R)引入ALD腔室中並隨後將反應物沖洗掉。然而,金屬氧化物中斷層可以通過材料的共沉積來形成。為了實現這一點,可以將兩種前驅物(諸如第一含金屬氧化物前驅物(A)和第二含金屬氧化物前驅物(B))的混合物以任何數量的比例(例如,A90+B10、A70+B30、A50+B50、A30+B70、A10+A90等)共佈植(Ax By )到腔室中並吸附到製品的表面上。在這些實施方式中,x和y以Ax+By的莫耳比(莫耳%)表示。例如,A90+B10是90莫耳%的A和10莫耳%的B。或者,兩種前驅物可以順序地佈植(不在其間佈植反應物)。多餘的前驅物被沖洗掉。反應物被引入ALD腔室中並與所吸附的前驅物反應以形成固體層,之後沖洗掉多餘的化學物質。對於ALD,材料的最終厚度取決於運行的反應循環的數量,因為每個反應循環將生長一定厚度的層,所述層可以是一個原子層或原子層的一部分。
CVD允許以高沉積速率沉積具有良好的再現性和黏附性的高度地緻密、純且均勻的塗層。CVD的典型反應循環可以包括:由原料產生前驅物;將前驅物輸送到反應腔室中;將前驅物吸附到被加熱的製品上;使前驅物與待塗覆的製品的表面發生化學反應以形成沉積物和氣態副產物;以及從反應腔室除去氣態副產物和未反應的氣態前驅物。然而,金屬氧化物中斷層可以通過材料的共沉積形成。為了實現這一點,與ALD技術類似地,可以將兩種前驅物(諸如第一含金屬氧化物前驅物(A)和第二含金屬氧化物前驅物(B))的混合物以任何數量的比例共佈植(AxBy)到腔室中並沉積在製品的表面上。
與通常用於在具有高深寬比特徵的部件上沉積塗層的其它技術(諸如電漿噴塗和離子輔助沉積)不同,ALD和CVD技術可以在這些特徵內(即,在特徵的表面上)沉積材料層。另外,ALD和CVD技術產生相對薄(例如,10μm或更小)的無孔隙的(即無針孔的)塗層,這可以減弱在沉積期間的裂縫形成。如本文所用的術語「無孔隙」是指如通過透射電子顯微鏡(TEM)測量的沿著塗層的整個深度不存在任何孔、針孔、孔洞或裂縫。TEM可以使用通過聚焦離子銑製備的100nm厚TEM薄片進行,其中TEM在200kV下在明場、暗場和高解析度模式下操作。相反,對於常規電子束IAD或等離子噴塗技術,即使在5或10μm的厚度下也會在沉積時形成裂縫,並且孔隙率可能是1-3%或甚至更高。
抗電漿保護塗層可以沉積在各種製品上。在一些實施方式中,製程腔室部件(諸如靜電吸盤、噴嘴、氣體分配板、噴頭、靜電吸盤部件、腔室壁、襯墊、襯墊套件、氣體管線、蓋、腔室蓋、噴嘴、單環、處理套件環、基座、遮罩件、電漿屏、流量等化器、冷卻基座、腔室視口、波紋管、面板、選擇性調節裝置、電漿發生單元(例如,帶有外殼的射頻電極)和擴散器)將受益於具有這些抗電漿保護塗層,以保護在具有腐蝕性電漿的苛刻環境中的部件。這些腔室部件中的許多腔室部件具有範圍為約10:1至約300:1的高深寬比以及其它複雜形狀,這使這些腔室部件中的所述許多腔室部件難以使用常規沉積方法來良好地塗覆。本文所述的實施方式使得高深寬比製品(諸如前述的製程腔室部件)能夠塗覆有保護製品的抗電漿保護塗層。
可用於對處理腔室中的基板進行處理的處理氣體的示例包括含鹵素氣體,諸如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 和SiF4 等,以及其它氣體(諸如O2 或N2 O)。載氣的示例包括N2 、He、Ar和對於製程氣體而言是惰性的其它氣體(例如,非反應性氣體)。
圖1A 描繪了根據ALD技術的用於在製品上生長或沉積抗電漿保護塗層的沉積製程100的一個實施方式。 1B 描繪了根據如本文所述的ALD技術的沉積製程102的另一個實施方式。 1C 描繪了根據如本文所述的ALD沉積技術的沉積製程104的又一個實施方式。存在各種類型的ALD製程,並可以基於若干因素(諸如待塗覆的表面、塗層材料、表面與塗層材料之間的化學相互作用等)選擇特定類型的ALD製程。各種ALD製程的一般原理包括:通過將待塗覆的表面反覆地暴露於氣態化學前驅物的脈衝來生長薄膜層,所述氣態化學前驅物的脈衝以自限制的方式一次一個地與表面發生化學反應。
圖1A 1C 示出了具有表面的製品110。製品110可以表示各種製程腔室部件(例如,半導體處理腔室部件),包括但不限於靜電吸盤、噴嘴、氣體分配板、噴頭、靜電吸盤部件、腔室壁、襯墊、襯墊套件、氣體管線、蓋、腔室蓋、噴嘴、單環、處理套件環、基座、遮罩件、電漿屏、流量等化器、冷卻基座、腔室視口、波紋管、面板、選擇性調製裝置等。製品110(和圖2中的製品230)可以由金屬(諸如鋁、不銹鋼)、陶瓷(例如Y2 O3 、Al2 O3 、Y3 Al5 O12 (YAG)等)、金屬陶瓷複合物、聚合物、聚合物陶瓷複合物、聚酯薄膜、聚酯或其它合適的材料製成,並且還可以包括諸如AlN、Si、SiC、Al2 O3 、SiO2 等的材料。
對於ALD,將前驅物吸附到表面上或將反應物與吸附的前驅物反應可以被稱為「半反應」。在第一半反應期間,將前驅物脈衝到製品110的表面上(或脈衝到形成在製品110上的層上)一時間段,所述時間段足以使前驅物完全地吸附到表面上。吸附是自限制的,因為前驅物將吸附到表面上的有限數量的可用位點上,從而在表面上形成均勻的連續的吸附層。除非和/或直到吸附位點經受將在均勻的連續的塗層上形成新的可用位點的處理,不然的話已吸附前驅物的任何位點將不能再吸附相同的前驅物。示例性處理可以是電漿處理、通過將均勻的連續的吸附層暴露於自由基的處理、或引入能夠與吸附到表面的最新的均勻的連續層反應的不同的前驅物。
在一些實施方式中,將兩種或更多種前驅物一起佈植並吸附到製品的表面上。泵出多餘的前驅物,直到佈植含氧反應物以與吸附劑反應來形成單金屬氧化物層或多金屬氧化物層(例如,YAG、Y2 O3 -ZrO2 相等的)為止。此新鮮的層準備好在下一循環中吸附前驅物。
在圖1A中,可以將製品110引入到第一前驅物160持續第一持續時間,直到製品110的表面完全吸附有第一前驅物160以形成吸附層114。隨後,可以將製品110引入到第一反應物165以與吸附層114反應來生長稀土氧化物層116(例如,使得稀土氧化物層116完全地生長或沉積,其中術語「生長」和「沉積」在本文中可互換地使用)。例如,第一前驅物160可以是釔或其它金屬的前驅物。如果稀土層116是氧化物,那麼第一反應物165可以是氧、水蒸氣、臭氧、純氧、氧自由基或另一種氧源。因此,ALD可以用於形成稀土氧化物層116。
在稀土氧化物層116是氧化釔(Y2 O3 )稀土氧化物層的示例中,可以將製品110(例如,具有或沒有氧化鋁緩衝層的Al 6061基板)引入到第一前驅物160(例如,三(甲基環戊二烯基)釔)持續第一持續時間,直到表面上的所有反應位點都被消耗。沖洗掉剩餘的第一前驅物160,並且然後將H2 O的第一反應物165佈植反應器中以開始第二半循環。在H2 O分子與由第一半反應產生的含Y吸附層反應之後,形成Y2 O3 的稀土氧化物層116。
稀土氧化物層116可以是均勻的、連續的和保形的。稀土氧化物層116可以是無孔隙的(例如,孔隙率為0)或在實施方式中具有大約0的孔隙率(例如,孔隙率為0%至0.01%)。在單個ALD沉積循環之後,在一些實施方式中,層116可以具有小於一個原子層至幾個原子的厚度。一些金屬有機前驅物分子巨大。
可以實施多個完整ALD沉積循環以沉積較厚稀土氧化物層116,其中每個完整循環(例如,包括引入前驅物160、沖洗、引入反應物165以及再次沖洗)使厚度增加了一個原子到幾個原子的附加部分。如所示,可以執行多達n個完整循環以生長稀土氧化物層116,其中n是大於1的整數值。在實施方式中,稀土氧化物層116可以具有約500埃至約10000埃、約500埃至約5000埃、約1000埃至約5000埃或約1500埃至約2500埃的厚度。
由於使用ALD進行沉積,因此可以塗覆高深寬比特徵(諸如噴頭或氣體輸送管線中的氣體輸送孔)的內表面,並由此可以保護部件的整體免於暴露於腐蝕性環境。
在實施方式中,層116可以是Y2 O3 ,諸如具有單立方相的結晶Y2 O3 。在一個實施方式中,氧化釔立方相可以顯示對應於粉末色散檔第04-005-4378號的X射線繞射分佈。
應當理解,在一些實施方式中,層116可以包括多於一種稀土金屬。通過ALD沉積多元素稀土氧化物層可以通過如關於圖1B中的金屬氧化物層所述的順序沉積或如圖1C中更詳細地描述的共沉積進行。
隨後,可以將具有層116的製品110引入到(一個或多個)附加的前驅物170持續第二持續時間,直到稀土氧化物層118的表面完全地吸附有(一個或多個)附加的前驅物170以形成吸附層118為止。隨後,可以將製品110引入到反應物175以與吸附層118反應來生長非晶或結晶單相或多相金屬氧化物層120,為了簡單起見,非晶或結晶單相或多相金屬氧化物層也被稱為中斷層120(例如,使得中斷層120完全地生長或沉積)。因此,使用ALD在稀土氧化物層116上完全地生長或沉積中斷層120。在一個示例中,前驅物170可以是在第一半循環中使用的含鋯前驅物(例如,三(二甲基醯胺)環戊二烯基鋯),且反應物175可以是在第二半循環中使用的臭氧。
中斷層120形成非晶或結晶單相或多相金屬氧化物層,非晶或結晶單相或多相金屬氧化物層可以是均勻的、連續的和保形的。在實施方式中,第二層120可以具有小於1%的非常低的孔隙率,並且在另外的實施方式中具有小於0.1%的孔隙率,並且在實施方式中具有約0%的孔隙率,或在又另外的實施方式中無孔隙率。在單個完整ALD沉積循環之後,第二層120可以具有小於一個原子至幾個原子(例如,2-3個原子)的厚度。可以實施多個ALD沉積階段以沉積更厚的中斷層120,其中每個階段使厚度增加了一個原子至幾個原子的附加部分。如所示,完整沉積循環可以重複m次以使中斷層120具有目標厚度,其中m是大於1的整數值。在實施方式中,中斷層120可以具有約1埃至約500埃、約2埃至約200埃或約3埃至約50埃的厚度。
稀土氧化物層厚度與中斷層厚度的比率可為約5000:1至約1:1,或約2500:1。在一些實施方式中,稀土氧化物厚度與中斷層厚度的比率可為約500:1至約1:1。在又其它實施方式中,稀土氧化物厚度與中斷層厚度的比率可為約2500:8、約2500:12或約2500:16。稀土氧化物層與中斷層的比率可以使得保護塗層提供改進的耐腐蝕性和耐侵蝕性以及對由腔室處理引起的開裂和/或分層的改進的抗性。可以根據特定腔室應用來選擇厚度比。
如圖4A和4B所示,在沒有中斷層的情況下沉積的氧化釔層造成不可控制的和異常大的晶粒生長。例如,圖4A和4B中所示的異常大的氧化釔晶粒可以具有約100nm的高度和約200nm的寬度。這些異常大的晶粒造成更高的表面粗糙度並使塗層更易出現缺陷。這種現象對於600nm厚的氧化釔塗層是明顯的,並且對於更大厚度的氧化釔塗層將變得更加顯著(參見例如圖14A的沒有中斷層的1μm厚的氧化釔塗層中的晶粒)。此外,缺少中斷層為化學物質提供了通過大晶粒之間的裂縫和空間進行擴散並到達塗層與製品之間的介面的直接途徑,從而可能損害被塗覆的製品。
圖4C示出了氧化釔層之間的中斷層(即,在厚度為250nm的每一層氧化釔之後沉積富碳氧化釔中斷層)。實際上,氧化釔晶粒生長受到更多控制,並且因此表面邊界和表面粗糙度也受到更多控制。圖4C中的晶粒都不超過100nm的長度和200nm的寬度。此外,沒有從腐蝕性腔室環境穿過塗層一直通到塗層與製品之間的介面的直接途徑。然而,中斷層中的高碳含量使層相對較弱。因此,在處理過程中,當在保護塗層上施加壓縮應力時,頂部氧化釔層翹曲並開始分層,如圖4C所示。這種分層產生晶粒並影響被塗覆的製品的壽命、塗層的壽命和晶圓處理。當保護塗層的晶格開始擴張時,在氟化之後施加壓縮應力。
如果中斷層比碳中斷層要強,那麼氧化釔層和中斷層將保持連接並將不會翹曲。更強的中斷層被認為是具有與氧化釔層類似的組成但具有相異的原子晶相的層,其會抑制不可控制的晶粒生長。因此,關於選擇用於中斷層的金屬氧化物層的類型、所選擇的稀土氧化物層的類型以及它們相應的厚度的決定應考慮到需要控制稀土氧化物的晶粒生長同時還確保稀土氧化物層與中斷層之間的足夠強的結合,以防止分層和顆粒產生。
中斷層120可以是上述含稀土金屬的氧化物層以及氧化鋯、氧化鋁及其混合物中的任何一種。例如,中斷層120可以是單獨地或與一種或多種其它稀土金屬氧化物組合的ZrO2 。在一些實施方式中,中斷層120是結晶單相或多相材料,其具有由單種金屬氧化物或已經通過ALD順序地沉積或共沉積至少兩種金屬氧化物前驅物的混合物形成的一個或多個原子晶相。例如,中斷層120可以是La2 O3 、Pr2 O3 、Nd2 O3 、Sm2 O3 、Eu2 O3 、Gd2 O3 、Tb2 O3 、DY2 O3 、Ho2 O3 、Er2 O3 、Tm2 O3 、Yb2 O3 、ZrO2 及其組合中的一種(如圖3所示)。在某些實施方式中,中斷層可以是非晶的。在中斷層是結晶的實施方式中,中斷層的一個或多個原子晶相可以與稀土氧化物層的一個或多個原子晶相不同。在實施方式中,當中斷層的原子晶相中的至少一個原子晶相與稀土氧化物層的至少一個原子晶相相同時,相似的結晶原子相的晶格結構可以不同。例如,(一個或多個)原子晶相可以選自由以下項組成的組:六方、四方、立方、單斜及其組合。
在一些實施方式中,第一層116和第二層120可以獨立地包括如下材料,諸如Y2 O3 和Y2 O3 基陶瓷、Y3 Al5 O12 (YAG)、Al2 O3 (氧化鋁)、Y4 Al2 O9 (YAM)、ErAlO3 、GdAlO3 、NdAlO3 、YAlO3 、TiO2 (二氧化鈦)、ZrO2 (氧化鋯)、Y2 O3 穩定的ZrO2 (YSZ)、Er2 O3 和Er2 O3 基陶瓷、Gd2 O3 和Gd2 O3 基陶瓷、Er3 Al5 O12 (EAG)、Gd3 Al5 O12 (GAG)、Nd2 O3 和Nd2 O3 基陶瓷、包含Y2 O3 和YF3 的陶瓷化合物(例如,Y-O-F)、包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物、包含Y2 O3 、Er2 O3 、ZrO2 、Gd2 O3 和SiO2 的陶瓷化合物,或上述的任何組合。
第一層116和第二層120的材料也可以是基於由上述陶瓷中的任何陶瓷形成的固溶體。材料也可以是多相材料,其包括上述材料中的一種或多種的固溶體和一種或多種附加相。
關於Y2 O3 -ZrO2 的固溶體,所述材料可以包括濃度為10-90莫耳比(莫耳%)的Y2 O3 和濃度為10-90莫耳%的ZrO2 。在一些示例中,Y2 O3 -ZrO2 的固溶體可以包括10-20莫耳%的Y2 O3 和80-90莫耳%的ZrO2 ,可以包括20-30莫耳%的Y2 O3 和70-80莫耳%的ZrO2 ,可以包括30-40莫耳%Y2 O3 和60-70莫耳%ZrO2 ,可以包括40-50莫耳%的Y2 O3 和50-60莫耳%的ZrO2 ,可以包括60-70莫耳%的Y2 O3 和30-40莫耳%的ZrO2 ,可以包括70-80莫耳%的Y2 O3 和20-30莫耳%的ZrO2 ,可以包括80-90莫耳%的Y2 O3 和10-20莫耳%的ZrO2 ,等等。
關於包括Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體的陶瓷化合物,在一個實施方式中,陶瓷化合物包括62.93莫耳比(莫耳%)的Y2 O3 、23.23莫耳%的ZrO2 和13.94莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為50-75莫耳%的Y2 O3 、範圍為10-30莫耳%的ZrO2 和範圍為10-30莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為40-100莫耳%的Y2 O3 、範圍為0.1-60莫耳%的ZrO2 和範圍為0.1-10莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為40-60莫耳%的Y2 O3 、範圍為35-50莫耳%的ZrO2 和範圍為10-20莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為40-50莫耳%的Y2 O3 、範圍為20-40莫耳%的ZrO2 和範圍為20-40莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為80-90莫耳%的Y2 O3 、範圍為0.1-20莫耳%的ZrO2 和範圍為10-20莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為60-80莫耳%的Y2 O3 、範圍為0.1-10莫耳%的ZrO2 和範圍為20-40莫耳%的Al2 O3 。在另一個實施方式中,陶瓷化合物可以包括範圍為40-60莫耳%的Y2 O3 、範圍為0.1-20莫耳%的ZrO2 和範圍為30-40莫耳%的Al2 O3 。在其它實施方式中,其它分佈也可以用於陶瓷化合物。
在一個實施方式中,材料包括陶瓷化合物或由陶瓷化合物組成,所述陶瓷化合物包括Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 和SiO2 的組合。在一個實施方式中,陶瓷化合物可以包括範圍為40-45莫耳%的Y2 O3 、範圍為0-10莫耳%的ZrO2 、範圍為35-40莫耳%的Er2 O3 、範圍為5-10莫耳%的Gd2 O3 和範圍為5-15莫耳%的SiO2 。在第一示例中,替代性陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、5莫耳%的Gd2 O3 和15莫耳%的SiO2 。在第二示例中,替代性陶瓷化合物包括45莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、10莫耳%的Gd2 O3 和5莫耳%的SiO2 。在第三示例中,替代性陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、40莫耳%的Er2 O3 、7莫耳%的Gd2 O3 和8莫耳%的SiO2
上述材料中的任何材料可以包括痕量的其它材料,諸如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其它氧化物。由於陶瓷材料的電漿抗性和減少的晶圓或基板上污染,這些材料允許更長的工作壽命。
參考 1B ,在一些實施方式中,抗電漿保護塗層含有多於兩層。具體地,抗電漿保護塗層可以包括稀土氧化物層和中斷層的交替層的堆疊。
參考 1B ,具有稀土氧化物層116的製品110可以插入沉積腔室中。稀土氧化物層116可以如參考 1A 所述形成。圖1B示出了具有順序沉積以形成多元素中斷層的ALD製程。具有稀土氧化物層116的製品110可以被引入到一種或多種前驅物180持續一持續時間,直到稀土氧化物層116的表面完全地吸附有一種或多種附加的前驅物180以形成吸附層122為止。隨後可以將製品110引入到反應物182以與吸附層122反應來生長固體金屬氧化物層124。因此,使用ALD來將金屬氧化物層124完全地生長或沉積在稀土氧化物層116上。在一個示例中,前驅物180可以是在第一半循環中使用的含鋯前驅物,且反應物182可以是在第二半循環中使用的H2 O。金屬氧化物層可以是La2 O3 、Pr2 O3 、Nd2 O3 、Sm2 O3 、Eu2 O3 、Gd2 O3 、Tb2 O3 、Dy2 O3 、Ho2 O3 、Er2 O3 、Tm2 O3 、Yb2 O3 、ZrO2 、Al2 O3 或其它氧化物及其組合中的一種。
具有稀土氧化物層116和金屬氧化物層124的製品110可以被引入到一種或多種前驅物184一段時間,直到金屬氧化物層124的表面完全地吸附有一種或多種前驅物184以形成吸附層126。前驅物184可以與前驅物180不同。隨後,可以將製品110引入到反應物186以與吸附層126反應來生長附加固體金屬氧化物層128。因此,使用ALD將附加金屬氧化物層128完全地生長或沉積在金屬氧化物層124之上。在一個示例中,前驅物184可以是在第一半循環中使用的含釔前驅物,且反應物186可以是在第二半循環中使用的H2 O。金屬氧化物層124可以是La2 O3 、Pr2 O3 、Nd2 O3 、Sm2 O3 、Eu2 O3 、Gd2 O3 、Tb2 O3 、Dy2 O3 、Ho2 O3 、Er2 O3 、Tm2 O3 、Yb2 O3 、ZrO2 或其它氧化物及其組合中的一種。
在一些實施方式中,金屬氧化物層可以是結晶的,並且可以選自由以下項組成的組:範圍為從呈四方相或單斜相中的至少一種的純結晶單相氧化鋯到基於組成物中的總原子數的鋯原子百分比為約5%的結晶多相或單相氧化鋯釔的組成物;呈四方相的約65重量%的氧化鋯和呈單斜相的約35重量%的氧化鋯的混合物;呈四方相的約100重量%的氧化鋯釔的多元素氧化物;呈第一立方相的約70重量%的氧化鋯釔的多元素氧化物和呈第二立方相的約30重量%的氧化釔的混合物,其中第一立方相和第二立方相具有與結晶氧化釔層的晶格結構不同的晶格結構;以及呈第一立方相的約30重量%的氧化鋯釔的多元素氧化物和呈第二立方相的約70重量%的氧化釔的混合物。
如所示,金屬氧化物124和第二金屬氧化物128的沉積可以重複x次以形成交替層的堆疊137,其中x是大於1的整數值。x可以表示基於目標厚度和性質所選擇的有限數量的層。交替層的堆疊137可以被認為是含有多個交替子層的中斷層。因此,可以順序地重複地引入前驅物180、反應物182、前驅物184和反應物186,以生長或沉積附加的交替層130、132、134、136等。層124、128、130、132、134、136等中的每一個層可以是具有小於單個原子層到幾個原子層的厚度的非常薄的層。
上述的交替層124-136具有1:1的比率,其中對於第二金屬氧化物的每個單層,存在第一金屬氧化物的單層。然而,在其它實施方式中,在不同類型的金屬氧化物層之間可以存在其它比率,諸如2:1、3:1、4:1等。例如,在一個實施方式中,可以針對每個Y2 O3 層沉積三個ZrO2 層。另外,交替層124-136的堆疊137已徑被描述為兩種類型的金屬氧化物層的交替系列。然而,在其它實施方式中,可以在交替堆疊137中沉積多於兩種類型的金屬氧化物層。例如,堆疊137可以包括三個不同的交替層(例如,Y2 O3 的第一層、Al2 O3 的第一層、ZrO2 的第一層、Y2 O3 的第二層、Al2 O3 的第二層、ZrO2 的第二層等)。
形成金屬氧化物中斷層的多層堆疊137的製程在本文中也被稱為順序沉積。當稀土氧化物層含有多於一種稀土時,這種順序沉積也可以用於稀土氧化物層。
在形成交替層的堆疊137之後,可以執行退火製程以使不同材料的交替層擴散到彼此中並形成具有單晶相或多晶相的複合氧化物。在退火製程之後,交替層的堆疊137因此可以變成單個中斷層138。例如,如果堆疊中的層是Y2 O3 、Al2 O3 和ZrO2 ,那麼所得含稀土金屬的氧化物層138可以是包括Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體的陶瓷化合物。
在一些實施方式中,稀土氧化物層116和中斷層堆疊137(或如果退火的話是138)的沉積可以重複z次,以形成最終抗電漿保護塗層。最終抗電漿保護塗層可以包括稀土氧化物層和間歇金屬氧化物中斷層的交替層。
參考 1C ,可以將具有稀土氧化物層116的製品110插入沉積腔室中。稀土氧化物層116可能已經如參考 1A 所述形成。在一些實施方式中,可以將具有稀土氧化物層116的製品110引入到多種前驅物190A、190B,多種前驅物190A、190B可以共佈植或順序地佈植持續一持續時間,直到稀土氧化物層116的表面完全地吸附有多種前驅物190A、190B以形成多元素吸附層140為止。隨後,可以將製品110引入到反應物192以與吸附層140反應來生長固體多元素金屬氧化物層142。因此,使用ALD在稀土氧化物層116之上完全地生長或沉積多元素金屬氧化物層142。引入前驅物190A、190B並然後引入反應物192的製程可以重複y次,以使多元素金屬氧化物中斷層142具有目標厚度並最終形成非晶或結晶單相或多相中斷層。在圖2C中,y是大於1的整數。
形成圖1C中的中斷層142的製程在本文中也被稱為共沉積沉積。當稀土氧化物層含有多於一種稀土時,這種共沉積也可以用於稀土氧化物層。
稀土氧化物層116和中斷層142的沉積可以重複z次,以形成交替層的堆疊,所述交替層的堆疊形成最終抗電漿保護塗層。z可以是大於1的整數值。z可以表示基於最終抗電漿保護塗層的目標厚度和性質所選擇的有限數量的層。
圖1A 1B 中所示的最終結構是塗有雙層抗電漿保護塗層的製品110的橫截面側視圖,所述雙層抗電漿保護塗層包括結晶稀土氧化物層116和非晶或結晶中斷層120(根據圖1A)、137或138 (根據圖1B)。 1C 中所示的最終結構是塗有多層抗電漿保護塗層的製品110的橫截面側視圖,所述多層抗電漿保護塗層包括稀土氧化物層116和非晶或結晶中斷層142。在一些實施方式中,結晶稀土氧化物層116可以是以第一晶格結構呈立方相的氧化釔。結晶或非晶中斷層120、137/138或142可以包括稀土金屬氧化物、氧化鋯、氧化鋁或其混合物。在中斷層是結晶的實施方式中,中斷層可以具有不同於稀土氧化物層116的晶相的一個或多個晶相。
中斷層116和120、137/138或142可以獨立地從上面列舉的材料列表中選擇。
結晶稀土氧化物層116可以具有約500埃至約5000埃的厚度。在實施方式中,稀土氧化物層可以具有約1000-5000埃的厚度。在進一步的實施方式中,稀土氧化物層116可以具有約1500-2500埃的厚度。
中斷層120、137/138或142可以具有約1埃至約500埃的厚度,並且可以通過執行約1-500個ALD製程循環來形成,其中每個循環形成中斷層的奈米層(或略微小於或大於奈米層)。在實施方式中,中斷層120、137/138或142可以具有約2埃至約200埃的厚度。在進一步的實施方式中,中斷層120、137/138或142可以具有約3埃至約50埃的厚度。在一個實施方式中,使用約1-10個ALD循環來形成中斷層的每個層。
在進一步的實施方式中,抗電漿保護塗層可以具有約500nm至約5μm的厚度。在進一步的實施方式中,抗電漿保護塗層可以具有約1μm至約5μm或約1μm至約2μm的厚度。稀土金屬氧化物層116之間的中斷層120、137、138或142可以抑制稀土氧化物層中不可控制的和異常大的晶體生長。
在參考 1A 1C 描述的實施方式中,表面反應(例如,半反應)可以順序地進行,即,其中各種前驅物和反應物不接觸。在引入新的前驅物或反應物之前,可以用惰性載氣(諸如氮或空氣)淨化其中發生ALD製程的腔室,以除去任何未反應的前驅物和/或表面前驅物反應副產物。每個層的前驅物可以不同。在一些實施方式中,表面反應可以通過共沉積進行,即,其中使用至少兩種前驅物,在一些實施方式中,使用至少三種前驅物,在又進一步的實施方式中,使用至少四種前驅物。在引入一種或多種反應物之前,可以將多種前驅物共佈植ALD腔室中。可以用惰性載氣(諸如氮或空氣)淨化ALD腔室以除去任何未反應的前驅物和/或表面前驅物反應副產物。
ALD製程可以根據製程類型在各種溫度下進行。特定ALD製程的最佳溫度範圍被稱為「ALD溫度視窗」。低於ALD溫度視窗的溫度可能造成不良生長速率和非ALD型沉積。高於ALD溫度視窗的溫度可能造成通過化學氣相沉積(CVD)機制發生的反應。ALD溫度視窗可以在約100℃至約400℃的範圍內。在一些實施方式中,ALD溫度視窗在約120-300℃之間。
ALD製程允許在具有複雜幾何形狀的製品和表面、具有高深寬比的孔和三維結構上具有均勻厚度的保形抗電漿保護塗層。將表面暴露於每種前驅物的足夠的暴露時間使得前驅物能夠完全地分散並與表面(包括表面的所有三維複雜特徵)充分地反應。用於在高深寬比結構中獲得保形ALD的暴露時間與深寬比的平方成比例,並且可以使用建模技術來預測。此外,ALD技術優於其它常用的塗層技術,因為它允許特定的組成或配方的原位按需材料合成,而無需源材料(諸如粉末原料和燒結的靶)的長時間且難進行的製造。在一些實施方式中,ALD用於塗覆深寬比為約10:1至約300:1的製品。
用本文所述的ALD技術,可以生長、沉積或共沉積多組分薄膜,例如,通過用於生長如上所述並在以下示例式中更詳細地描述的中斷層的前驅物的適當的混合物。
在一些實施方式中,抗電漿保護塗層可以通過CVD沉積在製品的表面上。 2 中示出了示例性CVD系統。所述系統包括化學蒸氣前驅物供應系統205和CVD反應器210。蒸氣前驅物供應系統205的作用是由原料215來產生蒸氣前驅物220,原料215可以是以固體、液體或氣體的形式。隨後,根據一個實施方式,可以將蒸氣輸送到CVD反應器210中並沉積在製品230的表面上作為抗電漿保護塗層225和/或245,製品230可以定位在製品保持器235上。
圖2中描繪的抗電漿保護塗層包括結晶單相或多相稀土氧化物層225和非晶或結晶單相或多相金屬氧化物中斷層245的雙層。本領域的普通技術人員應當理解,儘管關於CVD製程僅舉例說明瞭雙層,但本文關於CVD製程還構想了多層抗電漿保護塗層。在本文某些實施方式中,構想了包括通過CVD沉積的(多晶)結晶單相或多相稀土氧化物和非晶或(多晶)結晶單相或多相金屬氧化物中斷層的交替層的堆疊的多層抗電漿保護塗層。
CVD反應器210使用加熱器240來將製品230加熱到沉積溫度。在一些實施方式中,加熱器可以加熱CVD反應器的壁(也被稱為「熱壁反應器」),並且反應器的壁可以將熱傳遞給製品。在其它實施方式中,可以在維持CVD反應器的壁是冷(也被稱為「冷壁反應器」)的同時單獨地加熱製品。應當理解,CVD系統配置不應被理解為限制性的。各種設備都可用於CVD系統,並且設備經選擇以獲得可使塗層具有均勻的厚度、表面形態、結構和組成的最佳處理條件。
各種CVD技術包括以下階段:(1)由原料來產生活性氣態反應物質(也被稱為「前驅物」);(2)將前驅物輸送到反應腔室(也被稱為「反應器」);(3)將前驅物吸附到被加熱的製品上;(4)在氣-固介面處參與前驅物與製品之間的化學反應,以形成沉積物和氣態副產物;以及(5)從反應腔室除去氣態副產物和未反應的氣態前驅物。
合適的CVD前驅物在室溫下可以是穩定的,可以具有低的蒸發溫度,可以產生在低溫下穩定的蒸氣,具有合適的沉積速率(對於薄膜塗層的低沉積速率和對於厚膜塗層的高沉積速率)、相對低的毒性,是成本高效的且相對純的。對於一些CVD反應(諸如熱分解反應(也被稱為「熱解」)或歧化反應),單獨的化學前驅物就可足以完成沉積。
CVD具有許多優點,包括其沉積高度緻密的和純的塗層的能力以及其在相當高的沉積速率下產生具有良好的再現性和黏附性的均勻薄膜的能力。在實施方式中使用CVD沉積的層可以具有低於1%的孔隙率和低於0.1%的孔隙率(例如,約0%)。因此,CVD可以用於均勻地塗覆複雜形狀的部件並沉積具有良好保形覆蓋(例如,具有基本上均勻的厚度)的保形薄膜。CVD也可以用於沉積由多種組分製成的薄膜,例如,通過以預定比率將多種化學前驅物進給到混合腔室中然後將混合物供應到CVD反應器系統。
在實施方式中,本文所述的CVD和ALD製程可以用於形成耐侵蝕和/或腐蝕的抗電漿保護塗層。通過ALD或CVD沉積的抗電漿保護塗層可以包括結晶稀土氧化物層和非晶或結晶中斷層的交替層的堆疊。在一個實施方式中,抗電漿保護塗層可以是結晶稀土氧化物層和非晶或結晶中斷層的雙層。當抗電漿保護塗層包括交替層的堆疊時,第一層可以是稀土氧化物層。非晶或結晶中斷層可以抑制結晶稀土氧化物層中的晶體/晶粒生長,使得稀土氧化物層中的晶粒尺寸不超過稀土氧化物層的厚度,並且在一些實施方式中使得晶粒尺寸不超過100nm或200nm。
稀土氧化物層可以具有一個或多個原子晶相。中斷層可以具有與稀土氧化物層的(一個或多個)原子晶相不同的一個或多個原子晶相,以便抑制稀土氧化物晶體的晶體生長。例如,在一個實施方式中,稀土氧化物層可以是呈立方相的氧化釔層。在一個實施方式中,中斷層可以是呈四方相和單斜相的氧化鋯層。
當稀土氧化物層或中斷層含有多於一種金屬氧化物時,形成每個層的材料可以順序地沉積或共沉積(如通過圖1A至圖1C的ALD製程詳細地描述的)。在一些實施方式中,含有多於一種金屬氧化物的層可以經受後塗層熱處理。在一些實施方式中,抗電漿保護塗層或最終抗電漿保護塗層中的每個層可以經受後塗層處理以在其中形成一個或多個特徵。
可與CVD和ALD塗層沉積技術一起使用的示例性含釔前驅物包括但不限於三(N, N-雙(三甲基矽基)醯胺)釔(III)、丁醇釔(III)、三(環戊二烯基)釔(III)和Y(thd)3(thd = 2, 2, 6, 6-四甲基-3, 5-庚二酮酸)。
可與ALD和CVD塗層沉積技術一起使用的示例性含鉺前驅物包括但不限於三甲基環戊二烯基鉺(III)(Er(MeCp)3)、鉺硼烷醯胺(Er(BA)3)、 Er(TMHD)3、鉺(III)三(2, 2, 6, 6-四甲基-3, 5-庚二酮酸)和三(丁基環戊二烯基)鉺(III)。
可與ALD和CVD塗層沉積技術一起使用的示例性含鋁前驅物包括但不限於乙氧基二乙基鋁、三(乙基甲基氨基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁和三(二乙基氨基)鋁。
可與ALD和CVD塗層沉積技術一起使用的示例性含鋯前驅物包括但不限於溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基氨基)鋯(IV)、四(二甲基氨基)鋯(IV)和四(乙基甲基氨基)鋯(IV)。
可與本文標識出的各種塗層沉積技術及其等效物一起使用的示例性含氧反應物包括但不限於臭氧、水蒸氣、氧和氧自由基。
圖11 示出了根據實施方式的用於在諸如製程腔室部件之類的製品上形成包括稀土氧化物層和金屬氧化物中斷層的抗電漿保護塗層的方法300。方法300可以用於塗覆任何製品,包括具有約3:1至約300:1的深寬比的製品(例如,20:1、50:1、100:1、150:1等的深寬比)。所述方法可以任選地通過選擇用於稀土氧化物層和用於抗電漿保護塗層的金屬氧化物中斷層的組成並通過選擇這些層中的每個層的厚度開始。稀土氧化物層的組成和金屬氧化物中斷層的組成可以選自上文構想的任何材料。選擇用於稀土氧化物層和用於金屬氧化物中斷層的厚度以及它們之間的比率也可以選自上文構想的任何厚度和比率。組成選擇、厚度選擇和形成方法可以由相同實體或由多個實體執行。
在方塊310處,將製品裝載到ALD或CVD沉積腔室中。在方塊320處,所述方法包括使用ALD或CVD來將抗電漿保護塗層沉積到製品的表面上。在一個實施方式中,在方塊325處,執行ALD或CVD以沉積或共沉積(針對多元素層)稀土氧化物層。在一個實施方式中,稀土氧化物層可以包括氧化釔並且具有立方晶相。在一個實施方式中,在方塊330處,執行ALD或CVD以沉積或共沉積(針對多元素層)金屬氧化物中斷層。金屬氧化物中斷層可以具有與結晶稀土氧化物層的立方相不同的原子晶相。金屬氧化物中斷層可以是非晶的。
ALD和CVD是在實施方式中執行的非常保形的製程,其可以使抗電漿保護塗層的表面粗糙度與被塗覆的製品的下層表面的表面粗糙度相匹配。在一些實施方式中,抗電漿保護塗層可以具有約500nm至約10μm或約500nm至約5μm的總厚度。在其它實施方式中,抗電漿保護塗層可以具有約500nm至約1μm的厚度。在實施方式中,抗電漿保護塗層可以具有約0%的孔隙率,或在實施方式中可以無孔隙率,並且可以在塗層的不同區段上具有約+/-5%或更小、+/-10%或更小、或+/-20%或更小的厚度變化。
在方塊335處,可以確定是否將附加層添加到抗電漿保護塗層中(例如,如果要形成多層堆疊)。如果要添加附加層,那麼所述方法可以返回到方塊320,並且可以通過ALD或CVD形成附加稀土氧化物層和金屬氧化物中斷層。否則,可以完全地形成抗電漿保護塗層,並且所述方法可以到達其終點。
取決於稀土氧化物層的組成,方塊325可以包括一個或多個ALD或CVD的循環以沉積具有目標厚度的稀土氧化物。稀土氧化物層的目標厚度可以在500埃至約5000埃的範圍內。在一些實施方式中,稀土氧化物層可以是多元素稀土氧化物層。可以通過順序ALD或CVD沉積或通過共沉積來同時地將多種前驅物共佈植沉積腔室中來沉積多元素稀土氧化物層。參考圖1A至圖1C更詳細地描述了各種ALD技術,並且應當理解,類似的機制也可以與CVD製程一起使用。
類似地,取決於金屬氧化物中斷層的組成,方塊330可以包括一個或多個ALD或CVD的循環以沉積具有目標厚度的金屬氧化物中斷層。金屬氧化物中斷層的目標厚度可以在約1埃至約500埃的範圍內。在一些實施方式中,金屬氧化物中斷層可以是多元素金屬氧化物中斷層。可以通過順序ALD或CVD沉積或通過共沉積來同時地將多種前驅物共佈植沉積腔室來沉積多元素金屬氧化物中斷層。參考圖1A至圖1C更詳細地描述了各種ALD技術,並且應當理解,類似的機制也可以與CVD製程一起使用。
在被塗覆的部件的操作和暴露於電漿的整個持續時間中,通過「蝕刻速率」(ER)(所述「蝕刻速率」可以以微米/小時(μm/hr)為單位)測量塗層材料對電漿的抗性。可以在不同的處理時間之後進行測量。例如,可以在處理之前、在處理50個小時之後、在處理150個小時之後、在處理200個小時之後等進行測量。生長或沉積在噴頭或任何其它製程腔室部件上的抗電漿保護塗層的組成的變化可以產生多種不同的電漿抗性或侵蝕速率值。另外,具有暴露於各種電漿的單一組成的抗電漿保護塗層可以具有多種不同的電漿抗性或侵蝕速率值。例如,抗電漿材料可以具有與第一類型電漿相關聯的第一電漿抗性或侵蝕速率和與第二類型電漿相關聯的第二電漿抗性或侵蝕速率。在實施方式中,在450℃下暴露於200W NF3直接電容耦合電漿2小時之後沒有發生可檢測到的侵蝕。
闡述以下示例以幫助理解本文所述的實施方式,並且以下示例不應被理解為具體地限制本文所描述和所要求保護的實施方式。在本領域的技術人員的知識範圍內的這些變化(包括現在已知的或以後開發的所有等效物的替代)以及配方的變化或實驗設計的微小變化應被視為落入本文所併入的實施方式的範圍內。這些示例可以通過執行上述方法300來實現。示例1- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇ZrO2 中斷層的Y2 O3 抗電漿保護塗層
圖5A描繪了沉積在Al2 O3 緩衝層520A上的抗電漿保護塗層,Al2 O3 緩衝層520A沉積在Al 6061的鋁基板510A上。使用原子層沉積來在氧化鋁緩衝層上沉積結晶氧化釔的稀土氧化物層530A。通過將含釔前驅物佈植容納製品的沉積腔室中以使含釔前驅物吸附到製品的表面上以形成第一半反應來發生結晶氧化釔層的沉積。此後,可以將含氧反應物佈植沉積腔室中以形成第二半反應。所述沉積循環可以已經被重複進行,直到獲得目標厚度為止。
隨後,使用原子層沉積來在單相結晶氧化釔層上沉積多相結晶氧化鋯層的中斷層540A。通過將含金屬前驅物(例如,含鋯前驅物)佈植容納製品的沉積腔室中以使含金屬前驅物(例如,含鋯前驅物)吸附到製品的表面上以形成第一半反應來發生沉積多相結晶氧化鋯層。此後,可以將含氧反應物佈植沉積腔室中以形成第二半反應。所述沉積循環可以已經被重複進行,直到獲得目標厚度為止。
這些沉積重複若干循環,以形成單相結晶氧化釔層(530A、550A、570A、590A)和多相結晶氧化鋯層(540A、560A、580A)的交替層的堆疊。
抗電漿保護塗層中的第一層530A是單相結晶氧化釔層。結晶氧化釔層具有約95-100重量%的立方相,其對應於粉末繞射檔(PDF)第04-005-4378號。單相結晶氧化釔層呈現如圖6A所示的X射線繞射(XRD)分佈。
抗電漿保護塗層中的間歇氧化鋯層是多相結晶,所述多相結晶具有約65.1±5重量%的四方晶相(也被稱為等軸鈣鋯鈦礦(Tazheranite))和約34.9±5重量%的單斜晶相(也被稱為斜鋯石(Baddeleyite))。氧化鋯的四方晶相對應於PDF 第01-070-8758號。氧化鋯的單斜晶相對應於PDF 第01-070-8739號。多相結晶氧化鋯層呈現如圖6B所示的XRD分佈。
所述稀土氧化物層(即,結晶氧化釔層)中的每一個稀土氧化物層的厚度為約240nm至約260nm,並且中斷層(即,多相結晶氧化鋯層)的厚度為約0.5nm至約1.5nm。
尤其使用透射電子顯微鏡和能量色散光譜(TEM/EDS)線掃描以表徵抗電漿保護塗層中的氧化鋯中斷層。為了通過TEM/EDS進行分析,沉積多相結晶氧化鋯的中斷層,所述中斷層具有足以產生層中各種原子的原子分佈的厚度。圖6C中描繪了線掃描。得出氧605、鋯625和鋁632的濃度。線上掃描中在20nm和60nm之間呈現的組成對應於多相結晶氧化鋯中斷層的組成。圖6C示出了多相結晶氧化鋯中斷層包括約25原子%的鋯和約75原子%的氧。
圖6D描繪了通過圖6C中的TEM/EDS分析的多相結晶氧化鋯中斷層的高角度環形暗場(HAADF)掃描透射電子顯微鏡(STEM)圖像。區域610描繪了Al 6061,區域620描繪了氧化鋁緩衝層,並且區域630描繪了通過圖6C中的TEM/EDS分析的示例性多相結晶氧化鋯中斷層。圖6D還示出了通過ALD沉積的多相結晶氧化鋯層以低至無的孔隙率保形地和均勻地覆蓋Al 6061和氧化鋁緩衝層。示例2- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇Yx Zry Oz 中斷層的Y2 O3 抗電漿保護塗層
圖5B描繪了沉積在Al2 O3 緩衝層520B上的抗電漿保護塗層,Al2 O3 緩衝層520B沉積在Al 6061的鋁基板510B上。使用原子層沉積來在氧化鋁緩衝層上沉積結晶氧化釔的稀土氧化物層530B。隨後,使用原子層沉積來在結晶氧化釔層上沉積結晶氧化鋯釔層(例如,Y2 O3 -ZrO2 的固溶體)的中斷層540B。結晶氧化釔層和結晶氧化鋯釔層可以已經以與示例1中所述的方式類似的方式沉積。
通過順序原子層沉積來沉積中斷層540B。具體地,通過原子層沉積沉積一個氧化鋯循環,然後通過原子層沉積沉積一個氧化釔循環。這兩個循環(一個ZrO2 循環和一個Y2 O3 循環)將一起被稱為超級循環。在4個超級循環之後,中斷層540B完全地生長出。
將單相結晶氧化釔層和單相結晶氧化鋯釔中斷層的沉積重複若干循環,以形成結晶氧化釔層(530B、550B、570B、590B)和結晶氧化鋯釔(540B、560B、580B)的交替層的堆疊。
抗電漿保護塗層中的第一層530B是單相結晶氧化釔層。單相結晶氧化釔層具有約95-100重量%的立方相,其對應於粉末繞射檔(PDF)第04-005-4378號。單相結晶氧化釔層呈現如圖6A所示的X射線繞射(XRD)分佈。
抗電漿保護塗層中的間歇氧化鋯釔層是單相結晶,具有約95-100重量%的四方晶相。氧化鋯釔的四方晶相對應於PDF 第01-082-1243號。結晶氧化鋯釔層呈現如圖7A所示的XRD分佈。圖7A中描繪的XRD分佈和對應的PDF編號與化學式Zr0.86 Y0.14 O1.93 相關。
所述稀土氧化物層(即,結晶氧化釔層)中的每一個稀土氧化物層的厚度為約240nm至約260nm,並且中斷層(即,結晶氧化鋯釔層)的厚度為約0.5nm至約1.5nm或約0.8nm。
尤其使用透射電子顯微鏡和能量色散光譜(TEM/EDS)線掃描來表徵抗電漿保護塗層中的氧化鋯釔中斷層。為了通過TEM/EDS進行分析,沉積結晶氧化鋯釔的中斷層,所述中斷層具有足以產生層中各種原子的原子分佈的厚度。圖7B中描繪了線掃描。得出氧705、釔712、鋯725、鋁732和銥745的濃度。線上掃描中在40nm和90nm之間呈現的組成對應於結晶氧化鋯釔中斷層的組成。圖7B示出了結晶氧化鋯釔中斷層包括約10-15原子%的釔、約20-25原子%的鋯和約60-65原子%的氧。
圖7C描繪了通過圖7B中的TEM/EDS分析的結晶氧化鋯釔中斷層的高角度環形暗場(HAADF)掃描透射電子顯微鏡(STEM)圖像。區域710描繪了Al 6061,區域720描繪了氧化鋁緩衝層,並且區域730描繪了通過圖7B中的TEM/EDS分析的示例性多相結晶氧化鋯中斷層。圖7C還示出了通過ALD沉積的結晶氧化鋯釔層以低至無的孔隙率保形地和均勻地覆蓋Al 6061和氧化鋁緩衝層。
圖7D和7E描繪了結晶氧化鋯釔層的透射電子顯微鏡(TEM)圖像並進一步呈現通過原子層沉積獲得的保形的、均勻的和無孔隙的塗層。示例3- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇Yx Zry Oz 中斷層的Y2 O3 抗電漿保護塗層
圖5C描繪了沉積在Al2 O3 緩衝層520C上的抗電漿保護塗層,Al2 O3 緩衝層520C沉積在Al 6061的鋁基板510C上。使用原子層沉積在氧化鋁緩衝層上沉積單相結晶氧化釔的稀土氧化物層530C。隨後,使用原子層沉積在單相結晶氧化釔層上沉積混合的多相結晶氧化鋯釔(例如,Y2 O3 -ZrO2 固溶體)與氧化釔層的中斷層540C。單相結晶氧化釔層和多相結晶氧化鋯釔中斷層可以已經以與示例1中所述方式的類似的方式沉積。
通過順序原子層沉積來沉積中斷層540C。具體地,通過原子層沉積沉積一個氧化鋯循環,然後通過原子層沉積沉積兩個氧化釔循環。這三個循環(一個ZrO2 循環和兩個Y2 O3 循環)在本示例中將一起被稱為超級循環。在4個超級循環之後,中斷層540C完全地生長出。
將單相結晶氧化釔層和氧化鋯釔與氧化釔中斷層的多相結晶混合物的沉積重複若干循環,以形成單相結晶氧化釔層(530C、550C、570C、590C)和氧化鋯釔與氧化釔的多相結晶(540C、560C、580C)的交替層的堆疊。
抗電漿保護塗層中的第一層530C是單相結晶氧化釔層。單相結晶氧化釔層具有約95-100重量%的立方相,其對應於粉末繞射檔(PDF)第04-005-4378號。單相結晶氧化釔層呈現如圖6A所示的X射線繞射(XRD)分佈。
在抗電漿保護塗層中的氧化鋯釔與氧化釔層的間歇混合物是多相結晶,其具有約64-74重量%或約69.4重量%的立方晶相(其對應於PDF第 01-080-4014號)和約25-35重量%或約30.6重量%的立方氧化釔相(其對應於PDF第01-084-3893號)。多相結晶中斷層呈現如圖8A所示的XRD分佈。圖8A中描繪的XRD分佈和對應的PDF編號與約69.4±5重量%的化學式Zr0.4 Y0.6 O1.7 和約30.6±5重量%的化學式Y2 O3 相關。儘管氧化鋯釔和氧化釔的相是立方的並且氧化釔稀土氧化物層的相也是立方的,但是各種立方相的晶格結構是不同的。因此,只要兩個晶相的晶格結構變化,則中斷層可以具有與稀土氧化物層相同的相。
所述稀土氧化物層(即,結晶氧化釔層)中的每一個稀土氧化物層的厚度為約240nm至約260nm,並且中斷層(即,氧化鋯釔與氧化釔層的多相結晶混合物)的厚度為約0.5nm至約1.5nm或約1.2nm。
尤其使用透射電子顯微鏡和能量色散光譜(TEM/EDS)線掃描來表徵抗電漿保護塗層中的中斷層。為了通過TEM/EDS進行分析,沉積氧化鋯釔與氧化釔的多相結晶混合物的中斷層,所述中斷層具有足以產生層中各種原子的原子分佈的厚度。圖8B中描繪了線掃描。得出氧805、釔812、鋯825、鋁832和銥845的濃度。線上掃描中在30nm與480nm之間呈現的組成對應於氧化鋯釔與氧化釔中斷層的多相結晶混合物的組成。圖8B示出了氧化鋯釔與氧化釔中斷層的多相結晶混合物包括約15-25原子%的釔、約5-10原子%的鋯和約65-75原子%的氧。
圖8C描繪了通過圖8B中的TEM/EDS分析的氧化鋯釔與氧化釔中斷層的多相結晶混合物的高角度環形暗場(HAADF)掃描透射電子顯微鏡(STEM)圖像。區域815描繪了Al 6061,並且區域835描繪了通過圖8B中的TEM/EDS分析的氧化鋯釔與氧化釔中斷層的示例性多相結晶混合物。圖8C還示出了通過ALD沉積的氧化鋯釔與氧化釔層的多相結晶混合物以低至無的孔隙率保形地和均勻地覆蓋Al 6061和氧化鋁緩衝層。
圖8D描繪了氧化鋯釔與氧化釔中斷層的多相結晶混合物的透射電子顯微鏡(TEM)圖像,並且進一步呈現通過原子層沉積獲得的保形的、均勻的和無孔隙的塗層。示例4- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇Yx Zry Oz 中斷層的Y2 O3 抗電漿保護塗層
圖9A描繪了沉積在Al2 O3 緩衝層920上的抗電漿保護塗層,Al2 O3 緩衝層920沉積在Al 6061的鋁基板910上。使用原子層沉積將單相結晶氧化釔的稀土氧化物層930沉積在氧化鋁緩衝層上。隨後,使用原子層沉積在單相結晶氧化釔層上沉積混合的多相結晶氧化鋯釔與氧化釔層的中斷層940。單相結晶氧化釔層和多相結晶氧化鋯釔中斷層可以已經以與示例1中所述的方式類似的方式沉積。
通過順序原子層沉積來沉積中斷層940。具體地,通過原子層沉積沉積一個氧化鋯循環,然後通過原子層沉積沉積三個氧化釔循環。這四個循環(一個ZrO2 循環和三個Y2 O3 循環)在本示例中將一起被稱為超級循環。在4個超級循環之後,中斷層940完全地生長出。
將單相結晶氧化釔層和氧化鋯釔與氧化釔中斷層的多相結晶混合物的沉積重複若干循環,以形成單相結晶氧化釔層的交替層的堆疊(930、950、970、990)和氧化鋯釔與氧化釔層的多相結晶混合物(940、960、980)。
抗電漿保護塗層中的第一層930是單相結晶氧化釔層。單相結晶氧化釔層具有約95-100重量%的立方相,其對應於粉末繞射檔(PDF)第04-005-4378號。單相結晶氧化釔層呈現如圖6A所示的X射線繞射(XRD)分佈。
在抗電漿保護塗層中的氧化鋯釔與氧化釔層的間歇混合物是多相結晶,具有約25-35重量%或約30.8重量%的立方晶相(其對應於PDF第01-080-4014號)和約64-74重量%或約69.2重量%的立方氧化釔相(其對應於PDF第01-084-3893號)。多相結晶中斷層呈現如圖8A所示的XRD分佈。圖8A中描繪的XRD分佈和對應的PDF編號與約30.8±5重量%的化學式Zr0.4 Y0.6 O1.7 (即x為0.6,y為0.4,z為1.7)和約69.2±5重量%的化學式Y2 O3 相關。儘管氧化鋯釔和氧化釔的相是立方的並且氧化釔稀土氧化物層的相也是立方的,但是各種立方相的晶格結構是不同的。因此,只要兩個晶相的晶格結構變化,則中斷層可以具有與稀土氧化物層相同的相。
儘管在本示例和先前示例中標識出化學式YxZryOz中的x、y和z,但是它們的值不應被理解為限制性的,並且釔與鋯的原子比可以在從0(當不存在釔時)至9的範圍內,只要(一個或多個)所得晶相與稀土氧化物層的(一個或多個)晶相不同即可。
所述稀土氧化物層(即,結晶氧化釔層)中的每一個稀土氧化物層的厚度為約240nm至約260nm,並且中斷層(即,氧化鋯釔與氧化釔層的多相結晶混合物)具有的厚度為約0.5nm至約2.0nm或約1.6nm。
尤其使用透射電子顯微鏡和能量色散光譜(TEM/EDS)線掃描來表徵抗電漿保護塗層中的中斷層。為了通過TEM/EDS進行分析,沉積氧化鋯釔與氧化釔的多相結晶混合物的中斷層,所述中斷層的厚度足以產生層中各種原子的原子分佈。圖9B中描繪了線掃描。得出氧905、釔912、鋯925、鋁932和銥945的濃度。線上掃描中在40nm和85nm之間呈現的組成對應於氧化鋯釔與氧化釔中斷層的多相結晶混合物的組成。圖9B還示出了氧化鋯釔與氧化釔中斷層的多相結晶混合物包括約3-7原子%的鋯、約15-25原子%的鋯和約65-75原子%的氧。
圖9C描繪了通過圖9B中的TEM/EDS分析的氧化鋯釔與氧化釔中斷層的多相結晶混合物的高角度環形暗場(HAADF)掃描透射電子顯微鏡(STEM)圖像。區域915描繪了Al 6061,並且區域935描繪了通過圖9B中的TEM/EDS分析的氧化鋯釔與氧化釔中斷層的示例性多相結晶混合物。圖9C還示出了通過ALD沉積的氧化鋯釔與氧化釔層的多相結晶混合物以低至無的孔隙率保形地和均勻地覆蓋Al 6061和氧化鋁緩衝層。
圖9D描繪了氧化鋯釔與氧化釔中斷層的多相結晶混合物的透射電子顯微鏡(TEM)圖像並進一步呈現通過原子層沉積獲得的保形的、均勻的和無孔隙的塗層。示例5- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇氧化釓中斷層的Y2 O3 抗電漿保護塗層
圖10描繪了沉積在Al2 O3 緩衝層1020上的抗電漿保護塗層,Al2 O3 緩衝層1020沉積在Al 6061的鋁基板1010上。使用原子層沉積將單相結晶氧化釔的稀土氧化物層1030沉積在氧化鋁緩衝層上。隨後,使用原子層沉積在單相結晶氧化釔層上沉積氧化釓的中斷層1040。
將單相結晶氧化釔層和單相/多相結晶氧化釓中斷層的沉積重複若干循環,以形成結晶氧化釔層(1030、1050、1070、1090)和結晶氧化釓層(1040、1060、1080)的交替層的堆疊。
類似於結晶氧化釓中斷層,可以在氧化釔層之間沉積其它非晶或結晶稀土氧化物中斷層。當中斷層是結晶的時候,中斷層的(一個或多個)原子晶相應當與氧化釔的原子晶相不同或至少具有不同的晶格結構。不同的晶相或不同的晶格結構允許中斷層抑制氧化釔的晶粒生長,以免氧化釔的晶粒不可控制地生長並異常地大。
圖3中描繪了與各種稀土氧化物(例如,La2 O3 、Pr2 O3 、Nd2 O3 、Sm2 O3 、Eu2 O3 、Gd2 O3 、Tb2 O3 、Dy2 O3 、Ho2 O3 、Er2 O3 、Tm2 O3 、Yb2 O3 、ZrO2 及其組合)相關聯的示例性非限制性晶相。在圖3中(其中y軸表示溫度,且x軸表示稀土氧化物),確定特定稀土氧化物在經受特定溫度時將存在什麼結晶單相或多相是可能的。例如,在某些ALD溫度下,La2 O3 、Pr2 O3 、Nd2 O3 可以具有六方結晶原子相;Sm2 O3 可以具有六方和/或單斜晶相;Eu2 O3 、Gd2 O3 、Tb2 O3 可以以單斜晶相存在;Dy2 O3 可以以單斜晶相和/或立方晶相存在;並且Ho2 O3 、Er2 O3 、Tm2 O3 、Yb2 O3 可以以立方晶相存在。在一些實施方式中,結晶金屬氧化物層可以包括呈立方相的YAG。如所示,區域A包括稀土氧化物A型結構,其為六方晶體結構。區域B包括稀土氧化物B型結構,其為單斜晶體結構。區域C包括稀土氧化物C型結構,其為立方晶體結構。區域H包括稀土H型結構,其為六方晶體結構。區域X包括稀土氧化物X型結構,其為立方晶體結構。如所示,Er2 O3 擁有立方結構。示例6- 在Al 6061 基板和Al2 O3 緩衝層上形成具有間歇Yx Zry Oz 中斷層的Y2 O3 抗電漿保護塗層
圖12描繪了沉積在Al2 O3 緩衝層1220上的抗電漿保護塗層,Al2 O3 緩衝層1220沉積在Al 6061的鋁基板1210上。使用原子層沉積將單相結晶氧化釔的稀土氧化物層1230沉積在氧化鋁緩衝層上。隨後,使用原子層沉積在單相結晶氧化釔層上沉積氧化鋯釔的中斷層1240。單相結晶氧化釔層和氧化鋯釔中斷層可以已經以與示例1中所述的方式類似的方式沉積。
通過順序原子層沉積來沉積中斷層1240。具體地,通過原子層沉積沉積氧化鋯的三個循環,然後通過原子層沉積沉積一個氧化釔循環。這四個循環(ZrO2 三個循環和Y2 O3 的一個循環)在本示例中將一起被稱為超級循環。在4個超級循環之後,中斷層1240完全地生長出。
將單相結晶氧化釔層和氧化鋯釔中斷層的沉積重複若干循環,以形成單相結晶氧化釔層(1230、1250、1270、1290)和氧化鋯釔(1240、1260、1280)的交替層的堆疊。
抗電漿保護塗層中的第一層1230是單相結晶氧化釔層。單相結晶氧化釔層具有約95-100重量%的立方相,其對應於粉末繞射檔(PDF)第04-005-4378號。單相結晶氧化釔層呈現如圖6A所示的X射線繞射(XRD)分佈。
所述稀土氧化物層(即,結晶氧化釔層)中的每一個稀土氧化物層的厚度為約240nm至約260nm,並且中斷層的厚度為約0.5nm至約2.0nm或約1.6nm。
尤其使用自頂向下掃描電子顯微鏡(SEM)圖像、TEM圖像和TEM/EDS線掃描來表徵抗電漿保護塗層中的中斷層。
圖13A和13B中描繪了自頂向下SEM圖像。圖13A示出了通過ALD沉積的沒有中斷層的1μm氧化釔塗層的自頂向下SEM圖像。如圖13A所示,過度生長的晶粒1305從表面塗層突出。區域1308示出TEM的切割位置(例如,聚焦離子束(FIB)切割位置)。圖13B示出了根據本示例的具有中斷層的1μ氧化釔塗層的自頂向下SEM圖像。如圖13B所示,沒有過度生長的晶粒從塗層的表面突出。區域1310示出TEM的切割位置(例如,聚焦離子束(FIB)切割位置)。
圖14A和14B中描繪了橫截面TEM圖像。圖14A示出了通過ALD沉積的沒有中斷層的1μm氧化釔塗層的橫截面TEM圖像。圖14A示出了從切割位置1308取得的樣品的TEM。如圖14A所示,過度生長的晶粒1405從塗層的表面突出。圖14B示出了根據本示例的具有中斷層的1μ氧化釔塗層的橫截面TEM圖像。圖14B示出了從切割位置1310取得的樣品的TEM。如圖14B所示,沒有過度生長的晶粒從塗層表面突出。
圖15A和15B中描繪了TEM/EDS線掃描。圖15A中描繪了線掃描。TEM/EDS線掃描示出被塗層1504所覆蓋的Al基板1502,塗層1504又被FIB覆層1506所覆蓋。所述組成在約250-350nm之間、在約500-600nm之間和在約750-850nm之間(即,在中斷層的位置)呈現三個鋯峰。
圖15B描繪了TEM圖像,其示出了線上掃描中標識出的三個中斷層1505、1510、1515(每個中斷層被示出為鋯峰)並進一步呈現通過原子層沉積獲得的保形的、均勻的和無孔隙的塗層。
本文所討論和所舉例說明的所有中斷層僅抑制結晶稀土氧化物層中的晶粒的不受控制的晶粒生長。中斷層不影響稀土氧化物層的晶相。
本文所提供的XRD資料是通過在配備有銅X射線管和平行束光學器件的PANalytical X'Pert Pro MRD 6軸繞射儀上的掠入射XRD(GIXRD)來獲得的。
在FEI Helios 650雙束FIB/SEM上使用原位聚焦離子束(FIB)剝離技術來製備TEM樣品。在研磨之前,將樣品用濺射的銥(Ir)、保護性碳墨和e-Pt/I-Pt覆蓋。TEM薄片的厚度為約100nm。
用FEI Tecnai TF-20 FEG/TEM在200kV下在明場(BF)TEM模式、高解析度(HR)TEM模式下對TEM樣品進行成像。
Z襯度(Z-Contrast) STEM是盧瑟福(Rutherford)散射的一種形式,其中電子被散射到非常大的角度並用特殊偵測器收集電子。散射走向如Z2所示,並且所得圖像可以直接地解釋為定性化學圖譜。圖像對比度是平均原子品質差異造成的;其中較重原子品質比較輕平均原子品質顯得更亮。在這些圖像中通常存在非常小的繞射對比度。這些圖像有時被稱為高角度環形暗場圖像(HAADF)。「Z襯度(Z-Contrast) 」可以示出最高解析度圖像中的原子列。
前述描述闡述了許多具體細節(諸如特定系統、部件、方法等的示例),以便提供對本發明的若干實施方式的良好理解。然而,對於本領域的技術人員而言明顯的是,可以在沒有這些具體細節的情況下實踐本發明的至少一些實施方式。在其它情況下,沒有詳細地描述熟知的部件或方法或以簡單的方塊圖格式來呈現熟知的部件或方法,以便避免不必要地模糊本發明。因此,所闡述的具體細節僅是示例性的。特定實施方式可以與這些示例性細節有所不同,並且仍然構想為在本發明的範圍內。
貫穿本說明書對「一個實施方式」或「實施方式」的引用是意味著結合實施方式描述的特定特徵、結構或特性被包括在至少一個實施方式中。因此,貫穿本說明書在各個地方出現的短語「在一個實施方式中」或「在實施方式中」不一定指相同的實施方式。此外,術語「或」旨在意味著包括性的「或」,而不是排他性的「或」。
貫穿本說明書對數值範圍的引用不應被理解為限制性的,並且應理解為包括範圍極限以及所列舉的數值範圍內的每個數字和/或更窄範圍。
儘管以特定的順序來示出和描述了本文的方法的操作,但可以改變每種方法的操作順序,使得可以以相反的循序執行某些操作,或使得可以至少部分地使某些操作與其它操作同時地執行。在另一個實施方式中,不同操作的指令或子操作可以以間歇和/或交替的方式進行。
應當理解,以上描述旨在是說明性的並且是非限制性的。在閱讀和理解以上描述後,許多其它實施方式對於本領域的技術人員而言是明顯的。因此,本發明的範圍應當參考所附權利要求以及這些權利要求所賦予的等效物的全部範圍來確定。
100:沉積製造 102:沉積製造 104:沉積製造 110:製品 114:吸附層 116:稀土氧化物層 118:吸附層 120:中斷層 122:吸附層 124:金屬氧化物層 126:吸附層 128:金屬氧化物層 130:交替層 132:交替層 134:交替層 136:交替層 137:中斷層 138:中斷層 140:吸附層 142:中斷層 160:第一前驅物 165:第一反應物 170:前驅物 175:反應物 180:前驅物 182:反應物 184:前驅物 186:反應物 190A:前驅物 190B:前驅物 192:反應物 205:供應系統 210:CVD反應器 215:原料 220:蒸氣前驅物 225:稀土氧化物層 230:製品 235:製品保持器 240:加熱器 245:金屬氧化物中斷層 300:方法 310:方塊 325:方塊 330:方塊 335:方塊 510A:鋁基板 520A:Al2 O3 緩衝層 530A:單相結晶氧化釔層 540A:多相結晶氧化鋯層 550A:單相結晶氧化釔層 560A:多相結晶氧化鋯層 570A:單相結晶氧化釔層 580A:多相結晶氧化鋯層 590A:單相結晶氧化釔層 510B:鋁基板 520B:Al2 O3 緩衝層 530B:結晶氧化釔層 540B:結晶氧化鋯釔 550B:結晶氧化釔層 560B:結晶氧化鋯釔 570B:結晶氧化釔層 580B:結晶氧化鋯釔 590B:結晶氧化釔層 510C:鋁基板 520C:Al2 O3 緩衝層 530C:單相結晶氧化釔層 540C:多相結晶氧化鋯釔與氧化釔 550C:單相結晶氧化釔層 560C:多相結晶氧化鋯釔與氧化釔 570C:單相結晶氧化釔層 580C:多相結晶氧化鋯釔與氧化釔 590C:單相結晶氧化釔層 605:氧 610:區域 620:區域 625:鋯 630:區域 632:鋁 705:氧 710:區域 712:釔 720:區域 725:鋯 730:區域 732:鋁 745:銥 805:氧 812:釔 815:區域 825:鋯 832:鋁 835:區域 845:銥 905:氧 910:鋁基板 912:釔 915:區域 920:Al2 O3 緩衝層 925:鋯 930:交替層的堆疊 932:鋁 935:區域 940:多相結晶混合物 945:銥 950:交替層的堆疊 960:多相結晶混合物 970:交替層的堆疊 980:多相結晶混合物 990:交替層的堆疊 1010:鋁基板 1020:Al2 O3 緩衝層 1030:結晶氧化釔層 1040:結晶氧化釓層 1050:結晶氧化釔層 1060:結晶氧化釓層 1070:結晶氧化釔層 1080:結晶氧化釓層 1090:結晶氧化釔層 1210:鋁基板 1220:Al2 O3 緩衝層 1230:單相結晶氧化釔層 1240:氧化鋯釔 1250:單相結晶氧化釔層 1260:氧化鋯釔 1270:單相結晶氧化釔層 1280:氧化鋯釔 1290:單相結晶氧化釔層 1305:晶粒 1308:區域 1310:區域 1405:晶粒 1502:Al基板 1504:塗層 1505:中斷層 1506:FIB覆層 1510:中斷層 1515:中斷層
在附圖的圖中以示例而非限制的方式示出本發明的實施方式,在附圖中,相同的附圖標記指示類似的元件。應當注意,本揭示內容中對「一(a)」或「一個(one)」實施方式的不同引用不一定指相同的實施方式,並且這種引用是意味著至少一個實施方式。
圖1A 描繪了本文所述的原子層沉積製程的一個實施方式。
圖1B 描繪了本文所述的原子層沉積製程的另一個實施方式。
圖1C 描繪了本文所述的原子層沉積製程的又一個實施方式。
圖2 描繪了根據實施方式的在沉積抗電漿保護塗層時可以使用的化學氣相沉積技術。
圖3 描繪了不同溫度下的各種稀土氧化物的不同晶相。
圖4A 4B 描繪了沒有任何中斷層的600nm氧化釔塗層的各種尺度(分別地為0.2μm尺度和100nm尺度)的透射電子顯微鏡(TEM)圖像。
圖4C 描繪了具有富碳氧化釔中斷層的氧化釔塗層的100nm尺度下的TEM圖像。
圖5A 5B 5C 分別地描繪了根據示例1、2和3的示例性抗電漿保護塗層。
圖6A 描繪了具有粉末繞射檔(PDF)第04-005-4378號的立方氧化釔的X射線繞射(XRD)分佈。
圖6B 描繪了存在於示例1的中斷層中的四方氧化鋯和單斜氧化鋯的多相混合物的XRD分佈。
圖6C 描繪了存在於示例1的中斷層中的四方氧化鋯和單斜氧化鋯的多相混合物的透射電子顯微鏡和能量色散光譜(TEM/EDS)線掃描。
圖6D 描繪了存在於示例1的中斷層中的四方氧化鋯和單斜氧化鋯的多相混合物的高角度環形暗場(HAADF)掃描透射電子顯微鏡(STEM)圖像。
圖7A 描繪了存在於示例2的中斷層中的具有化學式Zr0.86 Y0.14 O1.93 和PDF 第01-082-1243號的結晶氧化鋯釔的XRD分佈。
圖7B 描繪了存在於示例2的中斷層中的具有化學式Zr0.86 Y0.14 O1.9 的結晶單相氧化鋯釔的TEM/EDS線掃描。
圖7C 描繪了存在於示例2的中斷層中的具有化學式Zr0.86 Y0.14 O1.9 的結晶單相氧化鋯釔的HAADF STEM圖像。
圖7D 7E 描繪了存在於示例2的中斷層中的具有化學式Zr0.86 Y0.14 O1.9 的結晶氧化鋯釔的各種尺度(分別為10nm尺度和0.2μm尺度)的TEM圖像。
圖8A 描繪了存在於示例3的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF 第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的XRD分佈。
圖8B 描繪了存在於示例3的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF 第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的TEM/EDS線掃描。
圖8C 描繪了存在於示例3的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF 第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的HAADF STEM圖像。
圖8D 描繪了存在於示例3的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的0.2μm尺度TEM圖像。
圖9A 描繪了根據示例4的示例性抗電漿保護塗層。
圖9B 描繪了存在於示例4的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF 第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的TEM/EDS線掃描。
圖9C 描繪了存在於示例4的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的HAADF STEM圖像。
圖9D 描繪了存在於示例4的中斷層中的具有化學式Zr0.4 Y0.6 O1.7 和PDF第01-080-4014號的氧化鋯釔的和具有PDF第01-084-3893號的氧化釔的多相混合物的50nm尺度TEM圖像。
圖10 描繪了根據示例5的氧化釔和氧化釓的示例性抗電漿保護塗層。
圖11 示出了使用如本文所述的原子層沉積或化學氣相沉積來產生抗電漿保護塗層的方法。
圖12 描繪了根據示例6的示例性抗電漿保護塗層。
圖13A 描繪了通過ALD沉積的沒有中斷層的1μm氧化釔塗層的自頂向下SEM圖像。
圖13B 描繪了根據示例6的具有中斷層的1μ氧化釔塗層的自頂向下SEM圖像。
圖14A 描繪了通過ALD沉積的沒有中斷層的1μm氧化釔塗層的橫截面TEM圖像。
圖14B 描繪了根據示例6的具有中斷層的1μm氧化釔塗層的橫截面TEM圖像。
圖15A 描繪了示例6的抗電漿保護塗層的TEM/EDS線掃描。
圖15B 描繪了示例6的抗電漿保護塗層的TEM圖像。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
310,325,330,335,22:方塊

Claims (20)

  1. 一種包括一抗電漿保護塗層的製品,該抗電漿保護塗層在該製品的一表面上,其中該抗電漿保護塗層包括:多個結晶稀土氧化物層和多個結晶或非晶金屬氧化物層的多個交替層的一堆疊,其中:該等交替層的該堆疊中的一第一層是一結晶稀土氧化物層,當該等金屬氧化物層是結晶的時候,該等金屬氧化物層具有與該等結晶稀土氧化物層的相不同的一原子晶相,該等結晶稀土氧化物層的每一層的厚度與該等結晶或非晶金屬氧化物層的每一層的厚度的一厚度比例為約10:1至約500:1,使得該結晶或非晶金屬氧化物層的該厚度小於該結晶稀土氧化物層的該厚度,並且該等結晶或非晶金屬氧化物層是多個中斷層,該等中斷層抑制該等結晶稀土氧化物層的晶粒生長。
  2. 如請求項1所述的製品,其中該結晶或非晶金屬氧化物層選自由以下項組成的組:一種或多種含稀土金屬的氧化物、氧化鋯、氧化鋁及其混合物,並且其中該結晶稀土氧化物層包括呈立方相的結晶氧化釔。
  3. 如請求項2所述的製品,其中該一種或多種 含稀土金屬的氧化物選自由以下項組成的組:氧化鑭、氧化鐠、氧化釹、氧化釤、氧化銪、氧化釓、氧化鋱、氧化鏑、氧化鈥、氧化鉺、氧化銩、氧化鐿及其混合物。
  4. 如請求項1所述的製品,其中與該結晶稀土氧化物的該相不同的該原子晶相選自由以下項組成的組:六方相、單斜相、立方相、六方相、四方相及其組合。
  5. 如請求項1所述的製品,其中該等結晶稀土氧化物層的所有晶粒具有一晶粒尺寸,該晶粒尺寸係低於100nm的高度和低於200nm的寬度。
  6. 如請求項1所述的製品,其中該抗電漿保護塗層具有範圍為約500nm至約10μm的一厚度,並且其中該抗電漿保護塗層是均勻的、保形的和無孔隙的。
  7. 如請求項1所述的製品,其中該製品是選自由以下項組成的組的一腔室部件:一靜電吸盤、一噴嘴、一氣體分配板、一噴頭、一靜電吸盤部件、一腔室壁、一襯墊、一襯墊套件、一氣體管線、一蓋、一腔室蓋、一噴嘴、一單環、一處理套件環、一基座、一遮罩件、一電漿屏、一流量等化器、一冷卻基座、一腔室視口、一波紋管、一面板、選擇性調節裝置、電漿發生單元和擴散器。
  8. 如請求項1所述的製品,其中該金屬氧化物層是結晶的,並且該金屬氧化物層選自由以下項組成的組:一組成物,該組成物的範圍為從呈一四方相或一單斜相的至少一種的一純結晶單相氧化鋯到基於該組成物中的總原子數的鋯原子百分比為約5%的一結晶多相或一結晶單相氧化鋯釔;呈一四方相的約65重量%的氧化鋯和呈一單斜相的約35重量%的氧化鋯的一混合物;呈一四方相的約100重量%的氧化鋯釔多元素氧化物;呈一第一立方相的約70重量%的氧化鋯釔多元素氧化物和呈一第二立方相的約30重量%的氧化釔的一混合物,其中該第一立方相和該第二立方相具有與該等結晶氧化釔層的晶格結構不同的一晶格結構;和呈該第一立方相的約30重量%的氧化鋯釔多元素氧化物和呈該第二立方相的約70重量%的氧化釔的一混合物。
  9. 如請求項7所述的製品,其中該腔室部件為一靜電吸盤或一靜電吸盤部件。
  10. 一種包括使用一原子層沉積(ALD)製程或一化學氣相沉積(CVD)製程將一抗電漿保護塗層沉積到一製品的一表面上的方法,該抗電漿保護塗層包含複數個結晶稀土氧化物層和複數個結晶或非晶金屬氧化物層,該方法包括以下步驟:使用ALD或CVD來交替沉積該複數個結晶稀土氧化物層的一結晶稀土氧化物層以及使用ALD或CVD沉積該複數個結晶或非晶金屬氧化物層的一結晶或非晶金屬氧化物層,其中當該複數個金屬氧化物層是結晶的時候,該複數個金屬氧化物層具有與該複數個稀土氧化物的一原子晶相不同的一原子晶相,以及其中該結晶稀土氧化物層的厚度與該結晶或非晶金屬氧化物層的厚度的一厚度比率為約10:1至約500:1,使得該結晶或非晶金屬氧化物層的該厚度小於該結晶稀土氧化物層的該厚度。
  11. 如請求項10所述的方法,其中該結晶稀土氧化物層包括呈一立方相的氧化釔,其中使用ALD沉積該結晶氧化釔層包括執行一沉積循環,該沉積循環包括:將一含釔前驅物佈植容納該製品的一沉積腔室中,以使該含釔前驅物吸附到該製品的該表面上,以形成一第 一半反應;和將一含氧反應物佈植該沉積腔室中,以形成一第二半反應;和重複該沉積循環一次或多次,直到達到該結晶氧化釔層的一目標厚度。
  12. 如請求項10所述的方法,其中與該結晶氧化釔的該晶相不同的該原子晶相選自由以下項組成的組:六方相、單斜相、立方相、六方相、四方相及其組合。
  13. 如請求項10所述的方法,其中該金屬氧化物層是結晶的,並且該金屬氧化物層選自由以下項組成的組:一組成物,該組成物的範圍為從呈一四方相或一單斜相的至少一種的一純結晶單相氧化鋯到基於該組成物中的總原子數的鋯原子百分比為約5%的一結晶多相或一結晶單相氧化鋯釔;呈一四方相的約65重量%的氧化鋯和呈一單斜相的約35重量%的氧化鋯的一混合物;呈一四方相的約100重量%的氧化鋯釔多元素氧化物;呈一第一立方相的約70重量%的氧化鋯釔多元素氧化物和呈一第二立方相的約30重量%的氧化釔的一混合物,其中該第一立方相和該第二立方相具有與該等結 晶氧化釔層的晶格結構不同的一晶格結構;和呈該第一立方相的約30重量%的氧化鋯釔多元素氧化物和呈該第二立方相的約70重量%的氧化釔的一混合物。
  14. 如請求項10所述的方法,其中該結晶或非晶金屬氧化物層選自由以下項組成的組:一種或多種含稀土金屬的氧化物、氧化鋯、氧化鋁及其混合物。
  15. 如請求項14所述的方法,其中該一種或多種含稀土金屬的氧化物選自由以下項組成的組:氧化鑭、氧化鐠、氧化釹、氧化釤、氧化銪、氧化釓、氧化鋱、氧化鏑、氧化鈥、氧化鉺、氧化銩、氧化鐿及其混合物。
  16. 如請求項14所述的方法,其中該結晶或非晶金屬氧化物層包括一多元素氧化物或一第一金屬氧化物與一第二金屬氧化物的一混合物,並且其中沉積該結晶或非晶金屬氧化物層包括執行一超級沉積循環,該超級沉積循環包括:一第一沉積循環,該第一沉積循環包括:將一第一含金屬前驅物佈植容納塗覆有該結晶稀土氧化物層的該製品的一沉積腔室中,以使該第一含金屬前驅物吸附到該結晶稀土氧化物層上,以形成一第一半反應; 將一含氧反應物佈植該沉積腔室中,以形成一第二半反應;重複該第一沉積循環一次或多次,直到達到一第一目標厚度並形成一第一層;和一第二沉積循環,該第二沉積循環包括:將一第二含金屬前驅物佈植容納塗覆有該第一層的一製品的一沉積腔室中,以使該第二含金屬前驅物吸附到該第一層上,以形成一第三半反應;將一含氧反應物佈植該沉積腔室中,以形成一第四半反應;重複該第二沉積循環一次或多次,直到達到一第二目標厚度並形成一第二層;和重複該超級沉積循環一次或多次,直到達到一最終目標厚度。
  17. 如請求項16所述的方法,其中該結晶或非晶金屬氧化物層還包括一第三金屬氧化物,並且其中該超級沉積循環還包括一第三沉積循環,該第三沉積循環包括:將一第三含金屬前驅物佈植容納塗覆有該第二層的一製品的一沉積腔室中,以使該第三含金屬前驅物吸附到該第二層上,以形成一第五半反應;將一含氧反應物佈植該沉積腔室中,以形成一第六半反應;和 重複該第三沉積循環一次或多次,直到達到一第三目標厚度並形成一第三層。
  18. 如請求項14所述的方法,其中該結晶或非晶金屬氧化物層包括一多元素氧化物或一第一金屬氧化物與一第二金屬氧化物的一混合物,並且其中沉積該結晶或非晶金屬氧化物層包括執行一沉積循環,該沉積循環包括:共佈植一第一含金屬前驅物與一第二含金屬前驅物的一混合物,或將一第一含金屬前驅物和一第二含金屬前驅物順序地佈植容納塗覆有該結晶稀土氧化物層的該製品的一沉積腔室中,以使該第一含金屬前驅物和該第二含金屬前驅物吸附到該結晶稀土氧化物層上,以形成一第一半反應;將一含氧反應物佈植該沉積腔室中,以形成一第二半反應;和重複該沉積循環一次或多次,直到達到一目標厚度。
  19. 如請求項10至18中任一項所述的方法,其中該製品是一靜電吸盤或一靜電吸盤部件。
  20. 如請求項10至18中任一項所述的方法,其中該複數個結晶或非晶金屬氧化物層是多個中斷層,該等中斷層抑制該複數個結晶稀土氧化物層的晶粒生長,使 得該複數個結晶稀土氧化物層中的所有晶粒具有一晶粒尺寸,該晶粒尺寸係低於100nm的高度和低於200nm的寬度。
TW109131444A 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈 TWI753572B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/947,402 US10443126B1 (en) 2018-04-06 2018-04-06 Zone-controlled rare-earth oxide ALD and CVD coatings
US15/947,402 2018-04-06

Publications (2)

Publication Number Publication Date
TW202117057A TW202117057A (zh) 2021-05-01
TWI753572B true TWI753572B (zh) 2022-01-21

Family

ID=68096452

Family Applications (3)

Application Number Title Priority Date Filing Date
TW108111821A TWI707977B (zh) 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈
TW110147642A TWI799018B (zh) 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈
TW109131444A TWI753572B (zh) 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW108111821A TWI707977B (zh) 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈
TW110147642A TWI799018B (zh) 2018-04-06 2019-04-03 區域控制稀土氧化物ald及cvd塗佈

Country Status (5)

Country Link
US (3) US10443126B1 (zh)
JP (2) JP7304192B2 (zh)
KR (3) KR102269066B1 (zh)
CN (4) CN114672787B (zh)
TW (3) TWI707977B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
WO2020116384A1 (ja) * 2018-12-05 2020-06-11 京セラ株式会社 プラズマ処理装置用部材およびこれを備えるプラズマ処理装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN112981360B (zh) * 2019-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 一种微波退火装置和微波退火方法
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
WO2022197796A1 (en) * 2021-03-19 2022-09-22 Entegris, Inc. Substrate with fluorinated yttrium coatings, and methods of preparing and using the substrates
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
US11742416B2 (en) * 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
US20240043992A1 (en) * 2022-08-08 2024-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer ald coating for critical components in process chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW567584B (en) * 2000-04-14 2003-12-21 Asm Microchemistry Oy Process for producing oxide thin films
CN1690254A (zh) * 2004-04-13 2005-11-02 应用材料有限公司 具有含电镀钇涂层的制程腔室构件

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US7384438B1 (en) * 2000-07-19 2008-06-10 3M Innovative Properties Company Fused Al2O3-Y2O3-ZrO2 eutectic abrasive particles, abrasive articles, and methods of making and using the same
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US20060037536A1 (en) 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US7291403B2 (en) * 2004-02-03 2007-11-06 General Electric Company Thermal barrier coating system
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
WO2009131902A2 (en) * 2008-04-23 2009-10-29 Intermolecular, Inc. Yttrium and titanium high-k dielectric films
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8470460B2 (en) * 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US8449994B2 (en) * 2009-06-30 2013-05-28 Honeywell International Inc. Turbine engine components
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) * 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20120103519A1 (en) * 2010-10-25 2012-05-03 Greene, Tweed Of Delaware, Inc. Plasma Etch Resistant, Highly Oriented Yttria Films, Coated Substrates and Related Methods
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
JP5578383B2 (ja) * 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104711541A (zh) * 2013-12-11 2015-06-17 北京有色金属研究总院 一种氧化锆和氧化铝梯度复合涂层及其制备方法
US10497598B2 (en) 2014-02-07 2019-12-03 Entegris, Inc. Electrostatic chuck and method of making same
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
KR102182699B1 (ko) * 2014-11-11 2020-11-25 (주) 코미코 플라즈마 처리 장치용 내부재 및 이의 제조 방법
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN105990081B (zh) * 2015-02-09 2018-09-21 中微半导体设备(上海)有限公司 等离子体处理装置及其制作方法
EP3739079A1 (en) 2015-02-13 2020-11-18 Entegris, Inc. Porous metallic filter
US10961617B2 (en) 2015-03-18 2021-03-30 Entegris, Inc. Articles coated with fluoro-annealed films
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
WO2017149205A1 (en) * 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW567584B (en) * 2000-04-14 2003-12-21 Asm Microchemistry Oy Process for producing oxide thin films
CN1690254A (zh) * 2004-04-13 2005-11-02 应用材料有限公司 具有含电镀钇涂层的制程腔室构件

Also Published As

Publication number Publication date
CN114672787B (zh) 2024-02-23
CN110344024A (zh) 2019-10-18
KR20190117395A (ko) 2019-10-16
TW202212613A (zh) 2022-04-01
US20190309413A1 (en) 2019-10-10
TWI799018B (zh) 2023-04-11
CN114672787A (zh) 2022-06-28
KR102269066B1 (ko) 2021-06-23
US20210301395A1 (en) 2021-09-30
KR20210077663A (ko) 2021-06-25
US20190382888A1 (en) 2019-12-19
TW202117057A (zh) 2021-05-01
JP2023120395A (ja) 2023-08-29
US10443126B1 (en) 2019-10-15
CN210826347U (zh) 2020-06-23
TW201945576A (zh) 2019-12-01
CN110344024B (zh) 2022-04-08
CN114921770A (zh) 2022-08-19
TWI707977B (zh) 2020-10-21
KR102526653B1 (ko) 2023-04-26
JP2019183278A (ja) 2019-10-24
KR20230062496A (ko) 2023-05-09
JP7304192B2 (ja) 2023-07-06

Similar Documents

Publication Publication Date Title
TWI753572B (zh) 區域控制稀土氧化物ald及cvd塗佈
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US11639547B2 (en) Halogen resistant coatings and methods of making and using thereof
US10745805B2 (en) Plasma resistant coating of porous body by atomic layer deposition
US20230286867A1 (en) Erosion resistant metal oxide coatings deposited by atomic layer deposition
TWM595646U (zh) 氟化物塗覆的製品