JP2017514991A5 - - Google Patents

Download PDF

Info

Publication number
JP2017514991A5
JP2017514991A5 JP2016555995A JP2016555995A JP2017514991A5 JP 2017514991 A5 JP2017514991 A5 JP 2017514991A5 JP 2016555995 A JP2016555995 A JP 2016555995A JP 2016555995 A JP2016555995 A JP 2016555995A JP 2017514991 A5 JP2017514991 A5 JP 2017514991A5
Authority
JP
Japan
Prior art keywords
protective layer
iad
cracking
resistant
ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016555995A
Other languages
English (en)
Other versions
JP6709164B2 (ja
JP2017514991A (ja
Filing date
Publication date
Priority claimed from US14/693,745 external-priority patent/US9976211B2/en
Application filed filed Critical
Publication of JP2017514991A publication Critical patent/JP2017514991A/ja
Publication of JP2017514991A5 publication Critical patent/JP2017514991A5/ja
Application granted granted Critical
Publication of JP6709164B2 publication Critical patent/JP6709164B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

実行可能な2種類のIADは、EB−IADとIBS−IADを含む。EB−IADは、蒸着によって実行することができる。IBS−IADは、固体ターゲット材料をスパッタリングすることによって実行することができる。第2保護層は、YAl512、YAl、ErAl512、又はYFとすることができる。第2保護層は、アモルファスとすることができ、450℃の温度でクラッキングに対する耐性を有することが可能である。一実施形態では、保護層は、最高550℃の繰り返しの熱サイクル後でさえ、クラッキングに見舞われないことが可能である。更なる一実施形態では、第2保護層は、最高650℃の室温の温度でクラッキングに対する耐性を有する。第1保護層及びサセプタの両方とも第2保護層とは異なる熱膨張係数を有する可能性があり、第2保護層は、第1保護層及びサセプタの上に堆積されるが、クラッキングに対する耐性を有することができる。
一実施形態では、他の保護層は、第2保護層のセラミックスとは異なるセラミックスで構成される。一実施形態では、他の保護層は、YAl512、YAl、Er、Gd、ErAl512、GdAl512、YF、又はYAlとY−ZrOの固溶液とのセラミックス化合物のうちの1つである。
JP2016555995A 2014-04-25 2015-04-23 高温アプリケーション用プラズマ耐食性薄膜コーティング Active JP6709164B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461984691P 2014-04-25 2014-04-25
US61/984,691 2014-04-25
US14/693,745 US9976211B2 (en) 2014-04-25 2015-04-22 Plasma erosion resistant thin film coating for high temperature application
US14/693,745 2015-04-22
PCT/US2015/027345 WO2015164638A1 (en) 2014-04-25 2015-04-23 Plasma erosion resistant thin film coating for high temperature application

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020015981A Division JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング

Publications (3)

Publication Number Publication Date
JP2017514991A JP2017514991A (ja) 2017-06-08
JP2017514991A5 true JP2017514991A5 (ja) 2018-05-24
JP6709164B2 JP6709164B2 (ja) 2020-06-10

Family

ID=54333203

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016555995A Active JP6709164B2 (ja) 2014-04-25 2015-04-23 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2020015981A Active JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2022178555A Pending JP2023017933A (ja) 2014-04-25 2022-11-08 高温アプリケーション用プラズマ耐食性薄膜コーティング

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020015981A Active JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2022178555A Pending JP2023017933A (ja) 2014-04-25 2022-11-08 高温アプリケーション用プラズマ耐食性薄膜コーティング

Country Status (5)

Country Link
US (3) US9976211B2 (ja)
JP (3) JP6709164B2 (ja)
KR (2) KR102388784B1 (ja)
CN (3) CN111254436B (ja)
WO (1) WO2015164638A1 (ja)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7050912B2 (ja) * 2017-10-19 2022-04-08 エヴァテック・アーゲー 基板を処理するための方法及び装置
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20220104779A (ko) * 2019-11-22 2022-07-26 램 리써치 코포레이션 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
WO2021241645A1 (ja) * 2020-05-28 2021-12-02 京セラ株式会社 通気性プラグ、基板支持アセンブリおよびシャワープレート
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7242612B2 (ja) * 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113698208B (zh) * 2021-08-24 2022-06-14 南通三责精密陶瓷有限公司 一种等离子刻蚀用碳化硅载盘的制造方法及碳化硅载盘
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
US11735212B1 (en) * 2022-04-25 2023-08-22 Sae Magnetics (H.K.) Ltd. Thermally assisted magnetic head including a record/read separate protective structure, head gimbal assembly and hard disk drive each having the thermally assisted magnetic head
US20240093355A1 (en) * 2022-09-21 2024-03-21 Applied Materials, Inc. Glassy Carbon Shutter Disk For Physical Vapor Deposition (PVD) Chamber

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
DE69920152T2 (de) * 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
JP2001262346A (ja) * 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP4503270B2 (ja) 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP2008016795A (ja) 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7772752B2 (en) * 2007-03-29 2010-08-10 Ngk Spark Plug Co., Ltd. Plasma-jet spark plug
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
CN102308381B (zh) 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5227466B2 (ja) * 2011-02-25 2013-07-03 日本特殊陶業株式会社 プラズマジェット点火プラグ
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
CN104066873A (zh) * 2011-09-09 2014-09-24 英诺文特科技公司 带涂层坩埚和制造带涂层坩埚的方法
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
PL2794956T3 (pl) 2011-12-19 2019-06-28 Praxair S.T. Technology, Inc. Zawiesina wodna do produkcji powłokowych barier termicznych i środowiskowych
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Similar Documents

Publication Publication Date Title
JP2017514991A5 (ja)
JP2016530192A5 (ja)
GB2576072B (en) Thermal Management Phase-Change Composition, Methods of Manufacture Thereof and Articles Containing the Composition
EA033122B1 (ru) Способ получения подложки, покрытой набором, содержащим слой прозрачного проводящего оксида
JP2013101984A5 (ja)
JP2018525574A5 (ja)
JP2015079946A5 (ja)
JP2011012948A5 (ja)
WO2014170005A3 (de) Oxidationsschutzschicht auf chrombasis
EA201691312A1 (ru) Способ получения хромового покрытия и объект с нанесенным покрытием
JP2015214079A5 (ja)
JP2018003122A5 (ja)
EP2645441A3 (en) Method of manufacturing PZT-based ferroelectric thin film
BR112014000395A2 (pt) películas de barreira de óxido de metal misto e método de deposição de camada atômica para preparar películas de barreira de óxido de metal misto
CO2018009066A2 (es) Metodo para producir un recubrimiento estable al calor mediante impresión digital
TR201907265T4 (tr) Fotokatalitik bir malzemenin elde edilmesi için yöntem.
JP2019527477A5 (ja)
JP2014237891A5 (ja)
SG11202008523QA (en) Resistance-area (ra) control in layers deposited in physical vapor deposition chamber
RU2018104712A (ru) Деталь, содержащая покрытие для защиты против соединений cmas
RU2019103994A (ru) Защитное покрытие для термически нагружаемой конструкции
RU2017111575A (ru) Двухслойное покрытие из оксида циркония с содержанием сверхчистого материала
JP2015000546A5 (ja) 液体吐出ヘッド用基板、液体吐出ヘッド、及び液体吐出ヘッド用基板の製造方法
CR20160407A (es) Recubrimiento con propiedades de control solar para un sustrato de vidrio
JP2015138767A5 (ja)