KR20230111267A - 처리 챔버의 내부식성 접지 차폐부 - Google Patents

처리 챔버의 내부식성 접지 차폐부 Download PDF

Info

Publication number
KR20230111267A
KR20230111267A KR1020237024188A KR20237024188A KR20230111267A KR 20230111267 A KR20230111267 A KR 20230111267A KR 1020237024188 A KR1020237024188 A KR 1020237024188A KR 20237024188 A KR20237024188 A KR 20237024188A KR 20230111267 A KR20230111267 A KR 20230111267A
Authority
KR
South Korea
Prior art keywords
protective layer
ground shield
electrically conductive
zro
plate
Prior art date
Application number
KR1020237024188A
Other languages
English (en)
Inventor
드미트리 루보미르스키
시아오 밍 허
제니퍼 와이. 순
시아오웨이 우
라크쉐스워 칼리타
수남 박
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230111267A publication Critical patent/KR20230111267A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)

Abstract

기판 지지 조립체는 접지 차폐부 및 접지 차폐부에 의해 둘러싸인 가열기를 포함한다. 접지 차폐부는 플레이트를 포함한다. 일 실시예에서, 접지 차폐부는 세라믹 몸체로 구성되고, 전기 전도성 층, 플레이트의 상부 표면 상의 제1 보호 층을 포함한다. 다른 실시예에서, 접지 차폐부는 전기 전도성 몸체 및 플레이트의 상부 표면 상의 제1 보호 층으로 구성된다.

Description

처리 챔버의 내부식성 접지 차폐부{CORROSION RESISTANT GROUND SHIELD OF PROCESSING CHAMBER}
본 개시내용의 실시예들은 일반적으로, 바이어싱된 플라즈마(biased plasma)의 형성시 균일성을 가능하게 하는, 가열기를 위한 접지 차폐부에 관한 것으로, 특히, (예를 들어, 플라즈마 환경에 의해 야기되는) 부식 및/또는 침식에 저항성이 있는 접지 차폐부에 관한 것이다.
반도체 산업에서, 가열기들은 처리 동안, 예컨대, 플라즈마를 사용하는 퇴적 프로세스들 및/또는 식각 프로세스들 동안 기판들을 지지하고 그러한 기판들을 가열하기 위해 사용된다. 처리 유닛의 가열기와 다른 구성요소들 사이의 진동을 용이하게 하기 위해 무선 주파수(RF) 필드가 기판 처리 장치에 도입될 수 있고, 이는 플라즈마의 사용을 보조한다. 이러한 처리 동안 플라즈마의 더 큰 균일성을 허용하기 위해 가열기를 접지하는 것을 돕는 데 접지 차폐부들이 사용될 수 있다. 현재의 접지 차폐부들은 알루미늄 또는 스테인리스 강 물질들로 만들어지고, 알루미늄 또는 스테인리스 강의 열 팽창 계수(CTE)와 매우 상이한 CTE를 갖는 보호 코팅으로 코팅된다. 알루미늄 또는 스테인리스 강 물질들과 접지 차폐부 상의 전통적인 보호 코팅들 사이의 상당히 상이한 CTE 값들 때문에, 보호 코팅은 종종, 균열되고, 알루미늄 또는 스테인리스 강 물질을 부식성 환경 및/또는 플라즈마에 노출시킨다. 이는 바이어싱된 고온 응용들에서 기존의 접지 차폐부들을 사용할 수 없게 한다.
일 실시예에서, 처리 챔버의 접지 차폐부는 플레이트 및 플레이트의 상부 표면으로부터 연장되는 융기된 에지를 포함하는 세라믹 몸체를 포함한다. 가열기는 플레이트의 상부 표면 상의 융기된 에지 내에 끼워맞춤된다. 접지 차폐부는 플레이트의 적어도 상부 표면 상의 전기 전도성 층, 및 적어도 전기 전도성 층 상의 제1 보호 층을 더 포함한다.
일 실시예에서, 처리 챔버의 기판 지지 조립체는 가열기, 및 디스크형 세라믹 몸체 및 디스크형 세라믹 몸체의 하부 표면으로부터 연장되는 샤프트를 포함하는 접지 차폐부를 포함한다. 디스크형 세라믹 몸체의 상부 표면은 디스크형 세라믹 몸체의 상부 표면으로부터 연장되는 융기된 에지를 포함한다. 가열기는 디스크형 세라믹 몸체의 상부 표면 상에, 융기된 에지 내에 배치된다. 접지 차폐부는 디스크형 세라믹 몸체의 적어도 상부 표면 상의 전기 전도성 층, 및 적어도 전기 전도성 층 상의 제1 보호 층을 더 포함한다.
일 실시예에서, 처리 챔버의 접지 차폐부는 플레이트 및 플레이트의 상부 표면으로부터 연장되는 융기된 에지를 포함하는 전기 전도성 몸체를 포함한다. 가열기는 플레이트의 상부 표면 상의 융기된 에지 내에 끼워맞춤된다. 융기된 에지는 에지 내벽, 에지 상부 표면, 및 에지 외벽, 플레이트의 적어도 상부 표면 상의 제1 보호 층, 및 적어도 제1 보호 층 상의 제2 보호 층을 포함한다.
본 개시내용은, 유사한 참조 부호들이 유사한 요소들을 나타내는 첨부 도면들의 도들에서 제한으로서가 아니라 예로서 예시된다. 본 개시내용에서 "한" 또는 "일" 실시예에 대한 상이한 참조들은 반드시 동일한 실시예에 대한 것은 아니며, 그러한 참조들은 적어도 하나를 의미함을 주목해야 한다.
도 1은 처리 챔버의 일 실시예의 단면도를 도시한다.
도 2는 접지 차폐 조립체의 일 실시예의 분해도를 도시한다.
도 3a는 전기 전도성 층 및 제1 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 단면도를 도시한다.
도 3b는 전기 전도성 층 및 제1 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 3c는 전기 전도성 층 및 제1 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 3d는 전기 전도성 층 및 제1 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 4a는 전기 전도성 층, 제1 보호 층, 및 제2 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 단면도를 도시한다.
도 4b는 전기 전도성 층, 제1 보호 층, 및 제2 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 4c는 전기 전도성 층, 제1 보호 층, 및 제2 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 4d는 전기 전도성 층, 제1 보호 층, 및 제2 보호 층을 포함하는 세라믹 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 5a는 제1 보호 층 및 제2 보호 층을 포함하는 전기 전도성 접지 차폐 조립체의 일 실시예의 단면도를 도시한다.
도 5b는 제1 보호 층 및 제2 보호 층을 포함하는 전기 전도성 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 5c는 제1 보호 층 및 제2 보호 층을 포함하는 전기 전도성 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 5d는 제1 보호 층 및 제2 보호 층을 포함하는 전기 전도성 접지 차폐 조립체의 일 실시예의 다른 단면도를 도시한다.
도 5e는 제1 보호 층 및 제2 보호 층을 포함하는 전기 전도성 접지 차폐 조립체의 일 실시예의 다른 측단면도를 도시한다.
도 6은 접지 차폐부의 플레이트를 통해 천공된 복수의 홀들을 포함하는 접지 차폐 조립체의 일 실시예의 단면도를 도시하는데, 여기서 복수의 홀들은 전기 전도성 플러그로 채워진다.
도 7은 본원에 설명된 바와 같은 접지 차폐 조립체를 형성하기 위한 제1 방법을 예시한다.
도 8은 본원에 설명된 바와 같은 접지 차폐 조립체를 형성하는 제2 방법을 예시한다.
본 개시내용의 실시예들은 접지 차폐부뿐만 아니라 접지 차폐부 및 접지 차폐부에 의해 둘러싸인 가열기를 갖는 기판 지지 조립체를 제공한다. 접지 차폐부는 플레이트 및 플레이트의 상부 표면으로부터 연장되는 융기된 에지를 포함하고, 융기된 에지는 에지 내벽, 상부 에지 표면, 및 에지 외벽을 포함한다. 접지 차폐부는 또한, 플레이트의 하부 표면으로부터 연장되는 중공 샤프트를 포함한다. 중공 표면은 내벽 및 외벽을 포함한다.
일 실시예에서, 접지 차폐부는 세라믹 물질로 구성될 수 있고, 전기 전도성 층이 플레이트의 적어도 상부 표면 상에 퇴적될 수 있다. 제1 보호 층은 전기 전도성 층 상에 퇴적될 수 있다. 제2 층은 제1 보호 층 상에 퇴적될 수 있다. 세라믹 접지 차폐부 몸체 상에 전기 전도성 층을 퇴적시킴으로써, 접지 차폐부는 가열기에 접지 기능을 제공할 수 있다. 제1 보호 층 및/또는 제2 보호 층은 접지 차폐부를 높은 산화 및/또는 부식성 환경으로부터 보호할 수 있다. 예를 들어, 제1 보호 층 및/또는 제2 보호 층은 산화뿐만 아니라 플라즈마로부터의 침식 및/또는 부식 및/또는 부식성 화학물질(예를 들어, 플루오린 풍부 환경 및/또는 염소 풍부 환경)에 저항성이 있을 수 있다. 제1 보호 층은, 기판 처리 동안 제1 보호 층의 균열을 방지하기 위해, 제1 보호 층에 대한 CTE 값과 세라믹 물질에 대한 CTE 값이 실질적으로 유사하거나, 또는 적합한 차이 이내(예를 들어, 2.5×10-6/℃ 이내)가 되도록 전략적으로 선택될 수 있다. CTE 불일치를 피하기 위해 세라믹 물질 및 제1 보호 층을 전략적으로 선택함으로써, 본 개시내용의 접지 차폐부는 바이어싱된 고온 응용들에서 사용될 수 있다.
다른 실시예에서, 접지 차폐부는 전기 전도성 물질로 구성될 수 있다. 제1 보호 층은 접지 차폐부의 플레이트의 적어도 상부 표면 상에 퇴적될 수 있다. 제2 보호 층은 제1 보호 층 상에 퇴적될 수 있다. 접지 차폐부는 높은 산화 또는 부식성 처리 환경에 의해 손상되지 않고 가열기에 접지 기능을 제공할 수 있다. 제1 보호 층 및 제2 보호 층은 접지 차폐부를 높은 산화 또는 부식성 환경으로부터 보호할 수 있다. 전기 전도성 물질 및 제1 보호 층은, 기판 처리 동안 제1 보호 층의 균열을 회피하기 위해, 전기 전도성 물질에 대한 CTE 값과 제1 보호 층에 대한 CTE 값이 실질적으로 유사하거나, 또는 적합한 차이 이내(2.5×10-6/℃)가 되도록 전략적으로 선택될 수 있다. CTE 불일치를 피하기 위해 전기 전도성 물질 및 제1 보호 층을 전략적으로 선택함으로써, 본 개시내용의 접지 차폐부는 바이어싱된 고온 응용들에서 사용될 수 있다.
도 1은 하나 이상의 챔버 구성요소를 갖는 처리 챔버(100)(예를 들어, 반도체 처리 챔버)의 단면도를 예시한다. 처리 챔버(100)는, 예를 들어, 반도체 제조 프로세스들, 디스플레이 제조 프로세스들, 마이크로-전기 기계 시스템(MEMS) 제조 프로세스들, 광기전력 제조 프로세스들 등을 위해 사용될 수 있다. 예를 들어, 처리 챔버(100)는 플라즈마 식각기 또는 플라즈마 식각 반응기, 플라즈마 세정기, 화학 기상 증착(CVD) 반응기, 물리 기상 증착(PVD) 반응기, 원자 층 증착(ALD) 반응기 등을 위한 챔버일 수 있다.
일 실시예에서, 처리 챔버(100)는 내부 용적(106)을 에워싸는 챔버 몸체(102)를 포함할 수 있다. 챔버 몸체(102)는 알루미늄, 스테인리스 강 또는 다른 적합한 물질로 제조될 수 있다. 챔버 몸체(102)는 일반적으로, 덮개(104), 측벽들(108), 및 바닥(110)을 포함한다. 외측 라이너(116)는 챔버 몸체(102)를 보호하기 위해 측벽들(108)에 인접하여 배치될 수 있다. 일 실시예에서, 외측 라이너(116)는 산화알루미늄으로 제조될 수 있다.
배기 포트(126)가 챔버 몸체(102)에 형성될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 결합시킬 수 있다. 펌프 시스템(128)은 처리 챔버(100)의 내부 용적(106)을 배기하고 그의 압력을 조절하기 위해 활용되는 하나 이상의 펌프 및 스로틀 밸브를 포함할 수 있다.
가스 패널(158)은 하나 이상의 중간 구성요소를 통해 내부 용적(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해 처리 챔버(100)에 결합될 수 있다.
처리 챔버(100)에서 기판들을 처리하기 위해 사용될 수 있는 처리 가스들의 예들은 할로겐 함유 가스들, 예컨대, 특히, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF, Cl2, CCl4, BCl4, 및 SiF4, 및 다른 가스들, 예컨대, O2, NH3, H2, 또는 N2O를 포함한다. 캐리어 가스들의 예들은 N2, He, Ar, 및 프로세스 가스들에 대해 불활성인 다른 가스들(예를 들어, 비반응성 가스들)을 포함한다.
처리 챔버(100)는, 직렬로 배열될 수 있는, 다수의 샤워헤드들, 면판들 및/또는 가스 분배 플레이트들을 포함할 수 있다. 샤워헤드(160)는 챔버 몸체(102)에 형성될 수 있고, 덮개(104)에 결합되고/거나 그에 근접할 수 있다. 대안적으로, 덮개(104)는 샤워헤드(160)로 대체될 수 있다. 샤워헤드(160)는 예시된 바와 같이 처리 챔버(100) 내에 위치될 수 있고, 덮개(104)와 기판 지지 조립체(148) 사이에 포함되거나 위치될 수 있다. 실시예들에서, 샤워헤드(160)는 코팅되거나, 시즈닝되거나 다른 방식으로 처리된 물질인 금속성 또는 전도성 구성요소이거나 이를 포함할 수 있다. 예시적인 물질들은 알루미늄을 포함하는 금속들뿐만 아니라, 산화알루미늄을 포함하는 금속 산화물들을 포함할 수 있다. 활용되는 전구체들, 또는 처리 챔버(100) 내에서 수행되는 프로세스에 따라, 샤워헤드(160)는 구조적 안정성뿐만 아니라 전기 전도성을 제공할 수 있는 임의의 다른 금속일 수 있다.
샤워헤드(160)는 샤워헤드(160)를 통한 전구체들 및/또는 플라즈마의 균일한 분배를 용이하게 하기 위해 하나 이상의 애퍼쳐를 형성할 수 있다. 애퍼쳐들은 다양한 구성들 및 패턴들로 포함될 수 있고, 원할 수 있는 바와 같은 전구체 및/또는 플라즈마 분배를 제공할 수 있는 임의의 개수의 기하형상들을 특징으로 할 수 있다. 실시예들에서, 샤워헤드(160)는 전원과 전기적으로 결합될 수 있다. 예를 들어, 샤워헤드(160)는 RF 공급원(170)과 결합될 수 있다. 작동될 때, RF 공급원(170)은 샤워헤드(160)에 전류를 제공할 수 있고, 유도성 결합 플라즈마(ICP) 또는 전도성 결합 플라즈마(CCP)가 샤워헤드(160)와 다른 구성요소 사이에 형성되는 것을 허용한다.
챔버 몸체(102)는 또한, 면판(162)을 포함할 수 있다. 면판(162)은 샤워헤드(160)와 유사할 수 있다. 면판(162)은 처리 챔버(100) 내에, 샤워헤드(160)와 기판 지지 조립체(148) 사이에 위치될 수 있다. 면판(162)은 면판(162)을 통해 형성된 복수의 채널들 또는 애퍼쳐들을 포함할 수 있다. 면판(162)은 절연성 물질일 수 있거나 이를 포함할 수 있다. 일 실시예에서, 면판(162)은 석영 또는, 금속 산화물 성분들에 비해, 산소 함유 플라즈마 유출물들과의 감소된 상호작용, 예컨대, 산소, 또는 산소 라디칼, 재조합에 대한 감소된 영향을 가질 수 있는 임의의 물질일 수 있다.
제2 샤워헤드(164)는 챔버 몸체(102)에 형성될 수 있고, 샤워헤드(160)와의 추가적인 전극으로서 작동할 수 있다. 샤워헤드(164)는 이전에 논의된 샤워헤드(160)의 특징들 또는 특성들 중 임의의 것을 포함할 수 있다. 다른 실시예들에서, 샤워헤드(164)의 특정한 특징들은 샤워헤드(160)로부터 달라질 수 있다. 예를 들어, 샤워헤드(164)는 전기 접지(172)와 결합될 수 있고, 이는 샤워헤드(160)와 샤워헤드(164) 사이에 ICP 또는 CCP가 생성되는 것을 허용할 수 있다. 일 실시예에서, 샤워헤드(160)와 면판(162) 사이에 ICP 또는 CCP가 생성될 수 있다. 샤워헤드(164)는 처리 동안 전구체들 또는 플라즈마 유출물들이 기판(144)에 전달되는 것을 허용하기 위해 구조 내에 애퍼쳐들을 형성할 수 있다.
가스 분배 조립체(166)는 챔버 몸체(102)에 선택적으로 형성될 수 있다. 일부 실시예들에서, 샤워헤드(164)와 기판 지지 조립체(148) 사이에 구성요소들이 없을 수 있고, 샤워헤드(164)는 처리 동안 전구체들 및/또는 플라즈마 유출물들을 기판(144)에 분배하는 것을 허용할 수 있다. 가스 분배 조립체(166)는 챔버 몸체(102) 내에, 기판 지지 조립체(148) 및 덮개(104) 위에, 그리고 또한, 기판 지지 조립체(148)와 샤워헤드(164) 사이에 위치될 수 있다. 가스 분배 조립체(166)는 제1 및 제2 전구체 양쪽 모두를 기판 지지 조립체(148)에 전달하도록 구성될 수 있다.
일 실시예에서, 가스 분배 조립체(166)는 샤워헤드(164)에 의해 전달되는 플라즈마 및/또는 전구체가 가스 분배 조립체(166)를 통과하고 기판 지지 조립체(148)에 접근하는 것을 허용하기 위해, 2개 이상의 가스 공급 채널들을 갖도록 구성될 수 있다. 다른 실시예에서, 가스 분배 조립체(166)는 다른 공급원(예를 들어, 원격 플라즈마 공급원(도시되지 않음))으로부터의 전구체 및/또는 플라즈마가 가스 분배 조립체(166)를 통과하고 기판 지지 조립체(148)에 접근하는 것을 허용할 수 있다.
제2 면판(168)이 챔버 몸체(102)에 형성될 수 있다. 일부 실시예들에서, 면판(168)은 면판(162) 또는 샤워헤드들(160, 164)과 유사한 기능성을 제공할 수 있고, 유사한 특성들을 포함할 수 있다. 기판 지지 조립체(148)는 RF 공급원(176)과 결합될 수 있다. 특히, 기판 지지 조립체(148)의 접지 차폐부가 RF 공급원(176)과 결합될 수 있다. 면판(168)은 전기 접지(174)와 결합될 수 있다. 작동될 때, RF 공급원(176)은 바이어싱된 플라즈마가 면판(168)과 기판(144) 사이에 형성되는 것을 허용하는 전류를 기판 지지 조립체(148)에 제공할 수 있다. 실시예들에서, 면판(168)은 전기 접지(174)와 결합될 수 있다.
기판 지지 조립체(148)는 처리 챔버(100)의 내부 용적(106)에, 샤워헤드 및/또는 가스 확산기(160) 아래에 배치될 수 있다. 기판 지지 조립체(148)는 처리 동안 기판(144)을 유지할 수 있다. 일 실시예에서, 기판 지지 조립체(148)는 접지 차폐부(149) 및 가열기(150)를 포함할 수 있다. 가열기(150)는 플레이트 또는 디스크, 및 디스크 또는 플레이트의 하부 표면으로부터 연장되는 샤프트(예를 들어, 원통형 샤프트)를 포함하는 가열기 몸체를 포함할 수 있다. 접지 차폐부(149)는 플레이트 또는 디스크, 및 플레이트 또는 디스크의 하부 표면으로부터 연장되는 샤프트를 포함하는 접지 차폐부 몸체를 포함할 수 있다. 접지 차폐부(149)의 샤프트는 중공 샤프트일 수 있고, 가열기(150)의 샤프트는 접지 차폐부(149)의 중공 샤프트의 내부에 배치될 수 있다. 접지 차폐부 몸체는 플레이트 또는 디스크의 상부 표면으로부터 연장되는 융기된 에지(예를 들어, 플레이트의 주변부에 있는 링)를 추가적으로 포함할 수 있다. 가열기(150)의 플레이트는 접지 차폐부(149)의 융기된 에지의 내부에 놓일 수 있고, 접지 차폐부(149)의 융기된 에지는 가열기(150)의 측벽들을 보호할 수 있다.
일 실시예에서, 가열기(150)는 AlN(질화알루미늄)으로 구성된다. 대안적으로, 가열기(150)는 SiC(탄화규소) 또는 다른 물질들로 구성될 수 있다. 일 실시예에서, 가열기(150)는 가열기 몸체에 배치된 하나 이상의 저항성 가열 요소를 포함할 수 있다. 일 실시예에서, 하나 이상의 저항성 가열 요소는 플레이트에 배치될 수 있다.
가열기(150)는 처리 동안 기판(144)을 450 ℃, 500 ℃ 또는 더 높은 작업 온도들로 가열할 수 있다. 전통적인 접지 차폐부들은 알루미늄 또는 스테인리스 강으로 구성되고, 플라즈마 스프레잉된 Y2O3(이트리아 또는 산화이트륨)의 코팅을 포함한다. 그러나, 전통적인 접지 차폐부들의 알루미늄 또는 스테인리스 강은 Y2O3의 CTE보다 훨씬 더 높은 CTE 값들을 갖는다. 예를 들어, 알루미늄은 약 21-24 10-6/℃의 CTE를 갖고, 스테인리스 강은 약 7.6 내지 17.3 10-6/℃의 CTE를 갖고, Y2O3는 7.2 10-6/℃의 CTE를 갖는다. CTE 값들의 이러한 불일치는 Y2O3 코팅이 450 ℃ 이상의 작업 온도들에서 균열되고 전통적인 접지 차폐부의 몸체로부터 박리되게 한다.
이에 따라, 일부 실시예들에서, 접지 차폐부(149)의 적어도 플레이트는 벌크 세라믹 물질로 구성된다. 접지 차폐부(149)의 샤프트는 동일한 세라믹 물질일 수 있거나 플레이트에 사용된 물질과 상이한 물질일 수 있다. 접지 차폐부의 플레이트(및 선택적으로 접지 차폐부의 샤프트)에 사용될 수 있는 예시적인 세라믹 물질들은 Al2O3(알루미나), AlN, Si(규소), SiC, SiN(질화규소), Y3Al5O12(YAG), Y4Al2O9(YAM), Y5O4F7, Y2O3, Er2O3, Gd2O3, Gd3Al5O12(GAG), YF3, YAlO3(YAP), Nd2O3, Er4Al2O9(EAM), Er3Al5O12(EAG), ErAlO3(EAP), Gd4Al2O9(GAM), GdAlO3(GAP), Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 화합물을 포함할 수 있다.
RF 접지를 제공하기 위해(그리고 따라서 접지 차폐부로서 기능하기 위해), 접지 차폐부(149)는 전기 전도성 구성요소를 포함해야 한다. 이에 따라, 접지 차폐부(149)는 접지 차폐부(150)의 플레이트의 적어도 상부 표면 상에 전기 전도성 층(151)을 포함할 수 있다. 전기 전도성 층(151)은 Mo(몰리브데넘), W(텅스텐), Ta(탄탈럼), Ni(니켈), 하스텔로이®(Hastelloy®)(니켈, 몰리브데넘 및 크로뮴의 합금), 인코넬®(Inconel®)(니켈, 크로뮴 및 철의 합금), Ti(티타늄), Ti 합금(예를 들어, TC4), ITO(인듐 주석 산화물) 등으로 구성될 수 있다. 본원에 사용된 바와 같이, 전기 전도성 층이라는 용어는 약 20 ℃ 내지 약 800 ℃의 온도에서 5 Ω·cm 이하의 전기 저항률을 갖는 층을 의미한다. 전기 전도성 층(151)은 중실 층일 수 있거나 (예를 들어, 하나 이상의 패턴, 예컨대, 웹형 패턴, 그리드 패턴, 불스아이 패턴, 나선 패턴 등을 갖는) 패턴화된 또는 인쇄된 층일 수 있다. 전기 전도성 층(151)은 아래에 더 설명되는 바와 같이, 하나 또는 다수의 지점에서 접지에 연결될 수 있다. 접지 차폐부(149)는 RF 접지를 제공하기 때문에, 기생 커패시턴스 및/또는 인덕턴스를 최소화하고 접지 차폐부(149) 상의 다양한 지점들에서 관심 있는 주파수들의 등가의 낮은 임피던스를 제공하기 위해 접지로의 다수의 경로들을 가질 수 있다.
전기 전도성 층(151)은 제1 보호 층(152)으로 코팅된다. 제1 보호 층(152)은 전기 전도성 층(151)보다 더 두꺼울 수 있고, 접지 차폐부(149)의 몸체의 CTE에 가까운 CTE를 갖는 물질로 구성될 수 있다. 제1 보호 층(152)은 전도성 또는 비전도성 금속들, 합금들, 세라믹들, 및 다른 복합 물질들로 구성될 수 있다. 제1 보호 층(152)은 양호한 내산화성을 가질 수 있고, 450 ℃ 이상의 온도들에서 내플라즈마성일 수 있다. 실시예들에서, 제1 보호 층(152)은 Al2O3, Y2SiO5, Y2Si2O7, Ta, 티타늄 합금(예를 들어, TC4), SiC, Y2O3, Y4Al2O9, Y3Al5O12, YAlO3, Y5O4F7, 석영, Si3N4, AlN, AlON(산질화알루미늄), TiO2(티타니아), ZrO2(지르코니아), TiC(탄화티타늄), ZrC(탄화지르코늄), TiN(질화티타늄), TiCN(탄질화티타늄), Y2O3 안정화된 ZrO2(YSZ)로 구성될 수 있다.
제1 보호 층(152)은 제2 보호 층(153)으로 코팅될 수 있다. 제2 보호 층(153)은 제1 보호 층(152)의 임의의 균열들 및/또는 공극들을 밀봉하는 얇은 층일 수 있다. 제2 보호 층(153)은 Y2SiO5, Y2Si2O7, Ta, 티타늄 합금(예를 들어, TC, TC4), SiC, Y4Al2O9, Y3Al5O12, YAlO3, Y5O4F7, 석영, Si3N4, AlN, AlON, TiO2, ZrO2, TiC, ZrC, TiN, TiCN, Y2O3 안정화된 ZrO2(YSZ) 등으로 구성될 수 있다. 제2 보호 층(153)은 또한, 세라믹 복합물, 예컨대, Al2O3 매트릭스에 분포된 Y3Al5O12, Y2O3-ZrO2 고용체 또는 SiC-Si3N4 고용체로 구성될 수 있다.
제1 보호 층(152) 및/또는 제2 보호 층(153)에 대한 다른 예시적인 조성물들은 Y2O3, Al2O3, Er2O3, YF3, Er3Al5O12, Y-O-F(예를 들어, Y5O4F7), Er3Al5O12, Er4Al2O9, ErAlO3, Y2O3-ZrO2의 고용체, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물을 포함한다.
Y2O3-ZrO2의 고용체와 관련하여, 제1 보호 층(152) 및/또는 제2 보호 층(153)은 10-90 몰비(mol%)의 농도의 Y2O3 및 10-90 mol%의 농도의 ZrO2를 포함할 수 있다. 일부 예들에서, Y2O3-ZrO2의 고용체는 10-20 mol% Y2O3 및 80-90 mol% ZrO2를 포함할 수 있고, 20-30 mol% Y2O3 및 70-80 mol% ZrO2를 포함할 수 있고, 30-40 mol% Y2O3 및 60-70 mol% ZrO2를 포함할 수 있고, 40-50 mol% Y2O3 및 50-60 mol% ZrO2를 포함할 수 있고, 60-70 mol% Y2O3 및 30-40% ZrO2를 포함할 수 있다. 70-80 mol% Y2O3 및 20-30 mol% ZrO2를 포함할 수 있고, 80-90 mol% Y2O3 및 10-20 mol% ZrO2를 포함할 수 있는 등이다.
Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물과 관련하여, 일 실시예에서 세라믹 화합물은 62.93 mol% Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3을 포함한다. 다른 실시예에서, 세라믹 화합물은 50-75 mol% 범위의 Y2O3, 10-30 mol% 범위의 ZrO2 및 10-30 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40-100 mol% 범위의 Y2O3, 0.1-60 mol% 범위의 ZrO2 및 0.1-10 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40-60 mol% 범위의 Y2O3, 30-50 mol% 범위의 ZrO2 및 10-20 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40-50 mol% 범위의 Y2O3, 20-40 mol% 범위의 ZrO2 및 20-40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 60-80 mol% 범위의 Y2O3, 0.1-10 mol% 범위의 ZrO2 및 20-40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40-60 mol% 범위의 Y2O3, 0.1-20 mol% 범위의 ZrO2 및 30-40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 실시예들에서, 다른 분포들이 또한, 세라믹 화합물에 사용될 수 있다.
일 실시예에서, Y2O3, ZrO2, Er2O3, Gd2O3 및 SiO2의 조합을 포함하는 대안적인 세라믹 화합물이 접지 차폐부(149)의 접지 차폐부 몸체에 사용된다. 일 실시예에서, 대안적인 세라믹 화합물은 40-45 mol% 범위의 Y2O3, 0-10 mol% 범위의 ZrO2, 35-40 mol% 범위의 Er2O3, 5-10 mol% 범위의 Gd2O3 및 5-15 mol% 범위의 SiO2를 포함할 수 있다. 다른 실시예에서, 대안적인 세라믹 화합물은 30-60 mol% 범위의 Y2O3, 0-20 mol% 범위의 ZrO2, 20-50 mol% 범위의 Er2O3, 0-10 mol% 범위의 Gd2O3 및 0-30 mol% 범위의 SiO2를 포함할 수 있다. 제1 예에서, 대안적인 세라믹 화합물은 40 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 5 mol% Gd2O3 및 15 mol% SiO2를 포함한다. 제2 예에서, 대안적인 세라믹 화합물은 45 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 10 mol% Gd2O3 및 5 mol% SiO2를 포함한다. 제3 예에서, 대안적인 세라믹 화합물은 40 mol% Y2O3, 5 mol% ZrO2, 40 mol% Er2O3, 7 mol% Gd2O3 및 8 mol% SiO2를 포함한다. 일 실시예에서, 접지 차폐부 몸체는 70-75 mol% Y2O3 및 25-30 mol% ZrO2를 포함하는 물질로 구성될 수 있다. 추가의 실시예에서, 접지 차폐부(200) 몸체는 73.13 mol% Y2O3 및 26.87 mol% ZrO2를 포함하는 YZ-20이라는 명칭의 물질로 구성된다.
상기 언급된 다공성 코팅들 중 임의의 것은 미량의 다른 물질들, 예컨대, ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들을 포함할 수 있다.
도 2는 접지 차폐부(200)의 일 실시예의 분해도를 도시한다. 접지 차폐부(200)는 도 1에 도시된 접지 차폐부(149)와 대응할 수 있다. 접지 차폐부는 플레이트(204) 및 플레이트(204)의 상부 표면으로부터 연장되는 융기된 에지(206)를 포함할 수 있다. 일 실시예에서, 접지 차폐부(200)는 플레이트(204)의 하부 표면으로부터 연장되는 중공 샤프트(214)를 더 포함한다. 중공 샤프트(214)는 내벽(216) 및 외벽(218)을 포함한다.
일 실시예에서, 플레이트(204)는 보호될 가열기의 형상에 대략 대응하는 형상을 가질 수 있다. 예를 들어, 도시된 바와 같이, 가열기의 최상부는 원형일 수 있고, 플레이트(204)는 디스크 형상을 가질 수 있다. 플레이트(204)는 약 0.20 인치 내지 약 2.00 인치의 두께를 가질 수 있다.
일 실시예에서, 플레이트(204)는 플레이트(204)를 통해 천공된 복수의 홀들을 가질 수 있다. 복수의 홀들은 전기 전도성 플러그로 채워질 수 있다. 전기 전도성 플러그는, 플레이트(204)의 상부 표면 상의 전기 전도성 층을 위한, 접지로의 경로를 제공할 수 있다. 전기 전도성 플러그들(예를 들어, 비아들)은 도 6을 참조하여 아래에 더 상세히 논의된다.
융기된 에지(206)는 플레이트(204)의 상부 표면으로부터 연장될 수 있고, 에지 내벽(208), 에지 상부 표면(210) 및 에지 외벽(212)을 포함할 수 있다. 에지 내벽(208)은 약 0.20 인치 내지 약 2.00 인치의 높이를 가질 수 있다. 에지 상부 표면(210)은 약 0.05 인치 내지 약 0.50 인치의 폭을 가질 수 있다. 에지 외벽(212)은 약 0.20 인치 내지 약 4.00 인치의 높이를 가질 수 있다. 일 실시예에서, 융기된 에지(206)는 접지될 가열기의 형상에 대략 대응하는 형상을 가질 수 있다. 예를 들어, 에지 내벽(208)은 융기된 에지(206)에 의해 둘러싸일 가열기의 원형 디스크의 높이에 대응하는 높이를 가질 수 있다.
다른 실시예에서, 접지 차폐부(200)는 플레이트(204)의 하부 표면으로부터 연장되는 중공 샤프트(214)를 더 포함할 수 있다. 중공 샤프트(214)는 내벽(216) 및 외벽(218)을 포함할 수 있다. 일 실시예에서, 중공 샤프트(214)는 접지될 가열기의 형상에 대응하는 형상을 가질 수 있다. 예를 들어, 내벽(216)은 가열기의 원통형 샤프트의 직경에 대응하거나 그보다 약간 더 큰 직경을 가질 수 있다.
일 실시예에서, 접지 차폐부(200)의 플레이트(204) 및 중공 샤프트(214)는 단일 구성요소(예컨대, 단일 소결된 세라믹 몸체)일 수 있다. 대안적으로, 플레이트(204)는 중공 샤프트(214)와 별개의 구성요소일 수 있다. 그러한 실시예에서, 플레이트(204)는, 예컨대, 볼트들 또는 다른 체결구들로 중공 샤프트(214)에 결합될 수 있다. 일 실시예에서, 중공 샤프트(214)는 동일하거나 거의 동일한 섹션들일 수 있는 2개의 섹션들로 구성될 수 있다. 이러한 섹션들은 가열기의 샤프트를 중심으로 합쳐질 수 있다(예를 들어, 함께 볼트결합될 수 있다). 그 다음, 중공 샤프트(214)의 결합된 섹션들은 플레이트(204)에 고정될 수 있다.
일 실시예에서, 접지 차폐부(200)는 벌크 소결된 세라믹 물질을 포함할 수 있다. 접지 차폐부(200)는 Al2O3, AlN, Si, SiC, SiN, ZrO2, Y3Al5O12, Y4Al2O9, Y5O4F7, Y2O3, Er2O3, Gd2O3, Gd3Al5O12, YF3, Nd2O3, Er4Al2O9, Er3Al5O12 (EAG), ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, 또는 NdAlO3 중 하나 이상의 물질의 조성을 가질 수 있다.
일 실시예에서, 플레이트(204) 및 융기된 에지(206)는 중공 샤프트(214)와 동일한 조성을 갖지 않을 수 있다. 예를 들어, 플레이트(204) 및 융기된 에지(206)는 상기 언급된 벌크 소결된 세라믹 물질들 중 임의의 것으로 구성될 수 있는 반면, 중공 샤프트(214)는 더 강한 금속성 물질, 예컨대, 스테인리스 강 또는 알루미늄으로 구성될 수 있다.
일 실시예에서, 접지 차폐부(200)는 벌크 소결된 세라믹 물질로 구성되고, 플레이트(204)의 적어도 상부 표면 상에 퇴적된 전기 전도성 층(220) 및 적어도 전기 전도성 층(220) 상에 퇴적된 제1 보호 층(222)을 더 포함한다.
전기 전도성 층(220)은 Mo, W, Ta, Ti, TC4, 하스텔로이®, 인코넬®, ITO, 또는 고온 환경에서 안정적인 다른 전기 전도성 물질 중 하나 이상의 물질의 조성을 가질 수 있다. 일 실시예에서, 전기 전도성 층(220)은 처리 온도들, 예컨대, 450 ℃ 이상의 온도들에서 양호한 내산화성을 제공하는 물질(예를 들어, 하스텔로이®)의 조성을 갖는다. 전기 전도성 층(220)은 전통적인 상압 플라즈마 스프레이, 저압 플라즈마 스프레이(LPPS), 진공 플라즈마 스프레이(VPS), 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에어로졸 증착, 증발, 원자 층 증착(ALD), 플라즈마 강화 화학 기상 증착(PEVCVD), 이온 보조 퇴적(IAD), 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 전기 전도성 층(220)은 약 0.05 ㎛ 내지 2.00 mm의 두께를 가질 수 있다.
제1 보호 층(222)은 내플라즈마성 세라믹 물질로 구성될 수 있다. 제1 보호 층은 Al2O3, Y2SiO5, Y2Si2O7, Ta, Ta2O5, 티타늄 합금(예를 들어, TC4), SiC, Y2O3, Y4Al2O9, Y3Al5O12, YAlO3, Y5O4F7, 석영, Si3N4, AlN, AlON, TiO2, ZrO2, TiC, ZrC, TiN, TiCN, Y2O3 안정화된 ZrO2 등의 조성을 가질 수 있다. 제1 보호 층(222)은 또한, 세라믹 복합물, 예컨대, Al2O3 매트릭스에 분포된 Y3Al5O12, Y2O3-ZrO2 고용체 또는 SiC-Si3N4 고용체로 구성될 수 있다. 제1 보호 층(222)은 또한, 산화이트륨(또한, 이트리아 및 Y2O3로 알려짐) 함유 고용체를 포함하는 세라믹 복합물일 수 있다. 예를 들어, 제1 보호 층(222)은 화합물(Y4Al2O9) 및 고용체(Y2-xZrxO3)(Y2O3-ZrO2 고용체)로 구성된 세라믹 복합물로 구성될 수 있다. 순수 산화이트륨뿐만 아니라 산화이트륨 함유 고용체들은 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 이상으로 도핑될 수 있다는 점을 주목한다.
일 실시예에서, 제1 보호 층(222)은 화합물(Y4Al2O9) 및 고용체(Y2-xZrxO3)(Y2O3-ZrO2 고용체)를 포함하는 복합 세라믹 코팅으로 구성될 수 있다. 추가의 실시예에서, 제1 보호 층(222)의 조성은 62.93 mol% Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3를 포함할 수 있다. 다른 실시예에서, 복합 세라믹 코팅은 50-75 mol% 범위의 Y2O3, 10-30 mol% 범위의 ZrO2 및 10-30 mol% 범위의 Al2O3를 포함할 수 있다. 다른 실시예들에서, 다른 분포들이 또한, 복합 세라믹 코팅에 사용될 수 있다. 일 실시예에서, 복합 세라믹은 ZrO2, Al2O3 또는 이들의 조합 중 하나 이상과 혼합될 수 있는 산화이트륨 함유 고용체이다.
일 실시예에서, 제1 보호 층(222)은 35 mol% Y2O3, 65 mol% Al2O3로 구성된 이트륨 알루미늄 가넷(YAG)으로 구성될 수 있다. 다른 실시예에서, 제1 보호 층(222)은 30-40 mol% Y2O3 및 60-70 mol% Al2O3로 구성된 YAG로 구성될 수 있다.
제1 보호 층(222)은 또한, 본원에서 상기 논의된 다른 물질들로 구성될 수 있다.
제1 보호 층(222)은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, 에어로졸 증착, 증발, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 일 실시예에서, 제1 보호 층(222)은 약 50.00 nm 내지 약 2.00 mm의 두께를 가질 수 있다. 다른 실시예에서, 제1 보호 층(222)은 약 1.00 ㎛ 내지 약 2.00 mm의 두께를 가질 수 있다. 일 실시예에서, 제1 보호 층(222)은 약 0.10-10.0%(예를 들어, 약 0.10-1%, 1-5%, 1-3%, 3-5%, 5-7% 등)의 공극률 및 균열들을 가질 수 있다.
일 실시예에서, 제1 보호 층(222)은 Al2O3로 구성될 수 있고, 전통적인 상압 플라즈마 스프레이, LPPS 또는 VPS에 의해 증착될 수 있다. 추가의 실시예에서, 제1 보호 층(222)은 대략 0의 공극률(예를 들어, 0.1% 미만의 공극률)을 가질 수 있다.
일 실시예에서, 제1 보호 층(222)은 특정 평활도로 연마된다. 제1 보호 층(222)은 연삭기 또는 화학적 기계적 평탄화(CMP) 기계에 의해 연마될 수 있다. 연삭기는 물품의 표면을 연삭 및/또는 연마하는 연마 디스크를 갖는 기계이다. 연삭기 또는 CMP 기계는, 층의 거칠기를 감소시키고/거나 층의 두께를 감소시키기 위해, 제1 보호 층(222)의 표면을 연삭할 수 있다. 일 실시예에서, 제1 보호 층(222)은 0.10 미크론 이하의 평균 거칠기를 갖도록 연마될 수 있다.
일 실시예에서, 제1 보호 층(222)에 사용되는 물질은, 세라믹 접지 차폐부(200)와 제1 보호 층(222) 사이의 CTE 불일치를 최소화하고 처리 동안 제1 보호 층(222)을 손상시킬 수 있는 열-기계적 응력들을 피하기 위해 제1 보호 층(222)에 대한 CTE가 세라믹 접지 차폐부(200)의 CTE와 일치되도록 적합하게 선택될 수 있다. 일 실시예에서, 제1 보호 층(222)에 대한 CTE는 세라믹 접지 차폐부(200)에 대한(예를 들어, 세라믹 접지 차폐부(200)의 플레이트에 대한) CTE의 약 2.5×10-6/℃ 이내의 값 차이를 갖는다. 일 실시예에서, 세라믹 접지 차폐부(200)는 Al2O3로 구성될 수 있고, 제1 보호 층(222)은 세라믹 접지 차폐부(200)에 대한 CTE의 약 2.5×10-6/℃ 이내의 CTE 차이를 갖는 물질로 구성될 수 있다.
추가의 실시예에서, 접지 차폐부(200)는 벌크 소결된 세라믹 물질로 구성되고, 플레이트(204)의 적어도 상부 표면 상에 퇴적된 전기 전도성 층(220), 적어도 전기 전도성 층(220) 상에 퇴적된 제1 보호 층(222), 및 적어도 제1 보호 층(222) 상에 퇴적된 제2 보호 층(224)을 더 포함한다.
전기 전도성 층(220)은 본원에 개시된 임의의 전기 전도성 물질로 구성될 수 있다. 제1 보호 층(222)은 본원에 개시된 임의의 세라믹 물질로 구성될 수 있다.
제2 보호 층(224)은 Y2O3, Er2O3, Ta2O5, YF3, Al2O3, AlF3, ZrO2 및 이들의 조합들로 구성될 수 있다. 제2 보호 층(224)은 또한, Y2SiO5, Y2Si2O7, Ta, 티타늄 합금(예를 들어, TC4), SiC, Y4Al2O9, Y3Al5O12, YAlO3, Y5O4F7, 석영, Si3N4, AlN, AlON, TiO2, ZrO2, TiC, ZrC, TiN, TiCN, Y2O3 안정화된 ZrO2 등으로 구성될 수 있다. 제2 보호 층(224)은 또한, 세라믹 복합물, 예컨대, Al2O3 매트릭스에 분포된 Y3Al5O12, Y2O3-ZrO2 고용체 또는 SiC-Si3N4 고용체일 수 있다. 제2 보호 층(224)은 또한, 산화이트륨(또한, 이트리아 및 Y2O3로 알려짐) 함유 고용체를 포함하는 세라믹 복합물일 수 있다. 예를 들어, 제2 보호 층(224)은 화합물(Y4Al2O9) 및 고용체(Y2-xZrxO3)(Y2O3-ZrO2 고용체)로 구성된 세라믹 복합물일 수 있다. 순수 산화이트륨뿐만 아니라 산화이트륨 함유 고용체들은 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 이상으로 도핑될 수 있다는 점을 주목한다.
일 실시예에서, 제2 보호 층(224)은 화합물(Y4Al2O9) 및 고용체(Y2-xZrxO3)(Y2O3-ZrO2 고용체)로 구성된 복합 세라믹 코팅이다. 추가의 실시예에서, 제2 보호 층(224)의 조성은 62.93 mol% Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3를 포함할 수 있다. 다른 실시예에서, 제2 보호 층(224)은 50-75 mol% 범위의 Y2O3, 10-30 mol% 범위의 ZrO2 및 10-30 mol% 범위의 Al2O3를 포함할 수 있다. 다른 실시예들에서, 다른 분포들이 또한, 복합 세라믹 코팅에 사용될 수 있다. 일 실시예에서, 복합 세라믹은 ZrO2, Al2O3 또는 이들의 조합 중 하나 이상과 혼합될 수 있는 산화이트륨 함유 고용체이다.
일 실시예에서, 제2 보호 층(224)은 35 mol% Y2O3, 65 mol% Al2O3로 구성된 YAG로 구성될 수 있다. 다른 실시예에서, 제2 보호 층(224)은 30-40 mol% Y2O3 및 60-70 mol% Al2O3로 구성된 YAG일 수 있다. 제2 보호 층(224)은 0.1 내지 10.0%의 공극률을 가질 수 있다.
제2 보호 층(224)은 또한, 제1 보호 층(224)과 관련하여 본원에서 상기 논의된 다른 물질들 중 임의의 물질로 구성될 수 있다.
제2 보호 층(224)은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, 에어로졸 증착, 증발, PEVCVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 일 실시예에서, 제2 보호 층(224)은 ALD에 의해 증착될 수 있다.
일 실시예에서, 제2 보호 층(224)은 약 50.00 nm 내지 약 2.00 mm 또는 더 두꺼운 두께를 가질 수 있다. 다른 실시예에서, 제2 보호 층(224)은 약 1.00 ㎛ 내지 약 2.00 mm의 두께를 가질 수 있다. 제2 보호 층(224)은 등각 층일 수 있고, 대략 0의 공극률(예를 들어, 0.1% 미만의 공극률)을 가질 수 있다. 일 실시예에서, 제1 보호 층(222)은 약 0.10-10.0%(예를 들어, 약 0.10-1%, 1-5%, 1-3%, 3-5%, 5-7% 등)의 공극률 및 균열들을 가질 수 있다. 제2 보호 층(224)은 제1 보호 층(222)의 공극들 및/또는 균열들을 밀봉하는 최상부 코팅 층일 수 있다. 제2 보호 층(224)이 매우 얇기 때문에, 제2 보호 층(224)의 CTE는 제1 보호 층(222) 또는 플레이트(204)의 CTE와 일치되지 않을 수 있다.
일부 실시예들에서, 제2 보호 층(224)은 제1 보호 층(222) 이전에 퇴적된다. 그러한 실시예들에서, 제2 보호 층(224)은 제1 보호 층(222)의 균열들 및/또는 공극들을 관통하는 가스들 및/또는 플라즈마들로부터 전기 전도성 층(220)을 보호할 수 있다.
일 실시예에 따르면, 전기 전도성 층(220), 제1 보호 층(222) 및 제2 보호 층(224)은 플레이트(204)의 상부 표면을 덮는 것으로 도시된다. 대안적인 실시예에서, 전기 전도성 층(220), 제1 보호 층(222) 및/또는 제2 보호 층(224) 중 하나 이상은 에지 내벽(208), 에지 상부 표면(210), 에지 외벽(212), 샤프트 내부(216), 샤프트 외부(218), 플레이트(204)의 하부 표면 및/또는 접지 차폐부(200)의 다른 표면들을 추가적으로 덮을 수 있다. 이러한 실시예들에서, 전기 전도성 층(220)은 접지 차폐부(200)의 기능을 용이하게 하기 위해 접지로의 대안 경로들을 제공할 수 있다. 예를 들어, 전기 전도성 층(220)은 샤프트 내벽(216) 또는 샤프트 외부(218)를 덮을 수 있다. 몇몇 실시예들이 도 3a-5e를 참조하여 아래에 도시된다.
일 실시예에서, 제1 보호 층(222)을 위한 물질은 염소 가스 처리 환경에 대한 그의 저항성을 위해 적합하게 선택될 수 있다. 예를 들어, 제1 보호 층(222)은 티타늄 합금(예를 들어, TC4), 하스텔로이®, 또는 목표 CTE 값들을 갖는 임의의 다른 내염소성 물질들로 구성될 수 있다. 일 실시예에서, 제2 보호 층(224)을 위한 물질은 또한, 염소 가스 처리 환경에 대한 그의 저항성을 위해 적합하게 선택될 수 있고, 목표 CTE 값들을 갖는 상기 설명된 내염소성 물질들 중 임의의 물질로 구성될 수 있다.
일 실시예에서, 제1 보호 층(222)을 위한 물질은 플루오린 가스 처리 환경에 대한 그의 저항성을 위해 적합하게 선택될 수 있다. 예를 들어, 제1 보호 층(222)은 YF3, AlF3, Er2O3, 또는 임의의 다른 내플루오린성 물질들로 구성될 수 있다. 일 실시예에서, 제2 보호 층(224)을 위한 물질은 또한, 플루오린 가스 처리 환경에 대한 그의 저항성을 위해 적합하게 선택될 수 있고, 목표 CTE 값들을 갖는 상기 설명된 내플루오린성 물질들 중 임의의 물질로 구성될 수 있다.
추가의 실시예에서, 접지 차폐부(200)는 제2 보호 층(224) 상에 퇴적되는 제3 보호 층(도시되지 않음)을 더 포함할 수 있다. 제3 보호 층은 Y2O3, Er2O3, Ta2O5, YF3, Al2O3, AlF3, ZrO2 및 이들의 조합들로 구성될 수 있다. 일 실시예에서, 제3 보호 층은 약 0.10-10.0%(예를 들어, 약 0.10-1%, 1-5%, 1-3%, 3-5%, 5-7% 등)의 공극률 및 균열들을 가질 수 있다. 다른 실시예에서, 제3 보호 층은 등각 층일 수 있고, 대략 0의 공극률(예를 들어, 0.1% 미만의 공극률)을 가질 수 있다.
제3 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 제3 보호 층은 약 50 nm 내지 5 ㎛ 또는 더 두꺼운 두께를 가질 수 있다. 제3 보호 층은 등각 층일 수 있다.
일 실시예에서, 접지 차폐부(200)는 전기 전도성 물질로 구성될 수 있고, 플레이트(204)의 적어도 상부 표면 상에 퇴적된 제1 보호 층(222) 및 제1 보호 코팅(222) 상에 퇴적된 제2 보호 코팅(224)을 더 포함할 수 있다. 그러한 실시예들에서, 전기 전도성 층(220)은 생략될 수 있다.
전기 전도성 물질은 Mo, W, Ta, 하스텔로이®, 인코넬®, ITO, Si, 또는 SiC, 또는 고온 환경에서 안정적인 임의의 다른 물질 중 하나 이상의 물질의 조성을 가질 수 있다. 일 실시예에서, 전기 전도성 금속 매트릭스 복합체(MMC) 물질이 접지 차폐부(200)에 사용된다. MMC 물질은 금속 매트릭스, 및 매트릭스 전체에 걸쳐 매립되고 분산되는 보강 물질을 포함한다. 금속 매트릭스는 단일 금속 또는 둘 이상의 금속들 또는 금속 합금들을 포함할 수 있다. 사용될 수 있는 금속들은 알루미늄(Al), 마그네슘(Mg), 티타늄(Ti), 코발트(Co), 코발트-니켈 합금(CoNi), 니켈(Ni), 크로뮴(Cr), 금(Au), 은(Ag) 또는 이들의 다양한 조합들을 포함하지만 이에 제한되지 않는다. 보강 물질은 MMC에 원하는 구조적 강도를 제공하도록 선택될 수 있고, 또한, MMC의 다른 특성들, 예컨대, 예를 들어, 열 전도율 및 CTE에 대해 원하는 값들을 제공하도록 선택될 수 있다. 사용될 수 있는 보강 물질들의 예들은 Si, 탄소(C), 또는 SiC를 포함하지만, 다른 물질들이 또한 사용될 수 있다.
제1 보호 층(222) 및 제2 보호 층(224)은 본원에서 상기 논의된 적절한 물질들 중 임의의 물질로 구성될 수 있다.
일 실시예에서, 제1 보호 층(222)에 사용되는 물질은, 전기 전도성 접지 차폐부(200)와 제1 보호 층(222) 사이의 CTE 불일치를 최소화하고 처리 동안 제1 보호 층(222)을 손상시킬 수 있는 열-기계적 응력들을 피하기 위해 제1 보호 층(222)에 대한 CTE가 전기 전도성 접지 차폐부(200)의 CTE와 일치되도록 적합하게 선택될 수 있다. 다른 실시예에서, 제1 보호 층(222)에 사용되는 물질은 제1 보호 층(222)에 대한 CTE가 전기 전도성 접지 차폐부(220)의 CTE와 실질적으로 일치되도록(예를 들어, 2.5×10-6/℃ 이내이도록) 적합하게 선택될 수 있다. 일 실시예에서, 접지 차폐부(200)의 몸체는 접지 차폐부(200)의 몸체에 대한 CTE가 Al2O3(또는 제1 보호 층(222)에 대해 상기 열거된 다른 세라믹 물질들 중 하나)의 CTE와 실질적으로 일치되도록 적합하게 선택된 물질로 구성될 수 있고, 제1 보호 층(222)은 Al2O3(또는 제1 보호 층에 대해 상기 열거된 다른 물질들 중 하나)로 구성될 수 있다. 예를 들어, 접지 차폐부(200)의 몸체는 Al2O3의 CTE와 일치되는 CTE를 갖는 티타늄 합금(예를 들어, TC4)으로 구성될 수 있고, 제1 보호 층(222)은 Al2O3로 구성된다. 다른 실시예에서, 접지 차폐부(200)의 몸체는 접지 차폐부(200)의 몸체에 대한 CTE가 Al2O3의 CTE의 2.5×10-6/℃ 이내에 있을 수 있도록 적합하게 선택된 물질로 구성될 수 있다. 다른 실시예에서, 접지 차폐부(200)의 몸체는 SiC로 구성될 수 있고, 제1 보호 층(222)은 Y2SiC 또는 Y2Si2O7로 구성된다.
도 3a-3d는, 전기 전도성 층(220) 및 제1 보호 층(222)을 갖는, 도 2에 도시된 구조를 포함하는 세라믹 접지 차폐부(200)에 대한 다양한 실시예들의 측단면도들을 예시한다. 도 3a는 전기 전도성 층(302)이 플레이트(204)의 상부 표면 상에 퇴적될 수 있는 일 실시예를 도시한다. 제1 보호 층(304)은 전기 전도성 층(302) 상에 퇴적될 수 있다. 도 3b는 전기 전도성 층(302)이 에지 내벽(208) 및 에지 상부 표면(210) 상에 더 퇴적될 수 있는 대안적인 실시예를 도시한다. 제1 보호 층(304)은 전기 전도성 층(302) 상에 퇴적될 수 있다. 도 3c는 전기 전도성 층(302)이 플레이트(204)의 상부 표면 상에 그리고 중공 샤프트(214)의 내벽(216) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제1 보호 층(304)은 전기 전도성 층(302) 상에 퇴적될 수 있다. 도 3d는 전기 전도성 층(302)이 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210), 에지 외벽(212), 플레이트(204)의 하부 표면 및 중공 샤프트(214)의 외벽(218) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다.
추가의 실시예들에서, 전기 전도성 층(302) 및 제1 보호 층(304)은, 예시된 예들에서 이러한 층들이 덮는 것으로 도시된 접지 차폐부(200)의 표면들 중 임의의 표면에 추가적으로, 접지 차폐부(200)의 각각의 표면을 완전히 덮을 수 있거나, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다. 추가의 실시예들에서, 전기 전도성 층(302)은 도시된 접지 차폐부(200)의 임의의 표면들을 덮을 수 있지만, 제1 보호 층(304)은, 예시된 예들에서 제1 보호 층(304)이 덮는 것으로 도시된 접지 차폐부(200)의 표면들 중 임의의 표면에 추가적으로, 접지 차폐부(200)의 각각의 표면을 완전히 덮을 수 있거나, 대안적으로, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다.
도 3a-3d에 도시된 실시예들 중 임의의 실시예에서, 제1 보호 층(304)은, 전기 전도성 층(302)이, 도 1에 도시된 처리 챔버의 처리 환경에 노출되지 않을 수 있도록, 전기 전도성 층(302)을 완전히 덮을 수 있다. 이는 전기 전도성 층(302)이 높은 산화 및/또는 부식성 환경의 존재 하에서 부식을 회피하는 것을 허용한다. 추가적으로, 도 3a-3d에 도시된 실시예들 중 임의의 실시예에서, 제1 보호 층(304)은 전기 전도성 층(302)에 의해 덮이지 않은 접지 차폐부(200)의 임의의 표면 상에 퇴적될 수 있다. 예를 들어, 전기 전도성 층(302)은 플레이트(204)의 상부 표면 상에 퇴적될 수 있고, 제1 보호 층(304)은 전기 전도성 층(302) 및 에지 내벽(208) 상에 퇴적될 수 있다.
도시되지 않은 다른 실시예에서, 접지 차폐부(200)는 세라믹 물질로 구성될 수 있다. 전기 전도성 층(302)은 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210) 및 에지 외벽(212) 상에 퇴적될 수 있다. 제1 보호 코팅(304)은 전기 전도성 층(302) 상에 퇴적될 수 있다. 추가의 실시예에서, 전기 전도성 층(302)은 또한, 플레이트(204)의 하부 표면 상에 퇴적될 수 있다. 제1 보호 층(304)은 전기 전도성 층(302) 상에 퇴적될 수 있다.
도 4a-4d는, 전기 전도성 층(220), 제1 보호 층(222), 및 제2 보호 층(224)을 갖는, 도 2에 도시된 구조를 포함하는 세라믹 접지 차폐부(200)에 대한 다양한 실시예들의 측단면도들을 예시한다. 도 4a는 전기 전도성 층(402)이 플레이트(204)의 상부 표면 상에 퇴적될 수 있는 일 실시예를 도시한다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다. 도 4b는 전기 전도성 층(402)이 플레이트(204)의 상부 표면, 에지 내벽(208) 및 에지 상부 표면(210) 상에 퇴적될 수 있는 대안적인 실시예를 도시한다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다. 도 4c는 전기 전도성 층(402)이 플레이트(204)의 상부 표면 및 중공 샤프트(214)의 내벽(216) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다. 도 4d는 전기 전도성 층(402)이 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210), 에지 외벽(212), 플레이트(204)의 하부 표면 및 중공 샤프트(214)의 외벽(218) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다. 상기 설명된 모든 실시예들에서, 제3 보호 층(도시되지 않음)은 제2 보호 층(406) 상에 퇴적될 수 있다.
추가의 실시예들에서, 전기 전도성 층(402), 제1 보호 층(404), 및 제2 보호 층(406)은, 예시된 예들에서 이러한 층들이 덮는 것으로 도시된 접지 차폐부(200)의 표면들 중 임의의 표면에 추가적으로, 접지 차폐부의 각각의 표면을 완전히 덮을 수 있거나, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다. 추가의 실시예들에서, 전기 전도성 층(402)은 도시된 접지 차폐부(200)의 표면들 중 임의의 표면을 덮을 수 있지만, 제1 보호 층(404) 및 제2 보호 층(406)은, 예시된 예들에서 제1 보호 층(404) 및 제2 보호 층(406)이 덮는 것으로 도시된 접지 차폐부(200)의 임의의 표면들에 추가적으로, 접지 차폐부(200)의 각각의 표면을 완전히 덮을 수 있거나, 대안적으로, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다. 추가의 실시예들에서, 전기 전도성 층(402) 및 제1 보호 층(404)은 도시된 접지 차폐부(200)의 임의의 표면들을 덮을 수 있지만, 제2 보호 층(406)은, 예시된 예들에서 제2 보호 층(406)이 덮는 것으로 도시된 접지 차폐부(200)의 임의의 표면들에 추가적으로, 접지 차폐부(200)의 각각의 표면을 완전히 덮을 수 있거나, 대안적으로, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다.
도 4a-4d에 도시된 실시예들 중 임의의 실시예에서, 제1 보호 층(404) 및/또는 제2 보호 층(406)은, 전기 전도성 층(402)이, 도 1에 도시된 처리 환경에 노출되지 않을 수 있도록, 전기 전도성 층(402)을 완전히 덮을 수 있다. 이는 전기 전도성 층(402)이 플라즈마의 높은 산화 및/또는 부식성 환경의 존재 하에서 부식을 회피하는 것을 허용한다. 추가적으로, 도 4a-4d에 도시된 실시예들 중 임의의 실시예에서, 제1 보호 층(404) 및/또는 제2 보호 층(406)은, 전기 전도성 층(402)을 포함하지 않는 접지 차폐부(200)의 표면들 상에 퇴적될 수 있다. 예를 들어, 전기 전도성 층(402)은 플레이트(204)의 상부 표면 상에 퇴적될 수 있고, 제1 보호 층(404)은 전기 전도성 층(402) 및 에지 내벽(208) 상에 퇴적될 수 있다. 추가적으로, 제2 보호 층(406)은 제1 보호 층(404), 에지 내벽(208) 상에 퇴적될 수 있고, 또한, 접지 차폐부(200)의 추가적인 표면들 상에 퇴적될 수 있다.
도시되지 않은 다른 실시예에서, 접지 차폐부(200)는 세라믹 물질로 구성될 수 있다. 전기 전도성 층(402)은 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210) 및 에지 외벽(212) 상에 퇴적될 수 있다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다. 추가의 실시예에서, 전기 전도성 층(402)은 또한, 플레이트(204)의 하부 표면 상에 퇴적될 수 있다. 제1 보호 층(404)은 전기 전도성 층(402) 상에 퇴적될 수 있다. 제2 보호 층(406)은 제1 보호 층(404) 상에 퇴적될 수 있다.
도 5a-5e는, 제1 보호 층(222), 및 제2 보호 층(224)을 갖는, 도 2에 도시된 구조를 포함하는 전기 전도성 접지 차폐부(200)에 대한 다양한 실시예들의 측단면도들을 예시한다. 도 5a는 제1 보호 층(502)이 플레이트(204)의 상부 표면 상에 퇴적될 수 있는 일 실시예를 도시한다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 도 5b는 제1 보호 층(502)이 플레이트(204)의 상부 표면, 에지 내벽(208) 및 에지 상부 표면(210) 상에 퇴적될 수 있는 대안적인 실시예를 도시한다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 도 5c는 제1 보호 층(502)이 플레이트(204)의 상부 표면 및 중공 샤프트(214)의 내벽(216) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 도 5d는 제1 보호 층(502)이 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210), 에지 외벽(212), 플레이트(204)의 하부 표면 및 중공 샤프트(214)의 외벽(218) 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 도 5e는 제1 보호 층(502)이 접지 차폐부(200)의 모든 표면들 상에 퇴적될 수 있는 다른 대안적인 실시예를 도시한다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 제1 보호 층(502) 및/또는 제2 보호 층(504)은 도 1에 도시된 처리 환경에 대한 노출을 회피하기 위해 접지 차폐부(200)의 모든 표면들 상에 퇴적될 수 있다. 이는 접지 차폐부(200)가, 높은 산화 및/또는 부식성 환경의 존재 하에서 부식을 회피하는 것을 허용한다. 상기 설명된 모든 실시예들에서, 제3 보호 층(도시되지 않음)은 제2 보호 층(504) 상에 퇴적될 수 있다.
추가의 실시예들에서, 제1 보호 층(502) 및 제2 보호 층(504)은, 예시된 예들에서 이러한 층들이 덮는 것으로 도시된 접지 차폐부(200)의 임의의 표면들에 추가적으로, 접지 차폐부(200)의 표면들을 완전히 덮을 수 있거나, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다. 추가의 실시예들에서, 제1 보호 층(502)은 도시된 접지 차폐부(200)의 임의의 표면을 덮을 수 있지만, 제2 보호 층(504)은, 예시된 예들에서 제2 보호 층(504)이 덮는 것으로 도시된 접지 차폐부(200)의 임의의 표면에 추가적으로, 플레이트(204)의 하부 표면 및/또는 에지 외벽(212)을 덮을 수 있다.
도 5a-5e에 도시된 실시예들 중 임의의 실시예에서, 제2 보호 층(504)은 제1 보호 층(502)에 의해 덮이지 않은 접지 차폐부(200)의 임의의 표면 상에 퇴적될 수 있다. 예를 들어, 제1 보호 층(502)은 플레이트(204)의 상부 표면 상에 퇴적될 수 있고, 제2 보호 층(504)은 제1 보호 층(502) 및 에지 내벽(208) 상에 퇴적될 수 있다.
도시되지 않은 다른 실시예에서, 접지 차폐부(200)는 전기 전도성 물질로 구성될 수 있다. 제1 보호 층(502)은 플레이트(204)의 상부 표면, 에지 내벽(208), 에지 상부 표면(210) 및 에지 외벽(212) 상에 퇴적될 수 있다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 추가의 실시예에서, 제1 보호 층(502)은 또한, 플레이트(204)의 하부 표면 상에 퇴적될 수 있다. 제2 보호 층(504)은 제1 보호 층(502) 상에 퇴적될 수 있다. 제1 보호 층(502) 및/또는 제2 보호 층(504)은 도 1에 도시된 처리 챔버의 처리 환경에 대한 노출을 회피하기 위해 접지 차폐부(200)의 모든 표면들 상에 퇴적될 수 있다. 이는 접지 차폐부(200)가, 높은 산화 및/또는 부식성 환경의 존재 하에서 부식을 회피하는 것을 허용한다.
도 6은 세라믹 접지 차폐부(200)에 대한 일 실시예의 측단면도를 예시하는데, 여기서 복수의 홀들(608)이 플레이트(204)를 통해 천공될 수 있고 전기 전도성 플러그(610)로 채워질 수 있다. 전기 전도성 플러그(610)는 RF 신호를 위한 전기 전도성 경로를 제공할 수 있다.
전기 전도성 플러그(610)는 Mo, W, Ta, 하스텔로이®, 인코넬®, ITO, 또는 다른 전기 전도성 물질 중 하나 이상의 물질의 조성을 가질 수 있다. 전기 전도성 층(602)은 플레이트(204)의 상부 표면 및 전기 전도성 플러그(610)의 표면 상에 퇴적될 수 있다. 제1 보호 층(604)은 전기 전도성 층(602) 상에 퇴적될 수 있다. 제2 보호 층(606)은 제1 보호 층(604) 상에 퇴적될 수 있다. 제1 보호 층(604)은 본원에 설명된 임의의 세라믹 물질들의 조성을 가질 수 있다. 유사하게, 제2 보호 층(606)은 본원에 이전에 설명된 임의의 세라믹 물질의 조성을 가질 수 있다. 대안적인 실시예들에서, 제1 보호 층(604) 및/또는 제2 보호 층(606)은 접지 차폐부(200)의 추가적인 표면들을 덮을 수 있다. 예를 들어, 제1 보호 층(604) 및/또는 제2 보호 층(606)은 에지 내벽(208), 에지 상부 표면(210), 에지 외벽(212), 플레이트(204)의 하부 표면, 중공 샤프트(214)의 내벽(216), 및 중공 샤프트(214)의 외벽(218) 중 적어도 하나 상에 퇴적될 수 있다.
도 7은 접지 차폐부를 형성하기 위한 제1 프로세스(700)를 예시한다. 블록(702)에서, 세라믹 접지 차폐부 몸체가 제공된다. 제공된 세라믹 접지 차폐부 몸체는 플레이트 및 플레이트의 상부 표면으로부터 연장되는 융기된 에지일 수 있다. 융기된 에지는 에지 내벽, 에지 상부 표면, 및 에지 외벽을 포함할 수 있다. 접지 차폐부 몸체는, 내벽 및 외벽을 포함하는 중공 샤프트를 더 포함할 수 있다. 일 실시예에서, 세라믹 접지 차폐부 몸체는, 목표 크기 및 형상을 대략 갖는 그린 바디에 대해 소결을 수행함으로써 제조된다. 소결 프로세스 후에, 소결된 세라믹 몸체는 더 높은 정확도로 목표 치수들을 달성하기 위해 기계적으로 처리될 수 있다. 일 실시예에서, 접지 차폐부 몸체는 2개 또는 3개의 개별 구성요소들로 구성될 수 있다. 이러한 구성요소들은 소결되고 개별적으로 더 처리될 수 있다. 대안적으로, 구성요소들 중 하나 이상은 소결된 세라믹 물질들이 아닐 수 있다(예를 들어, 금속, 예컨대, 스테인리스 강일 수 있다). 예를 들어, 접지 차폐부 플레이트는 소결된 세라믹일 수 있고, 접지 차폐부의 샤프트는 스테인리스 강 또는 다른 금속일 수 있다.
블록(704)에서, 복수의 홀들이 접지 차폐부 몸체의 플레이트를 통해 천공될 수 있다. 블록(706)에서, 복수의 홀들은 복수의 전기 전도성 플러그들로 채워질 수 있다. 전기 전도성 플러그들은 플레이트의 하부 표면에서 리드들에 연결될 수 있고, 리드들은 접지에 연결될 수 있다.
블록(708)에서, 접지 차폐부 몸체의 적어도 상부 표면이 조면화될 수 있다. 접지 차폐부 몸체의 상부 표면 상의 거칠기는 비드 블래스트의 사용을 통해 달성될 수 있다. 비드 블래스트는 비드 블래스팅 캐비닛, 휴대용 비드 블래스트, 자동 비드 블래스트, 또는 임의의 다른 유형의 비드 블래스트일 수 있다. 대안적인 실시예들에서, 접지 차폐부 몸체의 상부 표면 상의 거칠기는 동력식 연마 패드의 사용을 통해 달성될 수 있다. 접지 차폐부 몸체의 상부 표면(예를 들어, 플레이트의 상부 표면)은 약 0.10 미크론 내지 약 6.00 미크론의 목표 거칠기로 조면화될 수 있다. 이는 접지 차폐부 몸체에 대한 전기 전도성 층 및/또는 제1 보호 층의 접착을 개선할 수 있다. 예를 들어, 먼저 접지 차폐부 몸체의 표면을 조면화함으로써 플라즈마 스프레잉된 코팅들의 접착이 개선될 수 있다.
블록(710)에서, 전기 전도성 층이 접지 차폐부 몸체의 적어도 상부 표면 상에 퇴적될 수 있다. 전기 전도성 층은 본원에 설명된 임의의 전기 전도성 물질로 구성될 수 있다. 전기 전도성 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, 에어로졸 증착, 증발, ALD, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다.
블록(712)에서, 제1 보호 층은 전기 전도성 층 상에 퇴적될 수 있다. 제1 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제1 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), CVD, PVD, 에어로졸 증착, 증발, PECVD, 이온 보조 퇴적, 이온 도금, 및 이들의 조합들에 의해 퇴적될 수 있다. 전기 전도성 층이, 예를 들어, PVD, CVD, ALD, PECVD 또는 IAD와 같은 기법들을 사용하여 증착되는 경우, 전기 전도성 층은 등각 층일 수 있고, 전기 전도성 층의 표면은 대략, 아래놓인 접지 차폐부 몸체의 거칠기(예를 들어, 접지 차폐부 몸체의 표면의 목표 거칠기)를 가질 수 있다. 이에 따라, 제1 보호 층이, 예를 들어, 플라즈마 스프레이 또는 에어로졸 증착에 의해 퇴적되는 경우, 전기 전도성 층의 표면 거칠기는 전기 전도성 층에 대한 제1 보호 층의 접착을 개선할 수 있다.
블록(714)에서, 제1 보호 층에 의해 덮인 접지 차폐부 몸체의 표면이 연마될 수 있다. 연마는, 예를 들어, 연삭기 또는 CMP 기계에 의해 수행될 수 있다. 제1 보호 층은 약 0.10 미크론 내지 약 2.00 미크론의 평균 표면 거칠기로 연마될 수 있다.
블록(716)에서, 제2 보호 층이 제1 보호 층 상에 퇴적될 수 있다. 제2 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제2 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, ALD, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 일 실시예에서, 제2 보호 층은 비시선 퇴적 기법, 예컨대, ALD 또는 CVD, 플라즈마 침지 이온 증착(PIID), 습식 화학 퇴적(예를 들어, 졸 겔), 또는 도금에 의해 퇴적될 수 있다. 일 실시예에서, 제2 보호 층은, 제1 보호 층의 임의의 균열들 및/또는 공극들을 밀봉하는, 대략 0의 공극률을 갖는 등각 보호 층일 수 있다.
블록(718)에서, 제3 보호 층이 제2 보호 층 상에 퇴적될 수 있다. 제3 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제3 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, ALD, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다.
접지 차폐부 몸체가 다수의 상이한 구성요소들로 구성될 수 있는 경우, 구성요소들 중 하나 이상의 구성요소 중 일부 또는 전부는 전기 전도성 층, 제1 보호 층, 제2 보호 층 및/또는 제3 보호 층으로 코팅되었을 수 있다. 후속하여, 다수의 구성요소들이 조립될 수 있다. 예를 들어, 접지 차폐부 샤프트의 2개의 절반들은 가열기 샤프트를 중심으로 함께 부착될 수 있고, 접지 차폐부 샤프트의 결합된 절반들은 가열기를 둘러싸는 접지 차폐부 플레이트에 부착될 수 있다.
도 8은 접지 차폐부를 형성하기 위한 제2 프로세스(800)를 예시한다. 블록(802)에서, 전기 전도성 접지 차폐부 몸체가 제공된다. 제공된 전기 전도성 접지 차폐부 몸체는 플레이트 및 플레이트의 상부 표면으로부터 연장되는 융기된 에지를 포함할 수 있다. 융기된 에지는 에지 내벽, 에지 상부 표면, 및 에지 외벽을 포함할 수 있다. 접지 차폐부 몸체는, 내벽 및 외벽을 포함하는 중공 샤프트를 더 포함할 수 있다. 전기 전도성 접지 차폐부 몸체는 접지 차폐부 몸체에 대해 본원에 설명된 전기 전도성 물질들 중 임의의 물질에 의해 형성될 수 있다. 일 실시예에서, 접지 차폐부 몸체의 표면은 (예를 들어, 예컨대, 비드 블래스팅에 의해) 조면화된다.
블록(804)에서, 제1 보호 층은 접지 차폐부 몸체의 적어도 상부 표면 상에 퇴적될 수 있다. 제1 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제1 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다.
블록(806)에서, 제1 보호 층에 의해 덮인 접지 차폐부 몸체의 표면이 연마될 수 있다.
블록(808)에서, 제2 보호 층이 제1 보호 층 상에 퇴적될 수 있다. 제2 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제2 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, ALD, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다. 일 실시예에서, 제2 보호 층은 비시선 퇴적 기법, 예컨대, ALD 또는 CVD, 플라즈마 침지 이온 증착(PIID), 습식 화학 퇴적, 또는 도금에 의해 퇴적된다.
블록(810)에서, 제3 보호 층이 제2 보호 층 상에 퇴적될 수 있다. 제3 보호 층은 본원에 설명된 임의의 세라믹 물질로 구성될 수 있다. 제3 보호 층은 전통적인 상압 플라즈마 스프레이, LPPS, VPS, 스크린 인쇄, 습식 화학 퇴적(예를 들어, 졸 겔), PVD, CVD, ALD, 에어로졸 증착, 증발, ALD, PECVD, IAD, 이온 도금, 침지 코팅, 스퍼터링, 열 스프레잉, 열간 등방 가압법, 냉간 등방 가압법, 적층, 압축 성형, 캐스팅, 압착, 스크린 인쇄, 소결 또는 공동 소결 기법들에 의해 퇴적될 수 있다.
상기 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해, 다수의 특정 세부사항들, 예컨대, 특정 시스템들, 구성요소들, 방법들 등의 예들을 설명한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 구체적인 세부사항들 없이 실시될 수 있다는 점이 관련 기술분야의 통상의 기술자에게 명백할 것이다. 다른 경우들에서, 본 개시내용을 불필요하게 모호하게 하는 것을 회피하기 위해, 잘 알려진 구성요소들 또는 방법들은 상세히 설명되지 않거나 간단한 블록도 형태로 제시된다. 따라서, 설명된 특정 세부사항들은 단지 예시적이다. 특정한 구현예들은 이러한 예시적인 세부사항들로부터 달라질 수 있고 그럼에도 본 개시내용의 범위 내에 있는 것으로 고려될 수 있다.
본 명세서 전체에 걸친 "일 실시예" 또는 "실시예"에 대한 참조는, 그 실시예와 관련하여 설명된 특정 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "일 실시예에서" 또는 "실시예에서"라는 구문의 출현들은, 반드시 모두가 동일한 실시예를 참조하는 것은 아니다. 추가적으로, "또는"이라는 용어는 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. "약" 또는 "대략"이라는 용어가 본원에서 사용될 때, 이는 제시된 공칭 값이 ±10% 이내로 정확함을 의미하도록 의도된다.
본원의 방법들의 작동들이 특정 순서로 도시되고 설명되지만, 각각의 방법의 작동들의 순서는 특정 작동들이 역순으로 수행될 수 있도록 또는 특정 작동이 다른 작동들과, 적어도 부분적으로, 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 작동들의 하위 작동들 또는 명령들은 간헐적이고/거나 교번하는 방식일 수 있다. 일 실시예에서, 다수의 금속 결합 작동들이 단일 단계로서 수행된다.
상기 설명은 제한적인 것이 아니라 예시적인 것으로 의도된다는 것이 이해된다. 상기 설명을 읽고 이해하면, 많은 다른 실시예들이 관련 기술분야의 통상의 기술자에게 명백할 것이다. 그러므로, 본 개시내용의 범위는, 첨부된 청구항들을 참조하여, 그러한 청구항들에 부여된 등가물들의 전체 범위와 함께 결정되어야 한다.

Claims (20)

  1. 처리 챔버의 접지 차폐부로서,
    접지 차폐부 플레이트, 상기 접지 차폐부 플레이트의 상부 표면으로부터 연장되는 융기된 에지 및 상기 접지 차폐부 플레이트의 하부 표면으로부터 연장되는 중공 샤프트를 포함하는 세라믹 몸체;
    적어도 상기 접지 차폐부 플레이트의 상기 상부 표면 및 상기 중공 샤프트의 내부 표면 상에 형성되고 그에 부합하는 전기 전도성 층; 및
    적어도 상기 전기 전도성 층 상에 형성된 제1 보호 층을 포함하고,
    가열기의 가열기 플레이트가 상기 제1 보호 층, 상기 전기 전도성 층 및 상기 접지 차폐부 플레이트의 상기 상부 표면의 최상부 상에 배치되도록, 상기 가열기 플레이트는 상기 융기된 에지 내에 그리고 상기 접지 차폐부 플레이트 상에 맞춰지는, 접지 차폐부.
  2. 제1항에 있어서,
    상기 제1 보호 층 상에 퇴적된 제2 보호 층 - 상기 제2 보호 층은 등각(conformal)이고, 50.00 nm - 2.00 mm의 두께를 갖고, 0.1% 미만의 공극률을 가짐 - 을 더 포함하는, 접지 차폐부.
  3. 제2항에 있어서,
    상기 제2 보호 층은 산화이트륨, 산화에르븀, 산화탄탈럼, 플루오린화이트륨, 알루미나, 플루오린화알루미늄, 이산화지르코늄, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하는, 접지 차폐부.
  4. 제1항에 있어서,
    상기 전기 전도성 층은 상기 융기된 에지의 하나 이상의 표면, 상기 접지 차폐부 플레이트의 하부 표면, 또는 상기 중공 샤프트의 외부 표면 중 적어도 하나 상에 추가로 형성되는, 접지 차폐부.
  5. 제1항에 있어서,
    상기 제1 보호 층은 알루미나, Y2SiO5, Y2Si2O7, Y5O4F7, 탄탈럼, 탄화규소, 이트리아, 산화에르븀, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하는, 접지 차폐부.
  6. 제1항에 있어서,
    상기 제1 보호 층은 1.00 ㎛ - 2.00 mm의 두께를 갖고, 0.1-10.0%의 공극률을 갖는, 접지 차폐부.
  7. 제1항에 있어서,
    상기 세라믹 몸체는 알루미나, 질화알루미늄, 실리콘, 탄화규소, 또는 질화규소 중 적어도 하나를 포함하고,
    상기 전기 전도성 층은 니켈, 몰리브데넘(molybdenum), 티타늄 및 크로뮴(chromium)을 포함하는 합금, 니켈, 크로뮴 및 철을 포함하는 합금, 몰리브데넘, 텅스텐, 니켈, 탄탈럼(tantalum), 또는 인듐 주석 산화물 중 적어도 하나를 포함하는, 접지 차폐부.
  8. 제1항에 있어서,
    상기 세라믹 몸체는 제1 열 팽창 계수(CTE)를 갖고, 상기 제1 보호 층은 제2 CTE를 갖고, 상기 제2 CTE의 값은 상기 제1 CTE의 2.5×10-6/℃ 이내인, 접지 차폐부.
  9. 제1항에 있어서,
    상기 세라믹 몸체는 상기 접지 차폐부 플레이트 내로 천공된 복수의 홀을 더 포함하고, 상기 복수의 홀 중 하나 이상은 전기 전도성 플러그로 채워지는, 접지 차폐부.
  10. 처리 챔버의 기판 지지 조립체로서,
    가열기 플레이트를 포함하는 가열기; 및
    접지 차폐부 - 상기 접지 차폐부는:
    접지 차폐부 플레이트, 상기 접지 차폐부 플레이트의 상부 표면으로부터 연장되는 융기된 에지 및 상기 접지 차폐부 플레이트의 하부 표면으로부터 연장되는 중공 샤프트를 포함하는 세라믹 몸체;
    적어도 상기 접지 차폐부 플레이트의 상기 상부 표면 및 상기 중공 샤프트의 내부 표면 상에 형성되고 그에 부합하는 전기 전도성 층; 및
    적어도 상기 전기 전도성 층 상에 형성된 제1 보호 층을 포함함 -를 포함하고,
    가열기의 상기 가열기 플레이트가 상기 제1 보호 층, 상기 전기 전도성 층 및 상기 접지 차폐부 플레이트의 상기 상부 표면의 최상부 상에 배치되도록, 상기 가열기 플레이트는 상기 융기된 에지 내에 그리고 상기 접지 차폐부 플레이트 상에 맞춰지는, 기판 지지 조립체.
  11. 제10항에 있어서, 상기 접지 차폐부는:
    상기 제1 보호 층 상에 퇴적된 제2 보호 층을 더 포함하고, 상기 제2 보호 층은 등각이고, 50.00 nm - 2.00 mm의 두께를 갖고, 0.1% 미만의 공극률을 갖는, 기판 지지 조립체.
  12. 제11항에 있어서,
    상기 제2 보호 층은 산화이트륨, 산화에르븀, 산화탄탈럼, 플루오린화이트륨, 알루미나, 플루오린화알루미늄, 이산화지르코늄, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하는, 기판 지지 조립체.
  13. 제10항에 있어서,
    상기 전기 전도성 층은 상기 융기된 에지의 하나 이상의 표면, 상기 접지 차폐부 플레이트의 하부 표면, 또는 상기 중공 샤프트의 외부 표면 중 적어도 하나 상에 추가로 형성되는, 기판 지지 조립체.
  14. 제10항에 있어서,
    상기 제1 보호 층은 알루미나, Y2SiO5, Y2Si2O7, Y5O4F7, 탄탈럼, 탄화규소, 이트리아, 산화에르븀, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하는, 기판 지지 조립체.
  15. 제10항에 있어서,
    상기 제1 보호 층은 1.00 ㎛ - 2.00 mm의 두께를 갖고, 0.1-10.0%의 공극률을 갖는, 기판 지지 조립체.
  16. 제10항에 있어서,
    상기 세라믹 몸체는 알루미나, 질화알루미늄, 실리콘, 탄화규소, 또는 질화규소 중 적어도 하나를 포함하고,
    상기 전기 전도성 층은 니켈, 몰리브데넘, 티타늄 및 크로뮴을 포함하는 합금, 니켈, 크로뮴 및 철을 포함하는 합금, 몰리브데넘, 텅스텐, 니켈, 탄탈럼, 또는 인듐 주석 산화물 중 적어도 하나를 포함하는, 기판 지지 조립체.
  17. 처리 챔버의 접지 차폐부로서,
    접지 차폐부 플레이트, 상기 접지 차폐부 플레이트의 상부 표면으로부터 연장되는 융기된 에지 및 상기 접지 차폐부 플레이트의 하부 표면으로부터 연장되는 중공 샤프트를 포함하는 전기 전도성 몸체;
    적어도 상기 접지 차폐부 플레이트의 상기 상부 표면 및 상기 중공 샤프트의 내부 표면 상에 형성된 제1 보호 층; 및
    적어도 상기 제1 보호 층 상에 형성된 제2 보호 층을 포함하고,
    가열기의 가열기 플레이트가 상기 제2 보호 층의 최상부 상에 배치되도록, 상기 가열기 플레이트는 상기 융기된 에지 내에 그리고 접지 차폐부 플레이트 상에 맞춰지는, 접지 차폐부.
  18. 제17항에 있어서,
    상기 전기 전도성 몸체는 제1 CTE를 갖고, 상기 제1 보호 층은 제2 CTE를 갖고, 상기 제2 CTE의 값은 상기 제1 CTE의 값과 동일한, 접지 차폐부.
  19. 제17항에 있어서,
    상기 전기 전도성 몸체는 제1 CTE를 갖고, 상기 제1 보호 층은 제2 CTE를 갖고, 상기 제2 CTE의 값은 상기 제1 CTE의 값의 2.5×10-6/℃ 이내인, 접지 차폐부.
  20. 제17항에 있어서,
    상기 제1 보호 층은 1.00 ㎛ - 2.00 mm의 두께를 갖고, 0.1-10.0%의 공극률을 갖고, 알루미나, Y2SiO5, Y2Si2O7, Y5O4F7, 탄탈럼, 탄화규소, 이트리아, 산화에르븀, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하고,
    상기 제2 보호 층은 등각 층이고, 50.00 nm - 5.00 ㎛의 두께를 갖고, 0.1% 미만의 공극률을 갖고, 산화이트륨, 산화에르븀, 산화탄탈럼, 플루오린화이트륨, 알루미나, 플루오린화알루미늄, 이산화지르코늄, Y2O3-ZrO2 고용체, Y4Al2O9 및 Y2O3-ZrO2 고용체를 포함하는 물질, 또는 이들의 조합 중 적어도 하나를 포함하는, 접지 차폐부.
KR1020237024188A 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부 KR20230111267A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16/212,580 US11562890B2 (en) 2018-12-06 2018-12-06 Corrosion resistant ground shield of processing chamber
US16/212,580 2018-12-06
PCT/US2019/064747 WO2020118090A1 (en) 2018-12-06 2019-12-05 Corrosion resistant ground shield of processing chamber
KR1020217020627A KR102557349B1 (ko) 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020627A Division KR102557349B1 (ko) 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부

Publications (1)

Publication Number Publication Date
KR20230111267A true KR20230111267A (ko) 2023-07-25

Family

ID=70972112

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237024188A KR20230111267A (ko) 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부
KR1020217020627A KR102557349B1 (ko) 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217020627A KR102557349B1 (ko) 2018-12-06 2019-12-05 처리 챔버의 내부식성 접지 차폐부

Country Status (6)

Country Link
US (2) US11562890B2 (ko)
JP (2) JP7460626B2 (ko)
KR (2) KR20230111267A (ko)
CN (1) CN113169114A (ko)
TW (2) TW202306006A (ko)
WO (1) WO2020118090A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
WO2020236240A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US11557499B2 (en) * 2020-10-16 2023-01-17 Applied Materials, Inc. Methods and apparatus for prevention of component cracking using stress relief layer
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
CN116802788A (zh) * 2021-01-21 2023-09-22 朗姆研究公司 使用固态增材制造处理部件
US20230063923A1 (en) * 2021-08-25 2023-03-02 Honeywell International Inc. Multilayer protective coating systems for gas turbine engine applications and methods for fabricating the same
CN114197038A (zh) * 2021-12-10 2022-03-18 中国电子科技集团公司第四十六研究所 一种提高氮化铝外延层紫外透过率的保护装置及使用方法
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69318879T2 (de) 1992-04-03 1998-10-08 Matsushita Electric Ind Co Ltd Keramisches Mehrschicht-Substrat für hohe Frequenzen
US6069346A (en) 1993-01-12 2000-05-30 American Roller Company Ceramic heater roller with ground shield and fault detection
JP3261566B2 (ja) 1997-01-22 2002-03-04 株式会社ケーブイケー 水栓用カバー
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8680443B2 (en) * 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
US8017062B2 (en) 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
US7851893B2 (en) 2008-06-10 2010-12-14 Stats Chippac, Ltd. Semiconductor device and method of connecting a shielding layer to ground through conductive vias
JP2011525719A (ja) 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
EP2433975A1 (en) 2010-09-14 2012-03-28 Arkema Coatings Resins S.A.U. Improved powder coating compositions and a method for coating a substrate, such as a thermally sensitive substrate
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US20140011038A1 (en) 2012-07-05 2014-01-09 General Electric Company Coating system for a gas turbine component
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794459B (zh) 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
TWI659853B (zh) 2014-04-25 2019-05-21 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
EP3243809B1 (en) 2015-02-09 2019-04-10 Mitsubishi Heavy Industries Aero Engines, Ltd. Coated member and method for producing coated member
TWI725067B (zh) 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US10612121B2 (en) 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings

Also Published As

Publication number Publication date
KR102557349B1 (ko) 2023-07-20
TWI784216B (zh) 2022-11-21
WO2020118090A1 (en) 2020-06-11
US11562890B2 (en) 2023-01-24
CN113169114A (zh) 2021-07-23
TW202306006A (zh) 2023-02-01
US20200185203A1 (en) 2020-06-11
KR20210087558A (ko) 2021-07-12
US20230122695A1 (en) 2023-04-20
JP2022510433A (ja) 2022-01-26
TW202038295A (zh) 2020-10-16
JP7460626B2 (ja) 2024-04-02
JP2023123461A (ja) 2023-09-05

Similar Documents

Publication Publication Date Title
KR102557349B1 (ko) 처리 챔버의 내부식성 접지 차폐부
JP7368398B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) Single-body electrostatic chuck
TW201417211A (zh) 用於等離子體處理腔室的靜電夾盤元件及製造方法
CN104241183B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
CN104241181B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal