TW202038295A - 處理腔室之耐腐蝕接地屏蔽件 - Google Patents

處理腔室之耐腐蝕接地屏蔽件 Download PDF

Info

Publication number
TW202038295A
TW202038295A TW108144688A TW108144688A TW202038295A TW 202038295 A TW202038295 A TW 202038295A TW 108144688 A TW108144688 A TW 108144688A TW 108144688 A TW108144688 A TW 108144688A TW 202038295 A TW202038295 A TW 202038295A
Authority
TW
Taiwan
Prior art keywords
protective layer
edge
wall
ground shield
zro
Prior art date
Application number
TW108144688A
Other languages
English (en)
Other versions
TWI784216B (zh
Inventor
迪米奇 路柏曼斯基
小明 賀
語南 孫
鄔笑煒
拉克希瓦 卡利塔
壽南 朴
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202038295A publication Critical patent/TW202038295A/zh
Application granted granted Critical
Publication of TWI784216B publication Critical patent/TWI784216B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)

Abstract

基板支撐組件包括接地屏蔽件和被接地屏蔽件圍繞的加熱器。接地屏蔽件包括板。在一個實施例中,接地屏蔽件由陶瓷主體構成,並且包括導電層、在板的上表面上的第一保護層。在另一個實施例中,接地屏蔽件由導電主體和板的上表面上的第一保護層構成。

Description

處理腔室之耐腐蝕接地屏蔽件
本揭露書的實施例大體上關於一種用於加熱器的接地屏蔽件,其可允許在偏壓電漿的形成中的均勻性,並且尤其關於一種耐腐蝕及/或侵蝕的接地屏蔽件。 (如,這是由電漿環境引起的)。
在半導體產業中,加熱器用以支撐基板並在處理期間(諸如在使用電漿的沉積處理及/或蝕刻處理期間)加熱那些基板。可將射頻(RF)場引入基板處理設備,以促進在加熱器與處理單元的其他部件之間的振盪,這有助於電漿的使用。接地屏蔽件可用以幫助加熱器接地,以在此處理期間允許電漿具有更大的均勻性。當前的接地屏蔽件由鋁或不銹鋼材料製成,並塗有保護塗層,保護塗層的熱膨脹係數(CTE)與鋁或不銹鋼的CTE非常不同。因為在鋁或不銹鋼材料與接地屏蔽件上的傳統保護塗層之間的CTE值明顯不同,因此保護塗層經常會裂開,從而使鋁或不銹鋼材料曝露於腐蝕性環境及/或電漿。這可防止現有的接地屏蔽件在偏壓的高溫應用中使用。
在一個實施例中,一種處理腔室的接地屏蔽件包括:陶瓷主體,陶瓷主體包含板和從板的上表面延伸的凸起邊緣。加熱器適配在板的上表面上的凸起邊緣內。接地屏蔽件進一步包括:導電層,在板的至少上表面上;及第一保護層,在至少導電層上。
在一個實施例中,一種處理腔室的基板支撐組件包括:加熱器;及接地屏蔽件,包含盤形陶瓷主體和從盤形陶瓷主體的下表面延伸的軸。盤形陶瓷主體的上表面包含從盤形陶瓷主體的上表面延伸的凸起邊緣。加熱器設置在凸起邊緣內的盤形陶瓷主體的上表面上。接地屏蔽件進一步包括:導電層,在盤形陶瓷主體的至少上表面上;及第一保護層,在至少導電層上。
在一個實施例中,一種處理腔室的接地屏蔽件包括:導電主體,包括板和從板的上表面延伸的凸起邊緣。加熱器適配在板的上表面上的凸起邊緣內。凸起邊緣包括邊緣內壁;邊緣上表面和邊緣外壁;第一保護層,在板的至少上表面上;及在至少第一保護層上的第二保護層。
本揭露書的實施例提供了接地屏蔽件以及具有接地屏蔽件和被接地屏蔽件圍繞的加熱器的基板支撐組件。接地屏蔽件包括板和從板的上表面延伸的凸起邊緣,其中凸起邊緣包括邊緣內壁、上邊緣表面和邊緣外壁。接地屏蔽件還包括從板的下表面延伸的空心軸。空心表面包括內壁和外壁。
在一個實施例中,接地屏蔽件可由陶瓷材料構成,且導電層可沉積在板的至少上表面上。第一保護層可沉積在導電層上。第二層可沉積在第一保護層上。藉由在陶瓷接地屏蔽件主體上沉積導電層,接地屏蔽件能夠為加熱器提供接地功能。第一保護層及/或第二保護層可保護接地屏蔽件免受高氧化及/或腐蝕性環境的影響。例如,第一保護層及/或第二保護層可抵抗氧化以及來自電漿及/或腐蝕性化學物質(如,富氟環境及/或富氯環境)的侵蝕及/或腐蝕。可策略性地選擇第一保護層,使得用於第一保護層的CTE值與用於陶瓷材料的CTE值基本相似,或在合適的差值之內(如,在2.5 10-6 / 之內),以防止在基板處理期間第一保護層的裂開。藉由策略性地選擇陶瓷材料和第一保護層以避免CTE失配,本揭露書的接地屏蔽件可用於偏壓的高溫應用中。
在另一個實施例中,接地屏蔽件可由導電材料構成。第一保護層可沉積在接地屏蔽件的板的至少上表面上。第二保護層可沉積在第一保護層上。接地屏蔽件可能能夠為加熱器提供接地功能,而不會被高氧化或腐蝕性處理環境所損壞。第一保護層和第二保護層可保護接地屏蔽件免受高氧化或腐蝕性環境的影響。可策略性地選擇導電材料和第一保護層,使得用於導電材料的CTE值和用於第一保護層的CTE值基本相似,或在適當的差值之內(2.5 10-6 / ),以避免在基板處理期間第一保護層的裂開。藉由策略性地選擇導電材料和第一保護層以避免CTE失配,本揭露書的接地屏蔽件可用於偏壓的高溫應用中。
1 顯示了具有一個或多個腔室部件的處理腔室100(如,半導體處理腔室)的截面圖。處理腔室100可用於(例如)半導體製造處理、顯示器製造處理、微機電系統(MEMS)製造處理、光伏製造處理等。例如,處理腔室100可為用於電漿蝕刻器或電漿蝕刻反應器、電漿清潔器、化學氣相沉積(CVD)反應器、物理氣相沉積(PVD)反應器、原子層沉積(ALD)反應器等的腔室。
在一個實施例中,處理腔室100可包括腔室主體102,其包圍內部容積106。腔室主體102可由鋁、不銹鋼或其他合適的材料製成。腔室主體102通常包括蓋104、側壁108和底部110。外襯層116可鄰近側壁108設置,以保護腔室主體102。在一個實施例中,外襯層116可由氧化鋁製成。
排氣埠126可界定在腔室主體102中,且可將內部容積106耦合到泵送系統128。泵送系統128可包括一個或多個泵和節流閥,用以抽空和調節處理腔室100的內部容積106的壓力。
氣體板158可耦接至處理腔室100,以通過一個或多個中間部件將處理及/或清潔氣體提供給內部容積106。
可用以在處理腔室100中處理基板的處理氣體的示例包括含鹵素的氣體(諸如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF、Cl2 、CCl4 、BCl4 及SiF4 等)及其他氣體(諸如O2 、NH3 、H2 或N2 O)。載氣的示例包括N2 、He、Ar和對處理氣體呈惰性的其他氣體(如,非反應性氣體)。
處理腔室100可包括多個噴頭、面板及/或氣體分配板,它們可串聯佈置。噴頭160可界定在腔室主體102中,並且可耦接到蓋104及/或接近蓋104。替代地,蓋104可由噴頭160代替。噴頭160可如圖所示定位在處理腔室100內,並且可包括或定位在蓋104和基板支撐組件148之間。在實施例中,噴頭160可為或包括金屬的或導電的部件,金屬的或導電的部件是塗佈的,調節的或以其他方式處理的材料。示例性材料可包括金屬(包括鋁)及金屬氧化物(包括氧化鋁)。取決於所使用的前驅物,或在處理腔室100內執行的處理,噴頭160可為可提供結構穩定性以及導電性的任何其他金屬。
噴頭160可界定一個或多個孔洞,以促進通過噴頭160的前驅物及/或電漿的均勻分佈。這些孔洞可包括在多種配置和圖案中,且其特徵可在於可提供如可能期望的前驅物及/或電漿分佈的多種幾何形狀。在實施例中,噴頭160可與功率源電耦合。例如,噴頭160可與RF源170耦合。當操作時,RF源170可向噴頭160提供電流,從而允許在噴頭160和另一個部件之間形成電感耦合電漿(ICP)或導電耦合電漿(CCP)。
腔室主體102還可包括面板162。面板162可類似於噴頭160。面板162可位於噴頭160和基板支撐組件148之間的處理腔室100內。面板162可包括穿過面板162而界定的複數個通道或孔洞。面板162可為或包括絕緣材料。在一個實施例中,面板162可為石英或可與含氧電漿流出物具有減少的相互作用(諸如與金屬氧化物部件相比對於氧或氧自由基重組具有減少衝擊)的任何材料。
第二噴頭164可界定在腔室主體102中,並且可用作帶有噴頭160的附加電極。噴頭164可包括之前討論的噴頭160的任何特徵或特性。在其他實施例中,噴頭164的某些特徵可與噴頭160相異。例如,噴頭164可與電接地172耦合,這可允許在噴頭160和噴頭164之間產生ICP或CCP。在一個實施例中,ICP或CCP可在噴頭160和面板162之間產生。噴頭164可在結構內界定孔洞,以允許在處理期間將前驅物或電漿流出物輸送至基板144。
氣體分配組件166可任選地界定在腔室主體102中。在一些實施例中,在噴頭164和基板支撐組件148之間可不存在任何部件,且噴頭164可允許在處理期間將前驅物及/或電漿流出物分配到基板144中。氣體分配組件166可位於腔室主體102內,在基板支撐組件148和蓋104上方,以及在基板支撐組件148和噴頭164之間。氣體分配組件166可配置成將第一和第二前驅物皆輸送到基板支撐組件148。
在一個實施例中,氣體分配組件166可配置成具有兩個或更多個氣體饋送通道,以允許由噴頭164輸送的前驅物及/或電漿穿過氣體分配組件166並進入基板支撐組件148。在另一個實施例中,氣體分配組件166可允許來自另一源(如,遠端電漿源(未顯示))的前驅物及/或電漿穿過氣體分配組件166並進入基板支撐組件148。
第二面板168可界定在腔室主體102中。在一些實施例中,面板168可提供與面板162或噴頭160、164類似的功能,並包括類似的特性。基板支撐組件148可與RF源176耦合。特別地,基板支撐組件148的接地屏蔽件可與RF源176耦合。面板168可與電接地174耦合。當操作時,RF源176可向基板支撐組件148提供電流,從而允許在面板168和基板144之間形成偏壓的電漿。在實施例中,面板168可與電接地174耦合。
基板支撐組件148可設置在噴頭及/或氣體擴散器160下方的處理腔室100的內部容積106中。基板支撐組件148可在處理期間保持基板144。在一個實施例中,基板支撐組件148可包括接地屏蔽件149和加熱器150。加熱器150可包括加熱器主體,加熱器主體包括板或盤及從盤或板的下表面延伸的軸(如,圓柱形軸)。接地屏蔽件149可包括接地屏蔽件主體,接地屏蔽件主體包括板或盤及從板或盤的下表面延伸的軸。接地屏蔽件149的軸可為空心軸,且加熱器150的軸可設置在接地屏蔽件149的空心軸的內側。接地屏蔽件主體可另外包括從板或盤的上表面(如,在板的周邊處的環)延伸的凸起邊緣。加熱器150的板可放置在接地屏蔽件149的凸起邊緣的內側,且接地屏蔽件149的凸起邊緣可保護加熱器150的側壁。
在一個實施例中,加熱器150由AlN(氮化鋁)構成。替代地,加熱器150可由SiC(碳化矽)或其他材料構成。在一個實施例中,加熱器150可包括設置在加熱器主體中的一個或多個電阻加熱元件。在一個實施例中,一個或多個電阻加熱元件可設置在板上。
在處理期間,加熱器150可將基板144加熱到450℃、500℃或更高的工作溫度。傳統的接地屏蔽件由鋁或不銹鋼構成,並包括電漿噴塗的Y2 O3 (氧化釔(yttria)或氧化釔(yttrium oxide))塗層。然而,傳統的接地屏蔽件的鋁或不銹鋼的CTE值比Y2 O3 的CTE高得多。例如,鋁具有約21-24 10-6 /℃的CTE,不銹鋼具有約7.6和17.3 10-6 /℃之間的CTE,且Y2 O3 具有7.2 10-6 /℃的CTE。CTE值的這種不匹配會導致Y2 O3 塗層在450ºC或更高的工作溫度下裂開並從傳統的接地屏蔽件的主體分層。
因此,在一些實施例中,至少接地屏蔽件149的板由塊狀陶瓷材料構成。接地屏蔽件149的軸可為相同的陶瓷材料,或可為與用於板的材料不同的材料。可用於接地屏蔽件的板(及任選地接地屏蔽件的軸)的示例陶瓷材料可包括Al2 O3 (氧化鋁)、AlN、Si (矽)、SiC、SiN (氮化矽)、Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Y5 O4 F7 、Y2 O3 、Er2 O3 、Gd2 O3 、Gd3 Al5 O12 (GAG)、YF3 、YAlO3 (YAP)、Nd2 O3 、Er4 Al2 O9 (EAM)、Er3 Al5 O12 (EAG)、ErAlO3 (EAP)、Gd4 Al2 O9 (GAM)、GdAlO3 (GAP)、Nd3 Al5 O12 、Nd4 Al2 O9 、NdAlO3 或由Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體構成的陶瓷化合物。
為了提供RF接地(從而起到接地屏蔽件的作用),接地屏蔽件149應該包括導電部件。因此,接地屏蔽件149可在接地屏蔽件150的板的至少上表面上包括導電層151。導電層151可由Mo(鉬)、W(鎢)、Ta(鉭)、Ni(鎳)、Hastelloy®(鎳、鉬和鉻的合金)、Inconel®(鎳、鉻和鐵的合金)、Ti(鈦)、Ti合金(如TC4)、ITO(氧化銦錫)等構成。如於此所用,術語「導電層」是指在約20℃至約800℃之間的溫度下具有5Ω∙cm或更小的電阻率的層。導電層151可為固體層或可為圖案化或印刷層(如,具有一種或多種圖案,諸如網狀圖案、網格圖案、靶心圖案(bullseye)、螺旋圖案等)。導電層151可在一個或多個點處接地,如下文進一步描述的。由於接地屏蔽件149提供RF接地,因此接地屏蔽件149可具有多個用以接地的路徑,以最小化寄生電容及/或電感,並在接地屏蔽件149的各個點處以感興趣的頻率提供等效的低阻抗。
導電層151塗佈有第一保護層152。第一保護層152可比導電層151厚,且可由具有接近於接地屏蔽件149的主體的CTE的CTE的材料構成。第一保護層152可由導電或不導電的金屬、合金、陶瓷和其他複合材料構成。第一保護層152可具有良好的抗氧化性,且可在450℃或更高的溫度下抗電漿。在實施例中,第一保護層152可由Al2 O3 、Y2 SiO5 、Y2 Si2 O7 、Ta、鈦合金(如,TC4)、SiC、Y2 O3 、Y4 Al2 O9 、Y3 Al5 O12 、YAlO3 、Y5 O4 F7 、石英、Si3 N4 、AlN、AlON (氧氮化鋁)、TiO2 (二氧化鈦)、ZrO2 (氧化鋯)、TiC (碳化鈦)、ZrC (碳化鋯)、TiN (氮化鈦)、TiCN (氮化鈦碳)、Y2 O3 穩定的ZrO2 (YSZ)構成。
第一保護層152可塗佈有第二保護層153。第二保護層153可為密封在第一保護層152中的任何裂縫及/或孔的薄層。第二保護層153可由Y2 SiO5 、Y2 Si2 O7 、Ta、鈦合金(如,TC、TC4)、SiC、Y4 Al2 O9 、Y3 Al5 O12 、YAlO3 、Y5 O4 F7 、石英、Si3 N4 、AlN、AlON、TiO2 、ZrO2 、TiC、ZrC、TiN、TiCN、Y2 O3 穩定的ZrO2 (YSZ) 等等。第二保護層153也可由陶瓷複合物(諸如分佈在Al2 O3 基體中的Y3 Al5 O12 、Y2 O3 -ZrO2 固溶體或SiC-Si3 N4 固溶體)構成。
用於第一保護層152及/或第二保護層153的其他示例組合物包括Y2 O3 、Al2 O3 、Er2 O3 、YF3 、Er3 Al5 O12 、Y-O-F (如,Y5 O4 F7 )、Er3 Al5 O12 、Er4 Al2 O9 、ErAlO3 、Y2 O3 -ZrO2 固溶體及包含Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體的陶瓷化合物。
參考Y2 O3 -ZrO2 的固溶體,第一保護層152及/或第二保護層153可包括濃度為10-90mol比(mol%)的Y2 O3 和濃度為10-90mol%的ZrO2 。在一些示例中,Y2 O3 -ZrO2 的固溶體可包括10-20mol%的Y2 O3 和80-90mol%的ZrO2 ,可包括20-30mol%的Y2 O3 和70-80mol%的ZrO2 ,可包括30-40mol%的Y2 O3 和60-70mol%的ZrO2 ,可包括40-50mol%的Y2 O3 和50-60mol%的ZrO2 ,可包括60-70mol%的Y2 O3 和30-40%的ZrO2 。可包括70-80mol%的Y2 O3 和20-30mol%的ZrO2 ,可包括80-90 mol%的Y2 O3 和10-20mol%的ZrO2 等。
參考包含Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體的陶瓷化合物,在一個實施例中,陶瓷化合物包括62.93mol%的Y2 O3 、23.23mol%的ZrO2 和13.94mol%的Al2 O3 。在另一個實施例中,陶瓷化合物可包括50-75mol%的範圍中的Y2 O3 、10-30mol%的範圍中的ZrO2 和10-30mol%的範圍中的Al2 O3 。在另一個實施例中,陶瓷化合物可包括在40-100mol%的範圍中的Y2 O3 、在0.1-60mol%的範圍中的ZrO2 和在0.1-10mol%的範圍中的Al2 O3 。在另一個實施例中,陶瓷化合物可包括在40-60mol%的範圍中的Y2 O3 、在30-50mol%的範圍中的ZrO2 和在10-20mol%的範圍中的Al2 O3 。在另一個實施例中,陶瓷化合物可包括在40-50mol%的範圍中的Y2 O3 、在20-40mol%的範圍中的ZrO2 和在20-40mol%的範圍中的Al2 O3 。在另一個實施例中,陶瓷化合物可包括在60-80mol%的範圍中的Y2 O3 、在0.1-10mol%的範圍中的ZrO2 和在20-40mol%的範圍中的Al2 O3 。在另一個實施例中,陶瓷化合物可包括在40-60mol%的範圍中的Y2 O3 、在0.1-20mol%的範圍中的ZrO2 和在30-40mol%的範圍中的Al2 O3 。在其他實施例中,其他分佈也可用於陶瓷化合物。
在一個實施例中,包括Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 和SiO2 的組合的替代陶瓷化合物被用作接地屏蔽件149的接地屏蔽件主體。在一個實施例中,替代陶瓷化合物可包括在40-45mol%的範圍中的Y2 O3 、在0-10mol%的範圍中的ZrO2 、在35-40mol%的範圍中的Er2 O3 、在5-10mol%的範圍中的Gd2 O3 和在5-15mol%的範圍中的SiO2 。在另一個實施例中,替代的陶瓷化合物可包括在30-60mol%的範圍中的Y2 O3 、在0-20mol%的範圍中的ZrO2 、在20-50mol%的範圍中的Er2 O3 、在0-10mol%的範圍中的Gd2 O3 和在0-30mol%的範圍中的SiO2 。在第一示例中,替代的陶瓷化合物包括40mol%的Y2 O3 、5mol%的ZrO2 、35mol%的Er2 O3 、5mol%的Gd2 O3 和15mol%的SiO2 。在第二示例中,替代的陶瓷化合物包括45mol%的Y2 O3 、5mol%的ZrO2 、35mol%的Er2 O3 、10mol%的Gd2 O3 和5mol%的SiO2 。在第三示例中,替代的陶瓷化合物包括40mol%的Y2 O3 、5mol%的ZrO2 、40mol%的Er2 O3 、7mol%的Gd2 O3 和8mol%的SiO2 。在一個實施例中,接地屏蔽件主體可由包括70-75mol%的Y2 O3 和25-30mol%的ZrO2 的材料構成。在進一步的實施例中,接地屏蔽件200主體由包括73.13mol%的Y2 O3 和26.87mol%的ZrO2 的名稱為YZ-20的材料構成。
任何前述多孔塗層可包括痕量的其他材料,諸如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物。
2 描繪了接地屏蔽件200的一個實施例的爆炸圖。接地屏蔽件200可與 1 中所描繪的接地屏蔽件149對應。接地屏蔽件可包括板204和從板204的上表面延伸的凸起邊緣206。在一個實施例中,接地屏蔽件200進一步包括從板204的下表面延伸的空心軸214。空心軸214包括內壁216和外壁218。
在一個實施例中,板204可具有對應於大約要保護的加熱器的形狀的形狀。例如,加熱器的頂部可為圓形的,且板204可具有圓盤形狀,如圖所示。板204可具有在約0.20英寸至約2.00英寸之間的厚度。
在一個實施例中,板204可具有穿過板204而鑽出的複數個孔。複數個孔可填充有導電塞。導電塞可為板204的上表面上的導電層提供接地的路徑。下面參考 6 更詳細地討論導電塞(如,通孔)。
凸起邊緣206可從板204的上表面延伸,且可包括邊緣內壁208、邊緣上表面210和邊緣外壁212。邊緣內壁208可具有在約0.20英寸到約2.00英寸之間的高度。邊緣上表面210可具有在約0.05英寸到約0.50英寸之間的寬度。邊緣外壁212可具有在約0.20英寸至約4.00英寸之間的高度。在一實施例中,凸起邊緣206可具有大約對應於將被接地的加熱器的形狀的形狀。例如,邊緣內壁208可具有與加熱器的圓盤的高度相對應的高度,加熱器將由凸起邊緣206環繞。
在另一實施例中,接地屏蔽件200可進一步包括從板204的下表面延伸的空心軸214。空心軸214可包括內壁216和外壁218。在一個實施例中,空心軸214可具對應於要接地的加熱器的形狀的形狀。例如,內壁216可具有對應於或略大於加熱器的圓柱軸的直徑的直徑。
在一個實施例中,接地屏蔽件200的板204和空心軸214可為單個部件(如,單個燒結陶瓷主體)。替代地,板204可為與空心軸214不同的部件。在這樣的實施例中,板204可耦接至空心軸214(諸如藉由螺栓或其他緊固件)。在一個實施例中,空心軸214可由兩個部分構成,兩個部分可為相同或接近相同的部分。這些部分可圍繞加熱器的軸聚集在一起(如,用螺栓固定在一起)。空心軸214的組合部分可接著固定到板204。
在一個實施例中,接地屏蔽件200可包括塊狀燒結陶瓷材料。接地屏蔽件200可具有以下組成的一種或多種:Al2 O3 、AlN、Si、SiC、SiN、ZrO2 、Y3 Al5 O12 、Y4 Al2 O9 、Y5 O4 F7 、Y2 O3 、Er2 O3 、Gd2 O3 、Gd3 Al5 O12 、YF3 、Nd2 O3 、Er4 Al2 O9 、Er3 Al5 O12 (EAG)、ErAlO3 、Gd4 Al2 O9 、GdAlO3 、Nd3 Al5 O12 、Nd4 Al2 O9 或NdAlO3
在一個實施例中,板204和凸起邊緣206可能不具有與空心軸214相同的組成。例如,板204和凸起邊緣206可由任何上述塊狀燒結陶瓷材料構成,而空心軸214可由更堅固的金屬材料(諸如不銹鋼或鋁)構成。
在一個實施例中,接地屏蔽件200由塊狀燒結陶瓷材料構成,且進一步包括沉積在板204的至少上表面上的導電層220和沉積在至少導電層220上的第一保護層222 。
導電層220可具有Mo、W、Ta、Ti、TC4、Hastelloy®、Inconel®、ITO或在高溫環境中穩定的另一種導電材料的一種或多種的組成。在一個實施例中,導電層220具有在處理溫度(諸如450℃或更高的溫度)下提供良好的抗氧化性的材料的組成(如,Hastelloy®)。可藉由傳統的大氣電漿噴塗、低壓電漿噴塗(LPPS)、真空電漿噴塗(VPS)、絲網印刷、濕式化學沉積(如,溶膠凝膠)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氣溶膠沉積、蒸發、原子層沉積(ALD)、電漿增強化學氣相沉積(PEVCVD)、離子輔助沉積(IAD)、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積導電層220。導電層220可具有在約0.05μm和2.00mm之間的厚度。
第一保護層222可由抗電漿的陶瓷材料構成。第一保護層可具有以下組成:Al2 O3 、Y2 SiO5 、Y2 Si2 O7 、Ta、Ta2 O5 、鈦合金(如,TC4)、SiC、Y2 O3 、Y4 Al2 O9 、Y3 Al5 O12 、YAlO3 、Y5 O4 F7 、石英、Si3 N4 、AlN、AlON、TiO2 、ZrO2 、TiC、ZrC、 TiN、TiCN、Y2 O3 穩定的ZrO2 等。第一保護層222也可由陶瓷複合物(諸如分佈在Al2 O3 基質中的Y3 Al5 O12 、Y2 O3 -ZrO2 固溶體或SiC-Si3 N4 固溶體)構成。第一保護層222也可為包括含氧化釔(也稱為氧化釔(yttria)和Y2 O3 )的固溶體的陶瓷複合物。例如,第一保護層222可由(由化合物Y4 Al2 O9 和固溶體Y2 -xZrx O3 (Y2 O3 - ZrO2 固溶體)構成的)陶瓷複合物構成。應注意純的氧化釔及含氧化釔的固溶體可摻雜有ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物的一種或多種。
在一個實施例中,第一保護層222可由包括化合物Y4 Al2 O9 和固溶體Y2 -xZrx O3 (Y2 O3 - ZrO2 固溶體)的複合陶瓷塗層構成。在進一步的實施例中,第一保護層222的組成可包括62.93mol%的Y2 O3 、23.23mol%的ZrO2 和13.94mol%的Al2 O3 。在另一個實施例中,複合陶瓷塗層可包括在50-75mol%範圍中的Y2 O3 、在10-30mol%範圍中的ZrO2 和在10-30mol%範圍中的Al2 O3 。在其他實施例中,其他分佈也可用於複合陶瓷塗層。在一個實施例中,複合陶瓷是可與ZrO2 、Al2 O3 或它們的組合的一種或多種混合的含氧化釔的固溶體。
在一個實施例中,第一保護層222可由(由35mol%的Y2 O3 、65mol%的Al2 O3 構成的)釔鋁石榴石(YAG)構成。在另一實施例中,第一保護層222可由(由30-40mol%的Y2 O3 和60-70mol%的Al2 O3 構成的)YAG構成。
第一保護層222也可由以上討論於此的其他材料構成。
第一保護層222可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、氣溶膠沉積、蒸發、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積。在一個實施例中,第一保護層222可具有在約50.00nm與約2.00mm之間的厚度。在另一個實施例中,第一保護層222可具有在約1.00μm和約2.00mm之間的厚度。在一個實施例中,第一保護層222可具有裂縫和約0.10-10.0%(如,約0.10-1%、1-5%、1-3%、3-5%、5-7%等)的孔隙率。
在一個實施例中,第一保護層222可由Al2 O3 構成並且可藉由傳統的大氣電漿噴塗、LPPS或VPS任一者來沉積。在另一個實施例中,第一保護層222可具有大約為零的孔隙率(如,小於0.1%的孔隙率)。
在一個實施例中,第一保護層222被拋光至一定的平滑度。第一保護層222可藉由研磨機或化學機械平坦化(CMP)機器拋光。研磨機是具有研磨盤的機器,研磨盤研磨及/或拋光製品的表面。研磨機或CMP機器可研磨第一保護層222的表面,以減小層的粗糙度及/或減小層的厚度。在一個實施例中,第一保護層222可被拋光以具有小於0.10微米或更小的平均粗糙度。
在一個實施例中,可適當地選擇用於第一保護層222的材料,使得用於第一保護層222的CTE匹配陶瓷接地屏蔽件200的CTE,以便最小化在陶瓷接地屏蔽件200和第一保護層222之間的CTE不匹配,並避免在處理期間可能損壞第一保護層222的熱機械應力。在一個實施例中,用於第一保護層222的CTE具有在用於陶瓷接地屏蔽件200(如,用於陶瓷接地屏蔽件200的板)的CTE的大約2.5 10-6 /℃內的值差異。在一個實施例中,陶瓷接地屏蔽件200可由Al2 O3 構成,且第一保護層222可由具有CTE差異在用於陶瓷接地屏蔽件200的CTE的大約2.5 10-6 /℃內的材料構成。
在進一步的實施例中,接地屏蔽件200可由塊狀燒結陶瓷材料構成,進一步包括沉積在板204的至少上表面上的導電層220、沉積在至少導電層220上的第一保護層222和沉積在至少第一保護層222上的第二保護層224。
導電層220可由於此揭露的任何導電材料構成。第一保護層222可由於此揭露的任何陶瓷材料構成。
第二保護層224可由Y2 O3 、Er2 O3 、Ta2 O5 、YF3 、Al2 O3 、AlF3 、ZrO2 及其組合構成。第二保護層224也可由Y2 SiO5 、Y2 Si2 O7 、Ta、鈦合金(如,TC 4)、SiC、Y4 Al2 O9 、Y3 Al5 O12 、YAlO3 、Y5 O4 F7 、石英、Si3 N4 、AlN、AlON、TiO2 、ZrO2 、TiC、ZrC、TiN、TiCN、 Y2 O3 穩定的ZrO2 等構成。第二保護層224也可為陶瓷複合物,諸如分佈在Al2 O3 基質中的Y3 Al5 O12 、Y2 O3 -ZrO2 固溶體或SiC- Si3 N4 固溶體。第二保護層224也可為包括含氧化釔(也稱為氧化釔(yttria)和Y2 O3 )的固溶體的陶瓷複合物。例如,第二保護層224可為由化合物Y4 Al2 O9 和固溶體Y2 -xZrx O3 (Y2 O3 - ZrO2 固溶體)構成的陶瓷複合物。應注意純的氧化釔及含氧化釔的固溶體可摻雜有ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物的一種或多種。
在一個實施例中,第二保護層224是由化合物Y4 Al2 O9 和固溶體Y2 -xZrx O3 (Y2 O3 - ZrO2 固溶體)構成的複合陶瓷塗層。在進一步的實施例中,第二保護層224的組成可包括62.93mol%的Y2 O3 、23.23mol%的ZrO2 和13.94mol%的Al2 O3 。在另一個實施例中,第二保護層224可包括在50-75mol%的範圍中的Y2 O3 、在10-30mol%的範圍中的ZrO2 和在10-30mol%的範圍中的Al2 O3 。在其他實施例中,其他分佈也可用於複合陶瓷塗層。在一個實施例中,複合陶瓷是可與ZrO2 、Al2 O3 或它們的組合的一種或多種混合的含氧化釔的固溶體。
在一個實施例中,第二保護層224可由(由35mol%的Y2 O3 、65mol%的Al2 O3 構成的)YAG構成。在另一個實施例中,第二保護層224可為由(由30-40mol%的Y2 O3 和60-70mol%的Al2 O3 構成的)YAG構成。第二保護層224可具有在0.1%與10.0%之間的孔隙率。
第二保護層224也可由以上參考第一保護層224而揭露於此的任何其他材料構成。
第二保護層224可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、氣溶膠沉積、蒸發、PEVCVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積。在一個實施例中,第二保護層224可藉由ALD沉積。
在一個實施例中,第二保護層224可具有在約50.00nm與約2.00mm之間或更厚的厚度。在另一個實施例中,第二保護層224可具有在約1.00μm和約2.00mm之間的厚度。第二保護層224可為保形層,且可具有大約為零的孔隙率(如,小於0.1%的孔隙率)。在一個實施例中,第一保護層222可具有裂縫和約0.10-10.0%(如,約0.10-1%、1-5%、1-3%、3-5%、5-7%等)的孔隙率。第二保護層224可為密封第一保護層222中的孔隙及/或裂縫的頂部塗層。因為第二保護層224非常薄,第二保護層224的CTE可能與第一保護層222或板204的CTE不匹配。
在一些實施例中,在第一保護層222之前沉積第二保護層224。在這樣的實施例中,第二保護層224可保護導電層220免受穿透第一保護層222中的裂縫及/或孔隙的氣體及/或電漿的影響。
根據一個實施例,導電層220、第一保護層222和第二保護層224顯示為覆蓋板204的上表面。在替代實施例中,導電層220、第一保護層222及/或第二保護層224的一個或多個可另外覆蓋邊緣內壁208、邊緣上表面210、邊緣外壁212、軸內部216、軸外部218、板204的下表面及/或接地屏蔽件200的其他表面。在這些實施例中,導電層220可提供接地的替代路徑,以促進接地屏蔽件200的功能。例如,導電層220可覆蓋軸內壁216或軸外部218。下面參考 3A-5E 顯示一些實施例。
在一個實施例中,用於第一保護層222的材料可根據對氯氣處理環境的抵抗性來適當地選擇。例如,第一保護層222可由鈦合金(如,TC4)、Hastelloy®或具有目標CTE值的任何其他耐氯材料構成。在一個實施例中,用於第二保護層224的材料也可根據對氯氣處理環境的抵抗性來適當地選擇,且可由上述具有目標CTE值的任何耐氯材料構成。
在一個實施例中,用於第一保護層222的材料可根據對氟氣處理環境的抵抗性來適當地選擇。例如,第一保護層222可由YF3 、AlF3 、Er2 O3 或任何其他耐氟材料構成。在一個實施例中,用於第二保護層224的材料也可根據對氟氣處理環境的抵抗性來適當地選擇,且可由上述具有目標CTE值的任何耐氟材料構成。
在進一步的實施例中,接地屏蔽件200可進 一步包括沉積在第二保護層224上的第三保護層(未顯示)。第三保護層可由Y2 O3 、Er2 O3 、Ta2 O5 、YF3 、Al2 O3 、AlF3 、ZrO2 及其組合構成。在一個實施例中,第三保護層可具有裂縫和約0.10-10.0%(如,約0.10-1%、1-5%、1-3%、3-5%、5-7%等)的孔隙率。在另一個實施例中,第三保護層可為保形層並且可具有大約為零的孔隙率(如,小於0.1%的孔隙率)。
第三保護層可藉由傳統的大氣電漿噴霧、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積。第三保護層可具有約50nm至5μm或更厚的厚度。第三保護層可為保形層。
在一個實施例中,接地屏蔽件200可由導電材料構成,並且可進一步包括沉積在板204的至少上表面上的第一保護層222和沉積在第一保護層222上的第二保護層224。在這樣的實施例中,可省略導電層220。
導電材料可具有以下組成的一種或多種:Mo、W、Ta、Hastelloy®、Inconel®、ITO、Si或SiC,或在高溫環境中穩定的任何其他材料。在一個實施例中,將導電金屬基質複合物(MMC)材料用於接地屏蔽件200。MMC材料包括金屬基質和嵌入並分散在整個基質中的增強材料。金屬基質可包括單一金屬或兩種或更多種金屬或金屬合金。可使用的金屬包括但不限於鋁(Al)、鎂(Mg)、鈦(Ti)、鈷(Co)、鈷鎳合金(CoNi)、鎳(Ni)、鉻(Cr)、金(Au)、銀(Ag)或其各種組合。可選擇增強材料以為MMC提供所期望的結構強度,並且還可選擇增強材料以為MMC的其他性質(例如,諸如導熱率和CTE)提供所期望的值。可使用的增強材料的示例包括Si、碳(C)或SiC,但是也可使用其他材料。
第一保護層222和第二保護層224可由以上討論於此的任何適當的材料構成。
在一個實施例中,可適當地選擇用於第一保護層222的材料,使得用於第一保護層222的CTE與導電接地屏蔽件200的CTE相匹配,以使在導電接地屏蔽件200和第一保護層222之間的CTE不匹配最小化並避免在處理期間可能損壞第一保護層222的熱機械應力。在另一個實施例中,可適當地選擇用於第一保護層222的材料,使得用於第一保護層222的CTE與導電接地屏蔽件220的CTE基本匹配(如,在2.510-6 /℃之內)。在一個實施例中,接地屏蔽件200的主體可由適當選擇的材料構成,使得用於接地屏蔽件200的主體的CTE與Al2 O3 (或上面列出的用於第一保護層222的其他陶瓷材料之一者)的CTE基本匹配,且第一保護層222可由Al2 O3 (或上面列出的用於第一保護層的其他材料之一者)構成。例如,接地屏蔽件200的主體可由具有與Al2 O3 的CTE匹配的CTE的鈦合金(如,TC 4)構成,且第一保護層222由Al2 O3 構成。在另一個實施例中,接地屏蔽件200的主體可由適當選擇的材料構成,使得用於接地屏蔽件200的主體的CTE可在Al2 O3 的CTE的2.5 10-6 /℃內。在另一實施例中,接地屏蔽件200的主體可由SiC構成,且第一保護層222由Y2 SiC或Y2 Si2 O7 構成。
3A3D 顯示了包括 2 所示的具有導電層220和第一保護層222的結構的陶瓷接地屏蔽件200的各個實施例的截面側視圖。 3A 描繪了一個實施例,其中導電層302可沉積在板204的上表面上。第一保護層304可沉積在導電層302上。 3B 描繪了替代實施例,其中導電層302可進一步沉積在邊緣內壁208和邊緣上表面210上。第一保護層304可沉積在導電層302上。 3C 描繪了另一替代實施例,其中導電層302可沉積在板204的上表面上和空心軸214的內壁216上。第一保護層304可沉積在導電層302上。 3D 描繪了另一個替代實施例,其中導電層302可沉積在板204的上表面、邊緣內壁208、邊緣上表面210、邊緣外壁212、板204的下表面和空心軸214的外壁218上。
在進一步的實施例中,導電層302和第一保護層304可完全覆蓋接地屏蔽件200的每個表面,或除了在所示的示例中這些層顯示成覆蓋的接地屏蔽件200的任何表面之外,還可覆蓋邊緣外壁212及/或板204的下表面。在進一步的實施例中,導電層302可覆蓋所示的接地屏蔽件200的任何表面,但是第一保護層304可完全覆蓋接地屏蔽件200的每個表面,或除了在所示的示例中第一保護層304顯示為覆蓋的接地屏蔽件200的任何表面之外,還可替代地覆蓋邊緣外壁212及/或板204的下表面。
3A3D 所描繪的任何實施例中,第一保護層304可完全覆蓋導電層302,使得導電層302可不曝露於第1圖所描繪的處理腔室的處理環境。這允許導電層302在高氧化及/或腐蝕性環境的存在中避免腐蝕。另外,在 3A -3D 中所描繪的任何實施例中,第一保護層304可沉積在接地屏蔽件200的未被導電層302覆蓋的任何表面上。例如,導電層302可沉積在板204的上表面上,且第一保護層304可沉積在導電層302和邊緣內壁208上。
在未顯示的另一個實施例中,接地屏蔽件200可由陶瓷材料構成。導電層302可沉積在板204的上表面、邊緣內壁208,邊緣上表面210和邊緣外壁212上。第一保護層304可沉積在導電層302上。在進一步的實施例中,導電層302也可沉積在板204的下表面上。第一保護層304可沉積到導電層302上。
4A4D 顯示了包括 2 所示的具有導電層220、第一保護層222和第二保護層224的結構的陶瓷接地屏蔽件200的各個實施例的截面側視圖。 4A 描繪了一個實施例,其中導電層402可沉積在板204的上表面上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。 4B 描繪了替代實施例,其中導電層402可沉積在板204的上表面、邊緣內壁208和邊緣上表面210上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。第4C圖描繪了另一替代實施例,其中導電層402可沉積在板204的上表面和空心軸214的內壁216上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。 4D 描繪了另一替代實施例,其中導電層402可沉積在板204的上表面、邊緣內壁208、邊緣上表面210、邊緣外壁212、板204的下表面及空心軸214的外壁218上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。在上述所有實施例中,第三保護層(未顯示)可沉積在第二保護層406上。
在進一步的實施例中,導電層402、第一保護層404和第二保護層406可完全覆蓋接地屏蔽件的每個表面,或除了在所示的示例中這些層顯示成覆蓋的接地屏蔽件200的任何表面之外,還可覆蓋邊緣外壁212及/或板204的下表面。在進一步的實施例中,導電層402可覆蓋所示的接地屏蔽件200的任何表面,但是第一保護層404和第二保護層406可完全覆蓋接地屏蔽件200的每個表面,或除了在所示的示例中第一保護層404和第二保護層406顯示成覆蓋的接地屏蔽件200的任何表面之外,還可覆蓋邊緣外壁212和板204的下表面。在進一步的實施例中,導電層402和第一保護層404可覆蓋所示的接地屏蔽件200的任何表面,但是第二保護層406可完全覆蓋接地屏蔽件200的每個表面,或除了在所示的示例中第二保護層406顯示成覆蓋的接地屏蔽件200的任何表面之外,還可替代地覆蓋邊緣外壁212及/或板204的下表面。
4A4D 所示的任何實施例中,第一保護層404及/或第二保護層406可完全覆蓋導電層402,使得導電層402可不被曝露在 1 所描繪的處理環境中層402可不被曝露。這允許導電層402避免在電漿高氧化及/或腐蝕性環境的存在中腐蝕。另外,在 4A-4D 所描繪的任何實施例中,第一保護層404及/或第二保護層406可沉積在不包括導電層402的接地屏蔽件200的表面上。例如,導電層402可沉積在板204的上表面上,且第一保護層404可沉積在導電層402和邊緣內壁208上。另外,第二保護層406可沉積在第一保護層404、邊緣內壁208上,且也可沉積在接地屏蔽件200的附加表面上。
在未顯示的另一個實施例中,接地屏蔽件200可由陶瓷材料構成。導電層402可沉積在板204的上表面、邊緣內壁208、邊緣上表面210和邊緣外壁212上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。在進一步的實施例中,導電層402也可沉積在板204的下表面上。第一保護層404可沉積在導電層402上。第二保護層406可沉積在第一保護層404上。
5A-5E 顯示了包括 2 所示的具有第一保護層222和第二保護層224的結構的導電接地屏蔽件200的各個實施例的截面側視圖。 5A 描繪了一個實施例,其中第一保護層502可沉積在板204的上表面上。第二保護層504可沉積在第一保護層502上。 5B 描繪了替代實施例,其中第一保護層502可沉積在板204的上表面、邊緣內壁208和邊緣上表面210上。第二保護層504可沉積在第一保護層502上。 5C 描繪了另一替代實施例,其中第一保護層502可沉積在板204的上表面和空心軸214的內壁216上。第二保護層504可沉積在第一保護層502上。 5D 描繪了另一替代實施例,其中第一保護層502可沉積在板204的上表面、邊緣內壁208、邊緣上表面210、邊緣外壁212、板204的下表面及空心軸214的外壁218上。第二保護層504可沉積在第一保護層502上。 5E 描繪了另一替代實施例,其中第一保護層502可沉積在接地屏蔽件200的所有表面上。第二保護層504可沉積在第一保護層502上。第一保護層502及/或第二保護層504可沉積在接地屏蔽件200的所有表面上,以避免曝露於 1 中所描繪的處理環境中。這允許接地屏蔽件200避免在高氧化及/或腐蝕性環境的存在中腐蝕。在上述所有實施例中,第三保護層(未顯示)可沉積在第二保護層504上。
在進一步的實施例中,第一保護層502和第二保護層504可完全覆蓋接地屏蔽件200的表面,或除了在所示的示例中這些層顯示成覆蓋的接地屏蔽件200的任何表面之外,還可覆蓋邊緣外壁212及/或板204的下表面。在進一步的實施例中,第一保護層502可覆蓋所示的接地屏蔽件200的任何表面,但是除了在所示的示例中第二保護層504顯示成覆蓋的接地屏蔽件200的任何表面之外,第二保護層504還可覆蓋邊緣外壁212及/或板204的下表面。
5A-5E 所描繪的任何實施例中,第二保護層504可沉積在接地屏蔽件200的未被第一保護層502覆蓋的任何表面上。例如,第一保護層502可沉積在板204的上表面上,且第二保護層504可沉積在第一保護層502和邊緣內壁208上。
在未顯示的另一個實施例中,接地屏蔽件200可由導電材料構成。第一保護層502可沉積在板204的上表面、邊緣內壁208、邊緣上表面210和邊緣外壁212上。第二保護層504可沉積在第一保護層502上。在進一步的實施例中,第一保護層502也可沉積在板204的下表面上。第二保護層504可沉積在第一保護層502上。第一保護層502及/或第二保護層504可沉積在接地屏蔽件200的所有表面上,以避免曝露於 1 所描繪的處理腔室的處理環境中。這允許接地屏蔽件200在高氧化及/或腐蝕性環境的存在中避免腐蝕。
6 顯示了陶瓷接地屏蔽件200的一個實施例的截面側視圖,其中複數個孔608可穿過板204鑽出並可填充有導電塞610。導電塞610可提供用於RF信號的導電路徑。
導電塞610可具有Mo、W、Ta、Hastelloy®、Inconel®、ITO,或另一種導電材料的一種或多種的組成。導電層602可沉積在板204的上表面和導電塞610的表面上。第一保護層604可沉積在導電層602上。第二保護層606可沉積在第一保護層604上。第一保護層604可具有於此描述的任何陶瓷材料的組成。類似地,第二保護層606可具有於此先前描述的任何陶瓷材料的組成。在替代實施例中,第一保護層604及/或第二保護層606可覆蓋接地屏蔽件200的附加表面。例如,第一保護層604及/或第二保護層606可沉積在邊緣內壁208、邊緣上表面210、邊緣外壁212、板204的下表面、空心軸214的內壁216和空心軸214的外壁218的至少一個上。
7 顯示了用於形成接地屏蔽件的第一處理700。在方塊702處,提供陶瓷接地屏蔽件主體。所提供的陶瓷接地屏蔽件主體可為板和從板的上表面延伸的凸起邊緣。凸起邊緣可包括邊緣內壁、邊緣上表面和邊緣外壁。接地屏蔽件主體可進一步包括空心軸,空心軸包括內壁和外壁。在一個實施例中,陶瓷接地屏蔽件主體是藉由對大致具有目標尺寸和形狀的生坯主體進行燒結而製造的。在燒結處理之後,可對燒結的陶瓷主體進行機械處理,以達到具有更高的精度的目標尺寸。在一個實施例中,接地屏蔽件主體可由兩個或三個分開的部件構成。這些部件可被燒結並分別被進一步處理。任選地,一個或多個部件可不是燒結的陶瓷材料(如,可為諸如不銹鋼的金屬)。例如,接地屏蔽件的板可為燒結的陶瓷,且接地屏蔽件的軸可為不銹鋼或另一種金屬。
在方塊704處,可穿過接地屏蔽件主體的板鑽出複數個孔。在方塊706處,可用複數個導電塞填充複數個孔。導電塞可連接到板的下表面處的引線,且引線可連接到接地。
在方塊708處,可使接地屏蔽件主體的至少上表面粗糙化。接地屏蔽件主體上表面的粗糙度可通過使用噴砂機來實現。噴砂機可為噴砂櫃、手持式噴砂機、自動噴砂機或任何其他類型的噴砂機。在替代實施例中,可通過使用電動研磨墊來實現接地屏蔽件主體的上表面上的粗糙度。接地屏蔽件主體的上表面(如,板的上表面)可被粗糙化至在約0.10微米至約6.00微米之間的目標粗糙度。這可改善導電層及/或第一保護層對接地屏蔽件主體的黏附。例如,可藉由首先使接地屏蔽件主體的表面粗糙化來改善電漿噴塗塗層的黏附性。
在方塊710處,可在接地屏蔽件主體的至少上表面上沉積導電層。導電層可由於此描述的任何導電材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、氣溶膠沉積、蒸發、ALD、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積導電層。
在方塊712處,第一保護層可沉積在導電層上。第一保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、CVD、PVD、氣溶膠沉積、蒸發PECVD、離子輔助沉積、離子鍍及其組合來沉積第一保護層。例如,若使用諸如PVD、CVD、ALD、PECVD或IAD的技術沉積導電層,則該導電層可為保形層,並且導電層的表面可大致具有下層接地屏蔽件主體的粗糙度(如,接地屏蔽件主體表面的目標粗糙度)。因此,若(例如)藉由電漿噴塗或氣溶膠沉積來沉積第一保護層,則導電層的表面粗糙度可改善第一保護層對導電層的黏附性。
在方塊714處,可拋光由第一保護層覆蓋的接地屏蔽件主體的表面。例如,可藉由研磨機或CMP機進行拋光。可將第一保護層拋光至在約0.10微米至約2.00微米之間的平均表面粗糙度。
在方塊716處,第二保護層可沉積在第一保護層上。第二保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、ALD、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術沉積第二保護層。在一個實施例中,第二保護層可藉由非現場沉積技術(諸如ALD或CVD、電漿浸沒離子沉積(PIID)、濕式化學沉積(如,溶膠凝膠)或電鍍)來沉積。在一個實施例中,第二保護層或許具有大約為零的孔隙率的共形保護層,其密封了第一保護層中的任何裂縫及/或孔隙。
在方塊718處,第三保護層可沉積在第二保護層上。第三保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、ALD、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積第三保護層。
若接地屏蔽件主體可由多個不同的部件構成,則一個或多個部件的一些或全部可能已經被塗佈有導電層、第一保護層、第二保護層及/或第三保護層。隨後,可組裝多個部件。例如,接地屏蔽件的軸的兩半可圍繞加熱器軸附接在一起,且接地屏蔽件的軸的組合的兩半可附接到環繞加熱器的接地屏蔽件的板。
8 顯示了用於形成接地屏蔽件的第二處理800。在方塊802處,提供導電接地屏蔽件主體。所提供的導電接地屏蔽件主體可包括板和從板的上表面延伸的凸起邊緣。凸起邊緣可包括邊緣內壁、邊緣上表面和邊緣外壁。接地屏蔽件主體可進一步包括空心軸,空心軸包括內壁和外壁。導電接地屏蔽件主體可由於此所述的用於接地屏蔽件主體的任何導電材料形成。在一個實施例中,接地屏蔽件主體的表面可被粗糙化(如,諸如藉由噴砂處理)。
在方塊804處,第一保護層可沉積在接地屏蔽件主體的至少上表面上。第一保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積第一保護層。
在方塊806處,可拋光被第一保護層覆蓋的接地屏蔽件主體的表面。
在方塊808處,第二保護層可沉積在第一保護層上。第二保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、ALD、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積第二保護層。在一個實施例中,第二保護層藉由非現場沉積技術(諸如ALD或CVD、電漿浸沒離子沉積(PIID)、濕式化學沉積或電鍍)來沉積
在方塊810處,第三保護層可沉積在第二保護層上。第三保護層可由於此描述的任何陶瓷材料構成。可藉由傳統的大氣電漿噴塗、LPPS、VPS、絲網印刷、濕式化學沉積(如,溶膠凝膠)、PVD、CVD、ALD、氣溶膠沉積、蒸發、ALD、PECVD、IAD、離子鍍、浸塗、濺射、熱噴塗、熱等靜壓、冷等靜壓、層壓、壓塑、鑄造、壓實、絲網印刷、燒結或共燒結技術來沉積第三保護層。
前面的描述闡述了許多特定細節,諸如特定系統、部件、方法等的示例,以便提供對本揭露書的若干實施例的良好理解。然而,對於熟悉本領域者將顯而易見的是,可在沒有這些具體細節的情況下實施本揭露書的至少一些實施例。在其他情況下,已知的部件或方法未被詳細描述,或以簡單的方塊圖形式呈現,以便避免不必要地混淆本揭露書。因此,闡述的具體細節僅是示例性的。特定的實施方案可與這些示例性細節不同,且仍然可預期在本揭露書的範圍內。
在整個說明書中對「一個實施例」或「一實施例」的引用是指結合該實施例描述的特定特徵、結構或特性包括在至少一個實施例中。因此,在整個說明書中各處出現的短語「在一個實施例中」或「在一實施例中」不一定都指的是相同的實施例。另外,術語「或」旨在表示包括性的「或」而不是排他性的「或」。當在於此中使用術語「約」或「大約」時,這旨在表示所給出的標稱值為精確度在±10%之內。
儘管以特定順序顯示和描述了於此的方法的操作,但是可改變每種方法的操作順序,使得可以相反的順序執行某些操作,或使得可至少部分地與其他操作同時執行某些操作。在另一個實施例中,不同操作的指令或子操作可以間歇及/或交替的方式進行。在一個實施例中,多個金屬鍵結操作作為單個步驟執行。
應當理解以上的實施方式意圖是說明性的,而不是限制性的。藉由閱讀和理解以上的實施方式,許多其他實施例對於熟悉本領域者將是顯而易見的。因此,本揭露書的範圍應參考附隨的申請專利範圍及這些申請專利範圍所賦予的等效元件的全部範圍來決定。
100:處理腔室 102:腔室主體 104:蓋 106:內部容積 108:側壁 110:底部 116:外襯層 126:排氣埠 128:泵送系統 144:基板 148:基板支撐組件 149:接地屏蔽件 150:加熱器 151:導電層 152:第一保護層 153:第二保護層 158:氣體板 159: 160:噴頭/氣體擴散器 162:面板 164:噴頭 166:氣體分配組件 168:第二面板 170:RF源 172:電接地 174:電接地 200:接地屏蔽件 204:板 206:凸起邊緣 208:邊緣內壁 210:邊緣上表面 212:邊緣外壁 214:空心軸 216:內壁/軸內部 218:外壁/軸外部 220:導電層 222:第一保護層 224:第二保護層 302:導電層 304:第一保護層 402:導電層 404:第一保護層 406:第二保護層 502:第一保護層 504:第二保護層 602:導電層 604:第一保護層 606:第二保護層 608:孔 610:導電塞 700:處理 702:方塊 704:方塊 706:方塊 708:方塊 710:方塊 712:方塊 714:方塊 716:方塊 718:方塊 800:處理 802:方塊 804:方塊 806:方塊 808:方塊 810:方塊
在附隨圖式的圖中藉由示例(且非藉由限制的方式)的方式顯示了本揭露書,在附隨圖式中,相似的元件符號指示相似的元件。應當注意在這份揭露書中對「一」或「一個」實施例的不同引用不一定是相同實施例,且這樣的引用意味著至少一個。
1 描繪了處理腔室的一個實施例的截面圖。
2 描繪了接地屏蔽組件的一個實施例的爆炸圖。
3A 描繪了包含導電層和第一保護層的陶瓷接地屏蔽組件的一個實施例的截面圖。
3B 描繪了包含導電層和第一保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
3C 描繪了包含導電層和第一保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
3D 描繪了包含導電層和第一保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
4A 描繪了包含導電層、第一保護層和第二保護層的陶瓷接地屏蔽組件的一個實施例的截面圖。
4B 描繪了包含導電層、第一保護層和第二保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
4C 描繪了包含導電層、第一保護層和第二保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
4D 描繪了包含導電層、第一保護層和第二保護層的陶瓷接地屏蔽組件的一個實施例的另一截面圖。
5A 描繪了包含第一保護層和第二保護層的導電接地屏蔽組件的一個實施例的截面圖。
5B 描繪了包含第一保護層和第二保護層的導電接地屏蔽組件的一個實施例的另一截面圖。
5C 描繪了包含第一保護層和第二保護層的導電接地屏蔽組件的一個實施例的另一截面圖。
5D 描繪了包含第一保護層和第二保護層的導電接地屏蔽組件的一個實施例的另一截面圖。
5E 描繪了包含第一保護層和第二保護層的導電接地屏蔽組件的一個實施例的另一截面側視圖。
6 描繪了包含複數個孔穿過接地屏蔽件的板而鑽出的接地屏蔽組件的一個實施例的截面圖,其中複數個孔填充有導電塞。
7 顯示了用於形成如於此所述的接地屏蔽組件的第一方法。
8 顯示了形成如於此所述的接地屏蔽組件的第二方法。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:接地屏蔽件
204:板
206:凸起邊緣
208:邊緣內壁
210:邊緣上表面
212:邊緣外壁
214:空心軸
216:內壁/軸內部
218:外壁/軸外部
220:導電層
222:第一保護層
224:第二保護層

Claims (20)

  1. 一種一處理腔室的接地屏蔽件,包含: 一陶瓷主體,包含一板和從該板的一上表面延伸的一凸起邊緣,其中一加熱器適配在該板的該上表面上的該凸起邊緣內;一導電層,在該板的至少該上表面上;及一第一保護層,在至少該導電層上。
  2. 如請求項1所述之接地屏蔽件,進一步包含: 一第二保護層,在該第一保護層上,其中該第二保護層是保形的,具有在大約50.00nm–2.00mm的一厚度並具有小於0.1%的一孔隙率。
  3. 如請求項2所述之接地屏蔽件,其中該第二保護層包含至少一種氧化釔、氧化鉺、氧化鉭、氟化釔、氧化鋁、氟化鋁、二氧化鋯、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 及一Y2 O3 -ZrO2 固溶體的一材料或其組合。
  4. 如請求項2所述之接地屏蔽件,其中該凸起邊緣包含一邊緣內壁、一邊緣上表面及一邊緣外壁,且其中該導電層、該第一保護層或該第二保護層的至少一者進一步覆蓋該邊緣內壁、該邊緣上表面或該邊緣外壁的至少一者。
  5. 如請求項4所述之接地屏蔽件,進一步包含一空心軸,從該板的一下表面延伸,其中該導電層、該第一保護層或該第二保護層的至少一者進一步覆蓋該板的一下表面、該空心軸的一內壁及一外壁。
  6. 如請求項2所述之接地屏蔽件,進一步包含一空心軸,從該板的該下表面延伸,其中該導電層、該第一保護層或該第二保護層的至少一者進一步覆蓋該空心軸的一內壁。
  7. 如請求項1所述之接地屏蔽件,其中該第一保護層包含氧化鋁、Y2 SiO5 、Y2 Si2 O7 、Y5 O4 F7 、鉭、碳化矽、氧化釔、氧化鉺、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 和一Y2 O3 -ZrO2 固溶體的一材料或其組合的至少一種。
  8. 如請求項1所述之接地屏蔽件,其中該第一保護層具有大約1.00μm-2.00mm的一厚度,並具有0.1-10.0%的一孔隙率。
  9. 如請求項1所述之接地屏蔽件,其中該陶瓷本體進一步包含複數個孔,鑽入該板中,且其中該複數個孔的一個或多個填充有一導電塞。
  10. 如請求項1所述之接地屏蔽件,其中: 該陶瓷主體包含氧化鋁、氮化鋁、矽、碳化矽或氮化矽的至少一種;及該導電層包含鉬、鎢、鎳、鉭、包含鎳、鉬、鈦和鉻的一合金、包含鎳、鉻和鐵的一合金或氧化銦錫的至少一種。
  11. 如請求項1所述之接地屏蔽件,其中該陶瓷主體具有一第一熱膨脹係數(CTE),且該第一保護層具有一第二CTE,其中該第二CTE的值在該第一CTE的2.5 10-6 /℃內。
  12. 一種一處理腔室的基板支撐組件,包含: 一加熱器;及一接地屏蔽件,包含一盤形陶瓷主體和從該盤形陶瓷主體的一下表面延伸的一軸,其中該盤形陶瓷主體的一上表面包含從該盤形陶瓷主體的一上表面延伸的一凸起邊緣,且其中該加熱器設置在該凸起邊緣內的該盤形陶瓷主體的該上表面上,該接地屏蔽件進一步包含:一導電層,在該盤形陶瓷主體的至少該上表面上;及一第一保護層,在至少該導電層上。
  13. 如請求項12所述之基板支撐組件,進一步包含一第二保護層,在該第一保護層上,其中該第二保護層是一保形層,具有大約50.00nm-2.00mm的一厚度,具有小於0.1 %的一孔隙率,並包含氧化釔、氧化鉺、氧化鉭、氟化釔、氧化鋁、氟化鋁、二氧化鋯、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 及一Y2 O3 -ZrO2 固溶體的一材料或其組合。
  14. 如請求項13所述之基板支撐組件,其中該凸起邊緣包含一邊緣內壁、一邊緣上表面及一邊緣外壁,且其中該導電層、該第一保護層或該第二保護層的至少一者進一步覆蓋該邊緣內壁,該邊緣表面或該邊緣外壁的至少一者。
  15. 如請求項14所述之基板支撐組件,其中該導電層、該第一保護層或該第二保護層的至少一者進一步覆蓋該空心軸的該外壁或該空心軸的該內壁任一者。
  16. 如請求項12所述之基板支撐組件,其中第一保護層具有大約1.00μm-2.00mm的一厚度,具有小於6%的一孔隙率,並包含氧化鋁、Y2 SiO5 、Y2 Si2 O7 、Y5 O4 F7 、氧化鉭、氟化釔、氧化鋁、氟化鋁、二氧化鋯、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 和一Y2 O3 -ZrO2 固溶體的一材料或其組合的至少一者。
  17. 一種一處理腔室的接地屏蔽件,包含: 一導電主體,包含一板和從該板的一上表面延伸的一凸起邊緣,其中一加熱器適配在該板的該上表面上的該凸起邊緣內,且其中該凸起邊緣包含一邊緣內壁、邊緣上表面和一邊緣外壁;一第一保護層,在該板的至少該上表面上,其中該第一保護層具有大約1.00μm-2.00mm的一厚度,具有0.1-10.0%的一孔隙率並包含氧化鋁、Y2 SiO5 、Y2 Si2 O7 、Y5 O4 F7 、鉭、碳化矽、氧化釔、氧化鉺、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 和一Y2 O3 -ZrO2 固溶體的一材料或其組合的至少一種;及一第二保護層,在至少該第一保護層上,其中該第二保護層是一保形層,具有大約50.00nm-5.00mm的一厚度,具有小於0.1 %的一孔隙率,並包含氧化釔、氧化鉺、氧化鉭、氟化釔、氧化鋁、氟化鋁、二氧化鋯、一Y2 O3 -ZrO2 固溶體、包含Y4 Al2 O9 及一Y2 O3 -ZrO2 固溶體的一材料或其組合。
  18. 如請求項17所述之接地屏蔽件,其中該導電主體具有一第一CTE,且該第一保護層具有一第二CTE,其中該第二CTE的值與該第一CTE的值相同。
  19. 如請求項17所述之接地屏蔽件,其中該導電主體具有一第一CTE,且該第一保護層具有一第二CTE,其中該第二CTE的值在該第一CTE的值的2.510-6 /℃內。
  20. 如請求項17所述之接地屏蔽件,進一步包含一空心軸,從該板的一下表面延伸,其中該第一保護層或該第二保護層的至少一者進一步覆蓋該邊緣內壁、該邊緣上表面、該邊緣外壁、該空心軸的該外壁或該空心軸的該內壁的至少一者。
TW108144688A 2018-12-06 2019-12-06 處理腔室之耐腐蝕接地屏蔽件與包含其之基板支撐組件 TWI784216B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/212,580 US11562890B2 (en) 2018-12-06 2018-12-06 Corrosion resistant ground shield of processing chamber
US16/212,580 2018-12-06

Publications (2)

Publication Number Publication Date
TW202038295A true TW202038295A (zh) 2020-10-16
TWI784216B TWI784216B (zh) 2022-11-21

Family

ID=70972112

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108144688A TWI784216B (zh) 2018-12-06 2019-12-06 處理腔室之耐腐蝕接地屏蔽件與包含其之基板支撐組件
TW111139050A TW202306006A (zh) 2018-12-06 2019-12-06 處理腔室之耐腐蝕接地屏蔽件與包含其之基板支撐組件

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111139050A TW202306006A (zh) 2018-12-06 2019-12-06 處理腔室之耐腐蝕接地屏蔽件與包含其之基板支撐組件

Country Status (6)

Country Link
US (2) US11562890B2 (zh)
JP (2) JP7460626B2 (zh)
KR (2) KR20230111267A (zh)
CN (1) CN113169114A (zh)
TW (2) TWI784216B (zh)
WO (1) WO2020118090A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
JP7427031B2 (ja) * 2019-05-22 2024-02-02 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US11557499B2 (en) 2020-10-16 2023-01-17 Applied Materials, Inc. Methods and apparatus for prevention of component cracking using stress relief layer
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
CN116802788A (zh) * 2021-01-21 2023-09-22 朗姆研究公司 使用固态增材制造处理部件
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0563873B1 (en) 1992-04-03 1998-06-03 Matsushita Electric Industrial Co., Ltd. High frequency ceramic multi-layer substrate
US6069346A (en) 1993-01-12 2000-05-30 American Roller Company Ceramic heater roller with ground shield and fault detection
JP3261566B2 (ja) 1997-01-22 2002-03-04 株式会社ケーブイケー 水栓用カバー
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8680443B2 (en) * 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
WO2006023894A2 (en) 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
US7851893B2 (en) 2008-06-10 2010-12-14 Stats Chippac, Ltd. Semiconductor device and method of connecting a shielding layer to ground through conductive vias
JP2011525719A (ja) 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
EP2433975A1 (en) 2010-09-14 2012-03-28 Arkema Coatings Resins S.A.U. Improved powder coating compositions and a method for coating a substrate, such as a thermally sensitive substrate
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US20140011038A1 (en) 2012-07-05 2014-01-09 General Electric Company Coating system for a gas turbine component
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794459B (zh) 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI659853B (zh) 2014-04-25 2019-05-21 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11365159B2 (en) 2015-02-09 2022-06-21 Mitsubishi Heavy Industries Aero Engines, Ltd. Coated member and method of manufacturing the same
TWI725067B (zh) 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US10612121B2 (en) 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings

Also Published As

Publication number Publication date
KR102557349B1 (ko) 2023-07-20
JP2022510433A (ja) 2022-01-26
KR20230111267A (ko) 2023-07-25
US20200185203A1 (en) 2020-06-11
JP7460626B2 (ja) 2024-04-02
JP2023123461A (ja) 2023-09-05
US11562890B2 (en) 2023-01-24
TWI784216B (zh) 2022-11-21
US20230122695A1 (en) 2023-04-20
TW202306006A (zh) 2023-02-01
WO2020118090A1 (en) 2020-06-11
CN113169114A (zh) 2021-07-23
KR20210087558A (ko) 2021-07-12

Similar Documents

Publication Publication Date Title
TWI784216B (zh) 處理腔室之耐腐蝕接地屏蔽件與包含其之基板支撐組件
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
CN103794460B (zh) 用于半导体装置性能改善的涂层
TW201417211A (zh) 用於等離子體處理腔室的靜電夾盤元件及製造方法
CN108346611B (zh) 静电吸盘及其制作方法与等离子体处理装置
CN104241183B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
CN104241181B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置