KR102316425B1 - 픽셀화된 캐패시턴스 제어형 esc - Google Patents

픽셀화된 캐패시턴스 제어형 esc Download PDF

Info

Publication number
KR102316425B1
KR102316425B1 KR1020177013645A KR20177013645A KR102316425B1 KR 102316425 B1 KR102316425 B1 KR 102316425B1 KR 1020177013645 A KR1020177013645 A KR 1020177013645A KR 20177013645 A KR20177013645 A KR 20177013645A KR 102316425 B1 KR102316425 B1 KR 102316425B1
Authority
KR
South Korea
Prior art keywords
electrostatic chuck
chucking
pixelated
capacitance
substrate
Prior art date
Application number
KR1020177013645A
Other languages
English (en)
Other versions
KR20170060165A (ko
Inventor
레자 사드자디
웬델 글렌 주니어 보이드
비제이 디. 파케
맥심 미하일로비치 노기노브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170060165A publication Critical patent/KR20170060165A/ko
Application granted granted Critical
Publication of KR102316425B1 publication Critical patent/KR102316425B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/188Capturing isolated or intermittent images triggered by the occurrence of a predetermined event, e.g. an object reaching a predetermined position
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analytical Chemistry (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서 설명되는 구현예들은, 정전 척과 그 위에 배치된 기판 간의 RF 커플링(coupling)의 측방향(lateral) 및 방위각 방향(azimuthal) 모두에서의 튜닝(tuning)을 가능하게 하는 픽셀화된(pixelated) 정전 척을 제공한다. 일 실시예에서, 픽셀화된 정전 척(ESC)은, 상부에 기판을 수용하도록(accept) 구성된 워크피스 지지 표면을 갖는 유전체 본체(dielectric body), 픽셀화된 ESC 내에 배치되는 하나 또는 그 초과의 척킹 전극들, 및 복수의 픽셀 전극(pixel electrode)들을 포함할 수 있다. 복수의 픽셀 전극들은 플로팅 상태(floating state)와 접지 상태(grounded state) 사이에서 스위칭가능하며, 픽셀 전극들은, 접지 또는 접지와 플로팅 상태 모두에 대해 가변 캐패시턴스(variable capacitance)를 갖는다. 픽셀 전극들 및 척킹 전극들은, 워크피스 지지 표면에 기판을 정전기적으로 척킹하도록 동작가능한 회로를 형성한다.

Description

픽셀화된 캐패시턴스 제어형 ESC{PIXELATED CAPACITANCE CONTROLLED ESC}
[0001] 본원에서 설명되는 구현예들은 일반적으로 반도체 제조에 관한 것이며, 보다 특정하게는, 정전 척 및 이를 이용하는 방법에 관한 것이다.
[0002] 디바이스 패턴들의 피쳐 사이즈(feature size)가 점점 작아짐에 따라, 이러한 피쳐들의 임계 치수(CD) 요건들이, 안정적이고 반복가능한(repeatable) 디바이스 성능을 위한 보다 중요한 기준이 되었다. 프로세싱 챔버 내에서 프로세싱되는 기판에 걸친 허용가능한 CD 변동(variation)은, 이를 테면 챔버 및 기판 온도, 유동 컨덕턴스(flow conductance), RF 필드(field)들과 같은 챔버 불균형들(chamber asymmetries)로 인해, 달성하기가 어렵다.
[0003] 정전 척을 활용하는 프로세스들에 있어서, 기판의 표면에 걸친 에칭의 균일성은 기판 아래의 척의 비-균질(non-homogeneous) 구성으로 인해 도전적(challenging)이다. 예를 들어, 정전 척의 일부 영역들은 가스 홀들을 갖는 한편, 다른 영역들은 그러한 가스 홀들로부터 측방향으로(laterally) 오프셋되는 리프트 핀 홀들을 갖는다. 또 다른 영역들은 척킹 전극(chucking electrode)들을 갖는 한편, 다른 영역들은 그러한 척킹 전극들로부터 측방향으로 오프셋되는 히터 전극(heater electrode)들을 갖는다. 척의 비-균질 구성은, 기판의 표면에 걸친 에칭에 직접적으로 영향을 미치는 무선 주파수(RF) 필드들의 불균일성을 이끈다.
[0004] 정전 척의 구조는, 측방향으로 그리고 방위각 방향으로(azimuthally) 변화될 수 있고, 척과 기판 간의 RF 필드의 균일성은 복잡하고, 달성하기가 매우 어려우며, 결과적으로, 척 표면에 걸쳐서 RF 필드의 국부적인 가변성(local variability)을 초래한다. 플라즈마 기반의 프로세스들은, 정전 척에 대한 작은 국부적인 RF 커플링(RF coupling) 변동들에 대해 매우 민감할 수 있다. 따라서, 국부적인 RF 커플링 변동들은 기판의 표면을 따라서 프로세싱 결과들의 불균일성을 초래한다.
[0005] 따라서, 개선된 정전 척에 대한 필요성이 존재한다.
[0006] 본원에서 설명되는 구현예들은, 정전 척과 그 위에 배치된 기판 간의 RF 커플링의 측방향(lateral) 및 방위각 방향(azimuthal) 모두에서의 튜닝(tuning)을 가능하게 하는 픽셀화된(pixelated) 정전 척을 제공한다. 일 실시예에서, 픽셀화된 정전 척(ESC)은, 상부에 기판을 수용하도록(accept) 구성된 워크피스 지지 표면을 갖는 유전체 본체(dielectric body), 픽셀화된 ESC 내에 배치되는 하나 또는 그 초과의 척킹 전극들, 및 복수의 픽셀 전극(pixel electrode)들을 포함할 수 있다. 복수의 픽셀 전극들은 플로팅 상태(floating state)와 접지 상태(grounded state) 사이에서 스위칭가능하며, 픽셀 전극들은, 접지 또는 접지와 플로팅 상태 모두에 대해 가변 캐패시턴스(variable capacitance)를 갖는다. 픽셀 전극들 및 척킹 전극들은, 워크피스 지지 표면에 기판을 정전기적으로 척킹하도록 동작가능한 회로를 형성한다.
[0007] 다른 실시예에서, 프로세싱 챔버가 제공된다. 프로세싱 챔버는, 그 내에 배치된 픽셀화된 정전 척(ESC)을 갖는 챔버 본체를 포함한다. 픽셀화된 ESC는 상기 설명된 바와 같이 구성될 수 있다.
[0008] 또 다른 실시예에서, 기판을 프로세싱하기 위한 방법이 제공되며, 이 방법은, 픽셀화된 정전 척 내에 형성된 메인(main) 척킹 전극에 전력을 인가하는 단계, 픽셀화된 정전 척에 기판을 고정시키기 위해, 픽셀화된 정전 척 내에 측방향으로(laterally) 분배된 복수의 픽셀 전극들 중 하나 또는 그 초과의 픽셀 전극을 접지에 선택적으로 커플링시키는 단계, 및 픽셀화된 정전 척 상의 기판을 프로세싱하는 단계를 포함한다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 구현예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구현예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0010] 도 1은 픽셀화된 정전 척의 일 실시예를 갖는 프로세싱 챔버의 개략적인 측단면도이다.
[0011] 도 2는 기판 지지 어셈블리 및 픽셀화된 정전 척의 부분들을 상세화하는 개략적인 부분 측단면도이다.
[0012] 도 3은 픽셀화된 정전 척 내의 조정가능한 캐패시터들 및 전극들에 대한 레이아웃을 도시하는 부분적인 평면도(top plan view)이다.
[0013] 도 4는 픽셀화된 정전 척 내의 캐패시터들에 대한 단순화된 배선 개략도를 예시하는, 도 3의 B-B 섹션 라인을 따라 절취한 단면도이다.
[0014] 도 5는 RF 가변 캐패시터들을 예시하는 부분적인 배선 개략도이다.
[0015] 도 6은 픽셀화된 정전 척을 활용하여 기판을 프로세싱하기 위한 일 실시예의 흐름도이다.
[0016] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 구현예에 개시된 엘리먼트들은 구체적인 언급없이 다른 구현예들에 유익하게 사용될 수 있음이 고려된다.
[0017] 반도체 산업이 전자 피쳐 사이즈들을 서브-nm 레벨들로 감소시킴에 따라, 에칭 레이트 및 CD 균일성 요건들 또한, 원자 사이즈(atomic size)들에 가까워지고 있는 옹스트롬(Angstrom) 레벨들로 축소하고 있다. 이러한 경우들에서, 기판 온도들은, 기판들에 걸친 프로세스 균일성을 조정하기(tailor) 위해, 매우 균일해야하거나(예를 들어, 20 nm 보다 작은 노드들에 대해 약 0.5 ℃ 미만), 또는 매우 미세한 레졸루션(resolution)으로 튜닝가능(tunable) 해야 한다. 하지만, 10 nm 보다 작은 반도체 기술 노드들에 대해, 0.25 ℃ 또는 그 미만 만큼 낮은 온도 균일성 조차도 프로세스 균일성을 유지하기에 충분하지 않다. 프로세스 균일성에 영향을 미치는 하나의 팩터(factor)는, 정전 척의 퍽(puck) 내의 척킹 전극의 유전체 깊이(dielectric depth) 이다. 유전체 깊이는, 척킹 전극을 포함하는 고전압 그리드와 퍽의 상단(top) 간의 거리이다. 유전체 깊이 및 퍽의 기판 지지 표면의 토포그라피(topography) 모두가, ESC에 대한 기판의 RF 커플링에 상당히 영향을 미친다. 기판에 대한 무선 주파수(RF)의 더 강한 커플링이 에칭 레이트를 증가시킬 수 있고, 그 반대의 경우 또한 마찬가지이다. 따라서, ESC에 걸쳐서 측방향으로 접지에 대한 워크피스의 국부적인 캐패시턴스(local capacitance)를 제어하는 것은, 본원에서 개시되는 발명이, ESC를 사용하여 수행되는 프로세스들의 측방향 및/또는 방위각 방향 에칭 레이트 균일성 및/또는 CD 제어를 조정하기 위한 효과적인 프로세스 제어 속성(attribute)으로서 증명한 중요한 프로세스 제어 파라미터이다.
[0018] 본원에서 설명되는 구현예들은 픽셀화된 정전 척(ESC)을 제공하며, 이러한 픽셀화된 정전 척(ESC)은, 픽셀화된 ESC 및 워크피스, 이를 테면 기판의 RF 커플링의 국부적(local), 측방향 및 방위각 방향 튜닝을 가능하게 하고, 결국, 픽셀화된 ESC 상의 기판의 접지에 대한 측방향 RF 커플링의 측방향 및 방위각 방향 모두의 튜닝을 허용한다. 또한, 접지로의 기판의 커플링을 위한, 픽셀화된 ESC에서의 캐패시턴스의 국부적인 변동들의 제어는, RF 커플링에 의해 영향을 받는 프로세스 변동들을 제거함으로써, 또는 몇몇 경우들에서는, 유도함으로써, 그 위에서 수행되는 프로세스들을 실질적으로 강화한다. 따라서, 픽셀화된 ESC는 기판에 걸쳐서 거의 모든 위치들 간의 옹스트롬-레벨 에칭 레이트 및 임계 치수(CD) 균일성 제어를 가능하게 한다. 픽셀화된 ESC 상에서 프로세싱되는 기판에 대한 RF 커플링을 튜닝하기 위한 방법들이 또한 본원에서 설명된다. 비록 하기에서는 픽셀화된 ESC가 에칭 프로세싱 챔버 내에서 설명되지만, 픽셀화된 ESC는 다른 타입들의 플라즈마 프로세싱 챔버들, 특히, 이를 테면 물리 기상 증착 챔버들, 화학 기상 증착 챔버들, 이온 주입 챔버들, 및 ESC의 기판 지지 표면에 걸친 RF 프로파일의 방위각 방향, 측방향 및/또는 국부적인 튜닝 중 적어도 하나가 바람직한 다른 시스템들에서 활용될 수 있다. 또한, 픽셀화된 전극들은, 반도체 프로세싱을 위해 사용되지 않는 것들을 포함하는, 다른 표면들의 RF 바이어스 또는 커플링을 제어하기 위해 또한 활용될 수 있음이 고려된다.
[0019] 하나 또는 그 초과의 실시예들에서, 픽셀화된 ESC는, 이를 테면, 온도, 유동 컨덕턴스, 전기장들, 플라즈마 밀도 등과 같은 챔버 불균일성들을 보상하기 위해 픽셀 전극들을 활용함으로써, 이를 테면, 에칭, 증착, 주입 등과 같은 진공 프로세스 동안 기판에 걸쳐서 엣지(edge) 또는 다른 위치들에서의 임계 치수(CD) 변동의 정정(correction)을 허용한다. 부가적으로, 몇몇 실시예들은, 어디에서든, 접지에 대한 기판의 캐패시턴스를 약 20 pF 내지 약 몇백 pF로 제어할 수 있는 능력을 증명하였다.
[0020] 도 1은 픽셀화된 ESC(132)를 갖는 예시적인 에칭 프로세싱 챔버(100)의 개략적인 단면도이다. 상기 논의된 바와 같이, 픽셀화된 ESC(132)는 다른 프로세싱 챔버, 특히, 예를 들어, 플라즈마 처리 챔버들, 어닐링 챔버들, 물리 기상 증착 챔버들, 화학 기상 증착 챔버들, 및 이온 주입 챔버들, 뿐만 아니라, 표면 또는 워크피스, 이를 테면 기판을 접지에 커플링시키는 RF 프로파일을 제어하는 능력이 바람직한 다른 시스템들에서 활용될 수 있다. 표면(즉, 픽셀화된 ESC(132)의 기판 지지 표면)의 많은 별개의(discrete) 영역들에 걸친 RF 커플링의 독립적인 그리고 국부적인 제어는 유익하게는, 에칭 프로세싱 챔버(100)에서의 국부적인 프로세싱 결과들에 영향을 미칠 수 있는, 이를 테면 높은 또는 낮은 RF 커플링과 같은, 국부적인 RF 애스페러티(asperity)들의 감소 및 RF 프로파일의 측방향 및/또는 방위각 방향 튜닝을 가능하게 한다.
[0021] 프로세싱 챔버(100)는 접지된 챔버 본체(102)를 포함한다. 챔버 본체(102)는, 내부 볼륨(internal volume)(124)을 둘러싸는, 벽들(104), 바닥부(bottom)(106) 및 리드(lid)(108)를 포함한다. 기판 지지 어셈블리(126)가 내부 볼륨(124) 내에 배치된다. 픽셀화된 ESC(132)는 기판 지지 어셈블리(126) 상에 배치되며, 프로세싱 동안 그 위에 기판(134)을 지지한다.
[0022] 프로세싱 챔버(100)의 벽들(104)은 개구(미도시)를 포함하며, 이러한 개구를 통해, 기판(134)이 내부 볼륨(124) 내로 그리고 내부 볼륨(124)으로부터 로봇식으로(robotically) 이송될 수 있다. 펌핑 포트(110)가 챔버 본체(102)의 바닥부(106) 또는 벽들(104) 중 하나에 형성되며, 펌핑 시스템(미도시)에 유체적으로 연결된다. 펌핑 시스템은, 프로세싱 부산물들을 제거하면서, 프로세싱 챔버(100)의 내부 볼륨(124) 내의 진공 환경을 유지하기 위해 활용된다.
[0023] 가스 패널(112)은, 챔버 본체(102)의 벽들(104) 또는 리드(108) 중 적어도 하나를 통해 형성되는 하나 또는 그 초과의 유입구 포트들(114)을 통해 프로세싱 챔버(100)의 내부 볼륨(124)에 프로세스 및/또는 다른 가스들을 제공한다. 가스 패널(112)에 의해 제공되는 프로세스 가스는, 내부 볼륨(124) 내에서 에너자이징되어(energized), 픽셀화된 ESC(132) 상에 배치된 기판(134)을 프로세싱하기 위해 활용되는 플라즈마(122)를 형성한다. 프로세스 가스들은, 챔버 본체(102) 바깥쪽에 포지셔닝된(positioned) 플라즈마 어플리케이터(plasma applicator)(120)로부터 프로세스 가스들에 유도적으로 커플링되는 RF 전력에 의해 에너자이징될 수 있다. 도 1에 도시된 실시예에서, 플라즈마 어플리케이터(120)는, 매칭 회로(matching circuit)(118)를 통해 RF 전력 소스(116) 또는 용량적으로 커플링된 플라즈마(CCP) 챔버에 커플링되는 동축 코일들의 쌍이다.
[0024] 제어기(148)가, 기판(134)의 프로세싱 및 프로세싱 챔버(100)의 동작을 제어하기 위해 프로세싱 챔버(100)에 커플링된다. 제어기(148)는, 다양한 서브프로세서들 및 서브제어기들을 제어하기 위해 산업 환경에서 사용될 수 있는 임의의 형태의 범용 데이터 프로세싱 시스템 중 하나일 수 있다. 일반적으로, 제어기(148)는, 다른 공통 컴포넌트들 중에서, 메모리(174) 및 입/출력(I/O) 회로(176)와 통신하는 중앙 처리 유닛(CPU)(172)을 포함한다. 제어기(148)의 CPU에 의해 실행되는 소프트웨어 커맨드들은, 프로세싱 챔버로 하여금, 예를 들어, 내부 볼륨(124) 내로 에천트(etchant) 가스 혼합물(즉, 프로세싱 가스)을 도입하고, 플라즈마 어플리케이터(120)로부터의 RF 전력의 인가에 의해 프로세싱 가스로부터 플라즈마(122)를 형성하고, 그리고 기판(134) 상에 존재하는 재료의 층을 에칭하게 한다.
[0025] 기판 지지 어셈블리(126)는 일반적으로, 적어도 기판 지지부를 포함한다. 도 1의 실시예에서, 기판 지지부는 정전 척이며, 픽셀화된 ESC(132)로서 이후 설명될 것이다. 기판 지지 어셈블리(126)는 히터 어셈블리(heater assembly)(170)를 부가적으로 포함할 수 있다. 기판 지지 어셈블리(126)는 또한 냉각 베이스(cooling base)(130)를 포함할 수 있다. 냉각 베이스는 대안적으로(alternately), 기판 지지 어셈블리(126)와 분리된(separate) 것일 수 있다. 기판 지지 어셈블리(126)는 지지 페디스털(125)에 제거가능하게 커플링될 수 있다. 지지 페디스털(125)은 챔버 본체(102)에 장착되며, 페디스털 베이스(128)를 포함할 수 있다. 지지 페디스털(125)은 퍼실리티 플레이트(facility plate)(180)를 선택적으로 포함할 수 있다. 기판 지지 어셈블리(126)는, 기판 지지 어셈블리(126)의 하나 또는 그 초과의 컴포넌트들의 리퍼비시먼트(refurbishment)를 허용하기 위해 지지 페디스털(125)로부터 주기적으로 제거될 수 있다.
[0026] 퍼실리티 플레이트(180)는 복수의 리프트 핀들을 들어올리고 낮추도록 구성된 복수의 구동 메커니즘을 수용하도록 구성된다. 부가적으로, 퍼실리티 플레이트(180)는, 픽셀화된 ESC(132) 및 냉각 베이스(130)로부터의 복수의 유체 연결(fluid connection)들을 수용하도록 구성된다. 퍼실리티 플레이트(180)는 또한, 픽셀화된 ESC(132) 및 히터 어셈블리(170)로부터의 복수의 전기 연결들을 수용하도록 구성된다. 무수한 연결들이 기판 지지 어셈블리(126)의 외부에 또는 내부에 연장될(run) 수 있는 한편, 퍼실리티 플레이트(180)는 각각의 종단(terminus)으로의 연결들을 위한 인터페이스를 제공한다.
[0027] 온도 제어형(controlled) 냉각 베이스(130)는 열 전달 유체 소스(144)에 커플링된다. 열 전달 유체 소스(144)는, 냉각 베이스(130) 내에 배치된 하나 또는 그 초과의 도관들(160)을 통해 순환되는 열 전달 유체, 이를 테면, 액체, 기체, 또는 이들의 조합을 제공한다. 이웃하는 도관들(160)을 통해 유동하는 유체는 격리될 수 있어서, 냉각 베이스(130)의 상이한 영역들과 픽셀화된 ESC(132) 간의 열 전달의 국부적인 제어를 가능하게 할 수 있으며, 이는 기판(134)의 측방향 온도 프로파일을 제어하는 것을 돕는다.
[0028] 하나 또는 그 초과의 실시예들에서, 온도 제어형 냉각 베이스(130)와 열 전달 유체 소스(144)의 배출구 사이에 유체 분배기(fluid distributor)가 유체적으로 커플링될 수 있다. 유체 분배기는 도관들(160)에 제공되는 열 전달 유체의 양(amount)을 제어하도록 동작한다. 유체 분배기는, 프로세싱 챔버(100) 바깥쪽에, 기판 지지 어셈블리(126) 내에, 페디스털 베이스(128) 내에, 또는 다른 적절한 위치에 배치될 수 있다.
[0029] 히터 어셈블리(170)는 하나 또는 그 초과의 메인 저항성 히터들(154), 및 선택적으로, 본체(152) 내에 매립되는(embedded) 복수의 부차(secondary) 히터들(미도시)을 포함할 수 있다. 메인 저항성 히터들(154)은 기판 지지 어셈블리(126)의 온도를 챔버 프로세스들을 수행하기 위한 온도로 올리기 위해 제공될 수 있다. 존재하는 경우, 부차 히터들은, 메인 저항성 히터들(154)에 의해 생성되는, 픽셀화된 ESC(132)의 온도 프로파일에 대한 섭씨 몇도(couple degrees Celsius)의 국부화된 조정들을 제공할 수 있다. 따라서, 메인 저항성 히터들(154)은 전역화된(globalized) 매크로 규모(macro scale)로 동작하는 한편, 부차 히터들은 국부화된(localized) 마이크로 규모(micro scale)로 동작한다. 메인 저항성 히터들(154)은 RF 필터(184)를 통해 메인 히터 전력 소스(156)에 커플링된다. 전력 소스(156)는 메인 저항성 히터들(154)에 500 와트 또는 그 초과의 전력을 제공할 수 있다. 제어기(148)는, 일반적으로 기판(134)을 가열하도록 설정되는 메인 히터 전력 소스(156)의 동작을 제어할 수 있다. 하나 또는 그 초과의 실시예들에서, 메인 저항성 히터들(154)은 복수의 측방향으로 분리된 가열 구역들을 포함하며, 제어기(148)는, 메인 저항성 히터들(154)의 하나의 구역이, 다른 구역들 중 하나 또는 그 초과의 구역 내에 위치된 메인 저항성 히터들(154) 보다 우선적으로 가열되게 할 수 있다. 예를 들어, 메인 저항성 히터들(154)은, 엣지 대 중심 온도 제어(edge to center temperature control)를 가능하게 하기 위해, 복수의 분리된 가열 구역들 내에 동심적으로(concentrically) 배열될 수 있다.
[0030] 대안적으로, 하나 또는 그 초과의 메인 저항성 히터들(154), 및/또는 부차 히터들은, 픽셀화된 ESC(132) 내에 형성될 수 있다. 메인 저항성 히터들(154) 및 부차 히터들 모두가, 픽셀화된 ESC(132) 내에 형성되는 그러한 실시예들에서, 기판 지지 어셈블리(126)는 히터 어셈블리(170) 없이 형성될 수 있고, 픽셀화된 ESC(132)는 냉각 베이스(130) 상에 직접적으로 배치될 수 있다.
[0031] 프로세싱 챔버(100) 내의 기판(134)에 대한 표면의 온도는, 펌프에 의한 프로세스 가스들의 진공배기(evacuation), 슬릿 밸브 도어, 플라즈마(122) 및 다른 팩터들에 의해 영향을 받을 수 있다. 냉각 베이스(130), 하나 또는 그 초과의 메인 저항성 히터들(154), 및 부차 히터들은 모두, 기판(134)의 표면 온도를 제어하는 것을 돕는다.
[0032] 픽셀화된 ESC(132)는 장착 표면(131), 및 장착 표면(131) 반대편의 워크피스 표면(133)을 갖는다. 픽셀화된 ESC(132)의 워크피스 표면(133)은, 픽셀화된 ESC(132)의 워크피스 표면(133)과 기판(134) 간에 정의되는 사이 공간(interstitial space)에 이면측 열 전달 가스(backside heat transfer gas)를 제공하기 위한 가스 통로(gas passage)들(미도시)을 포함할 수 있다. 픽셀화된 ESC(132)는 또한, 프로세싱 챔버(100) 내로의 그리고 프로세싱 챔버(100)로부터의 로봇식(robotic) 이송을 용이하게 하기 위해, 기판(134)을 픽셀화된 ESC(132)의 워크피스 표면(133) 위로 들어올리기 위한 리프트 핀들을 수용하기 위한 리프트 핀 홀들(리프트 핀들 및 리프트 핀 홀들 모두 미도시)을 포함할 수 있다.
[0033] 픽셀화된 ESC(132)는 일반적으로, 하나 또는 그 초과의 척킹 전극들(136)이 내부에 매립되어 있는 유전체 본체(150)를 포함한다. 유전체 본체(150)는 또한, 내부에 매립된 하나 또는 그 초과의 픽셀 전극들(140)을 가질 수 있다. 픽셀 전극들(140)은 척킹 전극(136)과 동일 평면상에 있을 수 있다. 픽셀 전극들(140)은 척킹 전극(136)에 의해 산재될(interspersed) 수 있는 바, 예를 들어, 픽셀 전극들(140)은, 복수의 척킹 전극들(136) 사이에 산재되는, 또는 단일 척킹 전극(136)에 형성된 애퍼처(aperture)들 내에 산재되는 그리드(grid) 또는 폴라(polar) 어레이로 배열될 수 있다.
[0034] 픽셀 전극들(140) 및 척킹 전극(136)은, MEMS 기술을 사용하여 직접적으로 퍽(228) 내에 통합될 수 있다. 각각의 픽셀 전극(140)은, 척킹 전극(136)에 의해, 인접하는 픽셀 전극(140)으로부터 분리될 수 있다. 픽셀 전극들(140) 및 척킹 전극(136)은, 도금(plating), 잉크 젯 프린팅(ink jet printing), 스크린 프린팅, 물리 기상 증착, 스탬핑(stamping), 와이어 메쉬(wire mesh) 또는 다른 적절한 방식에 의해 형성될 수 있다.
[0035] 유전체 본체(150)를 다시 참조하여, 유전체 본체(150)는, 기판과 직경이 동일하거나 또는 약간 더 크게 되도록 구성된 편평한(flat) 디스크 형태를 가질 수 있다. 유전체 본체(150)는 대안적으로, 다른 형태들, 이를 테면 직사각형, 정사각형 또는 다른 평면 형태(plan form)를 가질 수 있다. 유전체 본체(150)는, 세라믹 재료, 이를 테면 AlN 또는 Al2O3로 제조될 수 있다. 세라믹 재료로 제조될 때, 유전체 본체(150)는 (도 2에서 퍽(228)으로서 도시된) 퍽으로서 지칭될 수 있다. 대안적으로, 유전체 본체(150)는 폴리머, 이를 테면 폴리이미드, 폴리에테르에테르케톤(polyetheretherketone), 폴리아릴에테르케톤(polyaryletherketone) 등으로 제조될 수 있다. 폴리머로 제조될 때, 유전체 본체(150)는 플렉스 스택(flex stack)으로서 지칭될 수 있다.
[0036] 픽셀화된 ESC(132)의 본체(150)는, 본체(150)에 대한 단일 매스(single mass)를 형성하기 위해 압력하에서 가열되는 두개 또는 그 초과의 층들로 형성될 수 있다. 예를 들어, 본체(152)는 폴리이미드 층들로 형성될 수 있으며, 이러한 층들 상에 또는 이러한 층들 사이에 픽셀 전극들(140) 및 척킹 전극들(136)이 있다. 몇몇 실시예들에서, 메인 저항성 히터들(154)이 또한, 폴리이미드 층들 상에 또는 이러한 폴리이미드 층들 사이에 형성될 수 있다. 대안적으로, 픽셀화된 ESC(132)는 세라믹 재료로 형성될 수 있다. 픽셀화된 ESC(132)는 소결될(sintered) 수 있고, 그 내에 매립된, 하나 또는 그 초과의 척킹 전극들(136) 및 픽셀 전극들(140)을 포함할 수 있다.
[0037] 척킹 전극(136)은, 바이폴라 전극, 또는 다른 적절한 배열로서 구성될 수 있다. 척킹 전극(136)은 RF 필터(182)를 통해 척킹 전력 소스(138)에 커플링되며, 척킹 전력 소스(138)는, 픽셀화된 ESC(132)의 상부 표면에 기판(134)을 정전기적으로 고정시키기 위해 RF 또는 DC 전력을 제공한다. RF 필터(182)는, 프로세싱 챔버(100) 내에서 플라즈마(122)를 형성하는 데에 활용되는 RF 전력이, 챔버 바깥쪽에 전기적 위험을 야기하거나(presenting) 전기 장비를 손상시키는 것을 막는다. 일 실시예에서, 척킹 전력 소스(138)는 하나 또는 그 초과의 척킹 전극들(136)에 고 전압을 제공한다.
[0038] 복수의 픽셀 전극들(140)이, 픽셀화된 ESC(132) 내에 배치될 수 있고 그리고 척킹 전극들(136)에 매우 근접하게 배열될 수 있다. 픽셀 전극들(140)은 전기 리드(electrical lead)들(146)을 통하여 (도 4 및 5에 도시된) 픽셀 캐패시터들을 통해 접지(142)에 커플링된다. 픽셀 캐패시터들은 고정된 또는 가변 캐패시턴스를 갖도록 구성될 수 있으며, 각각의 픽셀 캐패시터의 값은, ESC(132)와 기판들 간의 전력 커플링의 국부적, 측방향, 및/또는 방위각 방향 튜닝을 가능하게 하여, 결국, 에칭 프로세싱 챔버(100) 내에서 국부적, 측방향 및/또는 방위각 방향 프로세스 결과들이 튜닝될 수 있도록 하기 위해, 픽셀화된 ESC(132)의 많은 별개의 영역들에 걸친 RF 커플링을 독립적으로 그리고 국부적으로 제어하도록 선택된다.
[0039] 하나 또는 그 초과의 실시예들에서, 픽셀 캐패시터들은, 그 캐패시턴스가 기계적으로 또는 전자적으로 변화될 수 있는 가변 캐패시터들일 수 있다. 픽셀 제어기(210)가 픽셀 캐패시터들의 캐패시턴스를 제어하기 위해 활용될 수 있다. 픽셀 캐패시터들의 캐패시턴스의 변화는, 접지(142)로의 기판(134)을 통한, 척킹 전극(136)과 하나 또는 그 초과의 픽셀 전극들(140) 간의 전력 커플링의 친화력(affinity)에 영향을 미치고, 그에 의해, 픽셀화된 ESC(132)에 기판(134)을 정전기적으로 척킹하도록 하는 데에 활용될 수 있다.
[0040] 픽셀 캐패시터들은, 레지스터(resistor)들, 인덕터들 및 멤리스터(memristor)들과 함께, 프로세싱 결과들의 튜닝가능한 제어를 허용하면서 척킹 전력을 전달하는 데에 활용되는 전자 장비를 위한 "수동 컴포넌트(passive component)들"의 그룹에 속한다. 픽셀 캐패시터들은, 가변 (트리머(trimmer)) 또는 조정가능한 (튜닝가능한) 캐패시턴스 값들을 갖는 가변 캐패시터들 및/또는 고정된 캐패시턴스 값들을 가질 수 있다. 픽셀 캐패시터들은, 그 캐패시턴스가 픽셀 제어기(210)에 의해 제어될 수 있는, 집적 회로(IC) 가변 캐패시터와 같은, 디지털 방식으로(digitally) 튜닝되는 캐패시터일 수 있다. 픽셀 캐패시터들의 캐패시턴스 값들은, 에칭 프로세싱 챔버(100) 내에서의 에칭 레이트를 제어하기 위해 RF 신호를 튜닝하도록 구성될 수 있다.
[0041] 하나 또는 그 초과의 실시예들에서, 픽셀 캐패시터들은 고상 전계-효과 트랜지스터(FET) 스위치들로서 제조될 수 있다. 픽셀 캐패시터들은 MEMS(microelectromechanical system), BST(barium strontium titanate) 기반 디바이스, SOI(silicon-on-insulator) 기반 디바이스/SOS(silicon-on-sapphire) 기반 디바이스, 강유전체 기반 디바이스(ferroelectric based device), 또는 다른 적합한 디바이스 테크놀러지(technology)일 수 있다. MEMS 디바이스들은 매우 선형적(linear)이며, 그에 따라, 안테나 애퍼처 튜닝, 다이내믹 임피던스 매칭, 전력 증폭기 로드(load) 매칭 및 조정가능한 필터들에 대해 적합하다. BST 디바이스들은 디바이스에 고 전압을 인가함으로써 캐패시턴스를 변화시킨다. 튜닝 정확도는, 고 전압을 발생시키는 D-A 컨버터 회로의 정확도에 의해서만 제한된다. BST 디바이스들은, 요구가 많은(demanding) 어플리케이션들에서 선형성(linearity) 및 변화하는 온도들에 대한 우수한 안정성(stability)을 갖는다. SOI/SOS 튜닝 디바이스들은, 상이한 캐패시턴스 값들을 달성하기 위해, 이진-가중 값(binary-weighted value)들로 배열되는 MIM(metal-insulator-metal) 캡(cap)들을 사용한다. SOI/SOS 스위치들은 높은 선형성을 가지며, 그리고 고 전압들이 존재하지 않는 저 전력 어플리케이션들에 대해 매우 적합하다. 고 전압 내구성(endurance)은 다수의 직렬의 FET 디바이스들을 필요로 하며, 이는 직렬 저항을 부가하고 큐 팩터(quality factor)를 낮춘다. 일 실시예에서, 픽셀 전극들(140)은 디지털 방식으로 튜닝되는 가변 MEMS 디바이스들이다.
[0042] 플라즈마 기반의 프로세스들은, ESC에 대한 작은 국부적인 무선 주파수(RF) 커플링 변동들에 대해 매우 민감할 수 있다. 픽셀화된 ESC(132)는, 기판(134)의 측방향 프로파일(lateral profile)에 걸쳐 별개의 위치들에서 에칭 레이트의 옹스트롬-레벨의 CD 제어를 제공하기 위해 표면 캐패시턴스가 제어되도록 허용한다.
[0043] 도 2는 기판 지지 어셈블리(126)의 부분들을 예시하는 개략적인 부분 단면도이다. 도 2에는, 픽셀화된 ESC(132), 히터 어셈블리(170), 냉각 베이스(130), 및 퍼실리티 플레이트(180)의 부분들이 포함된다.
[0044] 히터 어셈블리(170)는 선택적일 수 있고, 절연 영역(insulated region)(264)을 가질 수 있다. 절연 영역(264)은, 픽셀 캐패시터들 및 픽셀 제어기(210)를 통해 접지(142)로 픽셀 전극들(140)을 연결하는 전기 리드들(146)로부터 히터 어셈블리(170)를 보호할 수 있다. 메인 저항성 히터들(154)이, 픽셀화된 ESC(132) 내에 있는 실시예들에서는, 기판 지지 어셈블리(126)에 히터 어셈블리(170)가 없을 수 있다.
[0045] 히터 어셈블리(170)는, 결합제(bonding agent)(244)를 활용하여, 픽셀화된 ESC(132)의 장착 표면(131)에 커플링될 수 있다. 결합제(244)는 접착제(adhesive), 이를 테면, 아크릴-계 접착제, 에폭시, 실리콘 계 접착제, 네오프렌-계 접착제 또는 다른 적합한 접착제일 수 있다. 일 실시예에서, 결합제(244)는 에폭시이다. 결합제(244)는, 0.01 내지 200 W/mK 범위, 및 하나의 예시적인 실시예에서는 0.1 내지 10 W/mK 범위에서 선택되는 열 전도율 계수를 가질 수 있다. 결합제(244)를 포함하는 접착제 재료들은, 적어도 하나의 열 전도성 세라믹 필러(filler), 예를 들어, 산화 알루미늄(Al2O3), 질화 알루미늄(AlN), 및 티타늄 디보라이드(titanium diboride)(TiB2) 등을 부가적으로 포함할 수 있다. 결합제(244)는, 픽셀화된 ESC(132) 또는 히터 어셈블리(170)를 리퍼비싱(refurbishing)할 때 제거될 수 있다. 다른 실시예들에서, 픽셀화된 ESC(132)는, 파스너들 또는 클램프들(미도시)를 활용하여 히터 어셈블리(170)에 제거가능하게 커플링된다.
[0046] 픽셀화된 ESC(132)의 본체(150)는 일반적으로, 평면 형태에 있어서 원통형일 수 있지만, 또한, 다른 기하학적 형상들로 형성될 수 있다. 본체(150)는 세라믹일 수 있으며, 도 2에 예시된 퍽(228)으로 소결될 수 있다. 퍽(228)은, 기판(134)을 상부에 지지하기 위한 워크피스 표면(133)을 갖는다. 본체(150)는, 히터 어셈블리(170)를 향하는(facing) 장착 표면(131)을 부가적으로 포함할 수 있다.
[0047] 기판(134)의 상단 표면(top surface)과 전극들(136, 140) 간에 배치된 퍽(228)의 부분은, 기판(134)에 전력을 용량적으로 커플링하기 위한 유전체(226)를 형성한다. 퍽(228)에 대한 더 편평한 토포그라피(topography) 또는 유전체(226)에 대한 더 두꺼운 깊이로부터 비롯되는, 기판(134)에 커플링되는 더 많은 전력은, 에칭 레이트를 낮출 수 있으며, 그 반대의 경우도 마찬가지이다. 0.25℃ 만큼 낮은 온도 균일성 조차도 우수한 측방향 에칭 균일성을 유지하는 데에 충분하지 않기 때문에, 기판(134)으로의 전력의 커플링이, 10 nm 보다 작은 반도체 기술 노드들을 형성하는 데에 중요한 역할을 한다. 따라서, 픽셀화된 ESC(132)에 걸쳐서 측방향으로의 별개의 위치들에서의, 픽셀화된 ESC(132)와 기판(134) 간의 전력 커플링의 독립적인 제어는, 픽셀 전극들(140) 중 적어도 하나와 그 주위의 척킹 전극(136)과 관련된, 픽셀화된 ESC(132)의 각각의 위치에서 에칭 레이트가 독립적으로 제어되도록 허용한다는 것이 발견되었다.
[0048] 통상의 ESC는, ESC 설계 및 사용되는 재료에 따라, 기판과 척킹 전극들 간의 별개의 위치들에서 상이한 캐패시턴스를 가질 수 있다. 예를 들어, 완전히 편평한 웨이퍼와 완전히 편평한 통상의 ESC 간의 캐패시턴스는 약 220 pF 일 수 있다. 퍽 표면 편평도(flatness) 및 거칠기, 및 다른 팩터들, 이를 테면, 다른 팩터들 중에서, 유전체 깊이, ESC 표면으로부터의 척킹 전극의 거리, 및 퍽의 재료에 있어서의 변동을 고려하면, 캐패시턴스는, 다른 영역들과 비교하여, ESC의 하나의 영역에서 훨씬 더 클(high) 수 있다. 예를 들어, 일부 통상의 ESC들은 기판 지지 표면에 걸쳐서 약 몇천 피코-패러데이의 캐패시턴스의 변화를 가질 수 있다.
[0049] 본원에서 설명되는 픽셀화된 ESC(132)의 실시예들은, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 국부적인 캐패시턴스 변동을 10% 미만까지 제어함으로써, 약 5Å 이내 까지로의 에칭 레이트 균일성의 제어를 허용한다. 예를 들어, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 국부적인 캐패시턴스 변동은 약 20 pF 내지 약 몇백 피코-패러데이로 제어될 수 있다.
[0050] 하나 또는 그 초과의 실시예들에서, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 국부적인 캐패시턴스 변동은, 10% 미만의 캐패시턴스 변동을 달성하기 위해, 유전체(226)의 깊이에 대한 허용오차(tolerance)를 충분히 엄격하게 함(tightening)으로써 제어될 수 있다. 예를 들어, 유전체(226)의 깊이에 대한 허용오차는, 10% 미만의 캐패시턴스 변동을 달성하기 위해, 나머지 5%가 도핑 변화들과 관련되는 경우, 약 5% 미만으로 엄격하게 될 수 있다.
[0051] 유전체(226)의 깊이에 대한 허용오차를 엄격하게 하는 것에 부가하여, 또는 이에 대한 대안으로, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 국부적인 캐패시턴스 변동은 퍽(228)에 대한 표면의 편평도 및 지형적 균일성(topographical uniformity)을 충분히 개선시킴으로써 제어될 수 있다. 예를 들어, 퍽(228)의 편평도의 허용오차는 약 10 ㎛ 미만일 수 있다. 퍽(228)의 편평도를 개선하는 것에 부가하여, 또는 이에 대한 대안으로, 퍽(228)의 표면 거칠기 변동은 약 10 ㎛ 미만일 수 있다.
[0052] 퍽(228) 및 유전체(226)의 물리적 속성들(즉, 깊이 허용오차, 편평도, 거칠기 등) 중 하나 또는 그 초과를 개선하는 것에 부가하여, 또는 이에 대한 대안으로, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 국부적인 캐패시턴스 변동은, 개별적인 픽셀 전극들(140)에 커플링되는 픽셀 캐패시터들 각각에 대한 적절한 캐패시턴스를 선택함으로써 제어될 수 있다. 개별적인 픽셀 전극들(140)에 커플링되는 픽셀 캐패시터들 각각에 대한 적절한 캐패시턴스를 선택함으로써, 퍽(228) 및 유전체(226)의 물리적 속성들의 변동, 또는 프로세싱 환경에 있어서의 변동이 보상될 수 있게 되어, 이를 테면, 에칭 레이트 균일성을 약 5Å 이내로 유지하는 것과 같은, 요구되는 프로세싱 결과들을 달성할 수 있다.
[0053] 픽셀 제어기(210)는, 개별적인 픽셀 전극들(140)에 커플링되는 픽셀 캐패시터들 각각에 대한 적절한 캐패시턴스를 선택하기 위해 활용될 수 있다. 예를 들어, 픽셀 제어기(210)는, 픽셀 제어기(210)에 의해 발생되는 제어 신호들을 활용하여 각각의 픽셀 캐패시터의 캐패시턴스를 제어할 수 있다.
[0054] 픽셀화된 ESC(132)의 전력 커플링 프로파일을 정정하거나 평활시키기(smooth out) 위해, 독립적으로 제어가능한 픽셀 캐패시터들을 사용하게 되면, 매우 작은 허용오차들로의, 기판(134)에 걸친 국부적인 RF 균일성의 제어를 가능하게 한다. 기판(134)에 걸친 국부적인 RF 균일성은, 기판(134)을 프로세싱할 때, 정확한 프로세스 및 CD 제어를 가능하게 한다. 부가적으로, 픽셀 전극들(140)의 작은 크기 및 높은 밀도는, 픽셀화된 ESC(132)의 이웃하는 영역들의 전력 커플링에 실질적으로 영향을 미치지 않으면서, 기판(134)을 통한, 단일의 픽셀 전극(140)과 인접하는 척킹 전극(136) 간의 전력 커플링의 별개의 국부적인 제어를 가능하게 하며, 그에 의해, 전력 커플링의 국부적인 제어를 허용한다. 복수의 픽셀 전극들(140)을 갖는 기판 지지 어셈블리(126)는, 그 위에서 프로세싱되는 기판(134)의 캐패시턴스 균일성을 약 10% 미만으로 제어할 수 있고, 결과적으로, 에칭 균일성에 있어서의 프로세스 편차들을 약 5Å까지 아래로 제어할 수 있는 능력을 나타내었다.
[0055] 도 3은 도 2의 A-A 섹션 라인을 따라 절취한, 픽셀화된 ESC(132)의 부분적인 평면 단면도이다. 픽셀화된 ESC(132) 내에서의 픽셀 전극들(140) 및 척킹 전극들(136)의 레이아웃은 예로서 제공된 것이며, 대안적인 방식들로 배열된다. 픽셀 전극들(140)은 도 2의 픽셀화된 ESC(132)를 통해 A-A 단면 라인의 평면을 따라 배치된다. 도시된 척킹 전극들(136) 및 픽셀 전극들(140)의 수는 단지 예시를 위한 것이며, 임의의 수의 실시예들은 실질적으로 더 많거나 (또는 더 적은) 픽셀 전극들(140) 및 척킹 전극들(136)을 가질 수 있다. 부가적으로, 척킹 전극들(136)은, 복수의 공통으로(commonly) 바이어싱되는 세그먼트들과 같은, 독립적으로 바이어스가능한(biasable) 세그먼트들의 형태, 또는 다른 구성일 수 있다. 픽셀화된 ESC(132)의 워크피스 지지 표면에 걸친 국부적인 캐패시턴스는, 에칭 레이트의 옹스트롬-레벨 제어를 제공하기 위해 픽셀 캐패시터들에 의해 제어될 수 있다.
[0057] 픽셀 제어기, 이를 테면 도 2에 도시된 픽셀 제어기(210)는 각각의 픽셀 캐패시터를 제어할 수 있다. 일 실시예에서, 픽셀 캐패시터들, 및 선택된 픽셀 전극들(140)에 픽셀 캐패시터들을 커플링하기 위한 스위치들이 픽셀 제어기(210) 내에 배치된다. 픽셀 제어기(210)는, 단일의 픽셀 전극(140)을, 이웃하는 픽셀 전극들(140)과 동일한 또는 상이한 캐패시턴스를 갖는 캐패시터에 커플링시킬 수 있다. 다른 실시예들에서, 픽셀 제어기(210)는 인접하는 픽셀 전극들(140)의 그룹을, 픽셀 전극들(140)의 이웃하는 그룹들의 캐패시턴스와 동일하거나 상이할 수 있는, 동일한 캐패시턴스를 갖는 캐패시터들에 커플링시킬 수 있으며, 그에 의해, 다른 구역들로부터 독립적으로 제어될 수 있는, 픽셀화된 ESC(132)의 구역 또는 부분을 정의할 수 있다. 픽셀 제어기(210)는, 내측 웨지(inner wedge), 주변 그룹(perimeter group), 파이 형상 영역(pie shaped area) 또는 비 인접(non-contiguous) 구성들을 포함하는 다른 요구되는 기하학적 구성을 정의하기 위해 그룹화된(grouped) 복수의 픽셀 전극들(140)을 커플링시킬 수 있다. 따라서, 각각의 픽셀 전극(140)의 국부적인 캐패시턴스를 제어함으로써, RF 커플링이, 픽셀화된 ESC(132)의 표면을 따라 독립적인 위치들에서 정확하게 제어될 수 있으며, 이는 기판 프로세싱 결과들의 보다 정확한 제어를 가능하게 한다. 픽셀 전극들(140)에 대해 도시된 패턴이 작은 유닛(unit)들의 그리드로서 배열되어 있지만, 대안적으로, 패턴은 더 크고 그리고/또는 더 작은 유닛들을 가질 수 있어서, 엣지까지 연장되거나, 또는 다른 배열들로 이루어질 수 있다.
[0058] 픽셀 전극들(140)의 개수는 척킹 전극들(136)의 개수와 실질적으로 같을 수 있다. 대안적으로, 픽셀 전극들(140)의 개수는 척킹 전극들(136)의 개수를 실질적으로 초과하거나 또는 그 미만일 수 있다. 기판 지지 어셈블리(126)에 걸쳐서 위치되는 픽셀 전극들(140)의 개수는 몇 백개를 용이하게 초과할 수 있다. 일 실시예에서, 각각의 척킹 전극(136)에 대한 대응하는 픽셀 전극(140)이 존재한다. 대안적인 실시예에서, 하나 또는 그 초과의 척킹 전극에 대한 픽셀 전극들(140)의 대응하는 그룹들이 존재한다.
[0059] 픽셀 전극들(140)은, 기판과 접지 간에 요구되는 RF 커플링 프로파일을 효율적으로 생성하기 위한 패턴으로 구성될 수 있다. 패턴은, 중심점(midpoint)을 중심으로 대칭적인 (도시된 바와 같은) 그리드일 수 있거나, 또는 리프트 핀들 또는 다른 기계적, 유체 또는 전기 연결들 및 포트들(미도시)을 위한 홀들 내에 그리고 그러한 홀들 근방에 간극(clearance)을 제공하는 다른 적합한 패턴일 수 있다.
[0060] 도 4는 도 3의 B-B 섹션 라인을 따라 절취한 픽셀화된 ESC(132)의 단면도이다. 도 4는, 픽셀화된 ESC(132) 내의 픽셀 전극들(140)에 커플링되는 캐패시터들에 대한 단순화된 예시적인 배선 개략도를 예시한다. 도 4에서의 픽셀화된 ESC(132)는, 그 내에 형성된 척킹 전극들(136) 및 픽셀 전극들(140)을 도시한다. 픽셀 전극들(140)은, 예를 들어, 캐패시터 뱅크(capacitor bank)(410) 내에 상주하는 하나 또는 그 초과의 캐패시터들(440)에 커플링된다. 캐패시터 뱅크(410)는 픽셀 제어기(210) 또는 다른 적합한 위치에 배치될 수 있다. 캐패시터들(440)은 고정된 또는 가변 캐패시턴스를 가질 수 있다. 캐패시턴스가 가변적인 실시예들에서, 캐패시터(440)의 캐패시턴스는 픽셀 제어기(210)로부터의 신호에 응답하여 선택될 수 있다.
[0061] 픽셀 제어기(210)는, 전기 리드들(141)을 통해 캐패시터들(440)을 각각의 픽셀 전극들(140)에 선택적으로 커플링시키는 개별적인 회로들을 개방시키고 그리고/또는 폐쇄시키기 위한 제어기(412)를 가질 수 있다. 픽셀 제어기(210)의 회로들이 폐쇄 포지션(closed position)에 있을 때, 픽셀 전극(140)은 캐패시터들(440) 중 적어도 하나를 통해 접지(142)에 커플링된다. 일 실시예에서, 제어기(412)는 픽셀 전극(140F) 및 캐패시터(440F)를 전기 리드(141F)를 통해 접지(142)에 연결할 수 있는 한편, 나머지 캐패시터들(440) 중 하나 또는 그 초과의 캐패시터는 접지(142)에 대해 플로팅된다(이들의 회로들은 개방 상태에 있기 때문이다). 이러한 구성에서, 픽셀 전극(140F)에 대해 국부적인, 픽셀화된 ESC(132)의 영역은, 예를 들어, 전극(140G)에 대해 국부적인, 픽셀화된 ESC(132)의 영역 보다 더 강한 RF 커플링을 가질 수 있다. 제어기(412)는, 픽셀 전극(140F) 및 캐패시터(440F)가 접지(142)에 이르거나 또는 접지에 대해 플로팅 상태에 있는 듀티 사이클 또는 지속기간을 제어할 수 있다. 제어기(412)는 부가적으로, 픽셀 전극(140F) 또는 다른 픽셀 전극(140)에 대하여, 다른 픽셀 전극들(140) 및 캐패시터들(440)이 접지(142)에 이르거나 또는 접지에 대해 플로팅 상태가 되는 듀티 사이클 또는 지속기간을 제어할 수 있다. 이러한 방식으로, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 각각의 위치에서 상대적 캐패시턴스(relative capacitance)가 시간의 경과에 따라 제어될 수 있으며, 그에 의해, 국부적인 RF 커플링의 제어를 허용하고, 그에 따라, 요구되는 바에 따라, 국부적인 프로세싱 결과들이 조정될 수 있게 한다.
[0062] 다른 실시예에서, 픽셀 전극들(140)과 접지 간의 상대적 연결들의 지속기간 및 듀티 사이클을 제어하는 것에 부가하여 또는 이에 대한 대안으로, 제어기(412)는, 픽셀화된 ESC(132)의 기판 지지 표면에 걸친 각각의 위치에서의 상대적 캐패시턴스가 제어될 수 있도록, 각각의 캐패시터(440)에 대한 캐패시턴스를 프로그램에 따라(programmatically) 변화시킬 수 있다. 픽셀 전극들(140)의 개수 및 밀도가, 기판(134)에 걸친 RF 커플링의 균일성을 매우 작은 허용오차들로 제어하기 위한 능력에 기여한다. 따라서, 각각의 픽셀 전극(140)과 접지 간의 캐패시턴스를 다른 픽셀 전극(140)에 대하여 개별적으로 제어하는 것은, 픽셀화된 ESC(132)와 기판(134) 간의 특정의 위치들에서의 RF 커플링의 국부적인 그리고 측방향 제어를 가능하게 하고, 이는 결국, 기판(134)을 프로세싱하면서 정확한 프로세스 및 CD 제어를 가능하게 한다.
[0063] 도 5는 픽셀 전극(140)을 접지(142)에 커플링하기 위한 가변 캐패시터(500)에 대한 부분적인 배선 개략도의 일 실시예를 예시한다. 가변 캐패시터(500)는, 예를 들어, 도 4에 도시된 캐패시터(440)를 대체함으로써, 접지(142)와 픽셀 전극들(140) 중 임의의 픽셀 전극 사이에서, 상기 설명된 픽셀 제어기(210)에서 활용될 수 있다. 가변 캐패시터(500)는, 디커플링 레지스터(decoupling resistor)들(506)을 통해 박막 트랜지스터(508)(TFT)에 커플링되는, 고정 캐패시터(fixed capacitor)들(570)과 가변 캐패시터들(505)의 혼합(mix)을 포함할 수 있다. 가변 캐패시터(500)는 일반적으로, 전압 제어 가변 캐패시터(버랙터(varactor))로서 기능하며, 그리고 가변 캐패시터들(505)을 MEMs 제어 엘리먼트들로서 활용할 수 있다. 가변 캐패시터(500)는 분기(branch)들(510, 520, 530, 540, 550, 560)을 포함하며, 각각의 분기는 적어도 하나의 가변 캐패시터(505) 및 적어도 하나의 고정 캐패시터(570)를 포함한다.
[0064] MEMs 제어 엘리먼트들로서 구성될 때, 가변 캐패시터들(505)은 이진(binary)(온/오프) 제어되는 바, 즉 스위칭가능하다. 가변 캐패시터들(505)은 가변 캐패시터(500)에 약 0 pF 내지 약 3.4 pF 의 캐패시턴스를 제공할 수 있다. 각각의 고정 캐패시터(570)는 가변 캐패시터(500)에 약 0.5 pF 내지 약 74 pF 의 총 캐패시턴스를 제공할 수 있다. 부가적으로, TFT(508)와 캐패시터들(505, 570) 사이에 배치된 디커플링 레지스터들(506)은 약 5 옴(ohm)의 저항을 개별적으로 제공할 수 있다.
[0065] 가변 캐패시터(500)에 대한 캐패시턴스는, 가변 캐패시터(500)를 구성하는 하나 또는 그 초과의 분기들(510, 520, 530, 540, 550, 560)을 따라서, 선택가능한 이진 가중 캐패시턴스(binary weighted capacitance)를 갖도록 구성될 수 있다. TFT(508)는, 분기들(510, 520, 530, 540, 550, 560) 중 어떠한 하나 또는 그 초과의 분기들이 플로팅되는지, 그리고 분기들(510, 520, 530, 540, 550, 560) 중 어떠한 하나 또는 그 초과의 분기들이 픽셀 전극(140)을 접지(142)에 커플링시키는 지를 선택하는 데에 사용될 수 있다.
[0066] 각각의 분기(510, 520, 530, 540, 550, 560)에 대한 총 캐패시턴스는, 2개의 캐패시터들의 세트, 즉 가변 캐패시터(505) 및 고정 캐패시터(570)의 조합이다. 각각의 분기(510, 520, 530, 540, 550, 560)는 동일한 범위의 캐패시턴스 또는 상이한 캐패시턴스들을 가질 수 있다. 예를 들어, 분기(560)가 가장 큰 총 캐패시턴스를 갖도록 구성될 수 있고, 분기(550)가 그 다음으로 가장 큰 총 캐패시턴스를 갖도록 구성될 수 있고, 이하 마찬가지로 구성되며, 분기(510)는 가장 작은 총 캐패시턴스를 갖는다. 분기(560)는 6개의 스위칭가능한 가변 캐패시터들(505)(각각 총 약 20.4 pF 에 대해 약 3.4 pF 로 설정되는 캐패시턴스를 가짐)로 구성될 수 있으며, 약 74 pF 의 캐패시턴스를 갖는 고정 캐패시터(576)와 병렬로 배열된다. 이는 분기(560)에 대해 16 pF 의 총 캐패시턴스를 산출한다. 분기들(550, 540, 530, 520, 510)은 유사하게 구성되며, 그리고 각각, 8, 4, 2, 1, 및 0.5 pF 의 총 캐패시턴스를 가질 수 있다. 3.4 pF 미만의 총 캐패시턴스를 갖는 분기들(530, 520, 510)은, 단일의 고정 캐패시터(570)와 병렬 또는 직렬인 단일의 MEMs 가변 캐패시터(505)를 사용할 수 있다. 고정 캐패시터(570)는 분기들(530, 520, 510)에 대한 총 캐패시턴스를 요구되는 값으로 감소시키도록 구성될 수 있다. 예를 들어, 가장 작은 분기(510)는 약 0.6 pF 의 고정 캐패시터(570)와 병렬인 단일의 가변 캐패시터(505)를 가질 수 있다. 따라서, 가장 작은 분기(510)는, 가변 캐패시터(505)가 작동될 때, 즉 스위치 온 될 때, 0.5 pF 의 유효 캐패시턴스를 가질 수 있다. 따라서, 다양한 분기들(510, 520, 530, 540, 550, 560) 내의 가변 캐패시터들(505)을 선택적으로 스위칭 온/오프시킴으로써, 약 0.5 pF 내지 약 31.5 pF 의 총 캐패시턴스가 달성될 수 있다.
[0067] 대안적으로, 고정 캐패시터들(576)은 RF MEMs 캐패시터 셀들, 이를 테면 MEMs 가변 캐패시터(505)와 직렬일 수 있다. 하나 또는 그 초과의 인라인(inline) 캐패시터들이 픽셀 전극(140)에 직렬로 연결될 수 있다. 이러한 구성에서, 캐패시터들(576, 505)은, 캐패시터들(576, 505)이 병렬로 배치되는 구성들에서 보다 더 높은 전압들을 받을 수 있다. 따라서, RF MEMs 캐패시터 셀들, 이를 테면 MEMs 가변 캐패시터(505)에 대한 직렬 구성은 높은 전압들을 핸들링하도록 구성될 수 있다.
[0068] 도 6은, 특히, 상기 설명된 픽셀화된 정전 척과 같은 픽셀화된 정전 척을 활용하여 기판을 프로세싱하기 위한 방법(600)에 대한 일 실시예의 흐름도이다. 방법(600)은, 블록(602)에서, 픽셀화된 정전 척 내에 형성된 메인 전극에 전력을 인가함으로써 시작된다. 메인 전극은 단일 전극일 수 있거나, 또는 구역들로 세그먼팅될 수 있다. 픽셀화된 정전 척 내의 메인 전극의 구역들은 독립적으로 제어가능할 수 있다.
[0069] 블록(604)에서, 픽셀화된 정전 척 내에 측방향으로 분배된 복수의 픽셀 전극들 중 하나 또는 그 초과의 픽셀 전극들이 접지에 선택적으로 커플링되어, 정전 척의 표면에 그러한 기판을 효과적으로 척킹한다. 접지로의 각각의 픽셀 전극의 커플링의 듀티 사이클 및/또는 지속기간이, 픽셀화된 정전 척 내에 배치된 다른 픽셀 전극들에 대하여 제어될 수 있어서, 정전 척 상에 배치된 기판에 대한 RF 커플링을 제어할 수 있다. 몇몇 실시예들에서, 접지로의 각각의 픽셀 전극의 커플링은, 픽셀화된 정전 척에 걸쳐서 순차적으로 스캐닝될(scanned) 수 있다. 다른 실시예들에서, 접지로의 각각의 픽셀 전극의 커플링의 듀티 사이클 및/또는 지속기간에 부가하여, 선택적으로, 단일 픽셀 전극과 접지 간의 캐패시턴스가, 픽셀화된 정전 척 내에 배치된 다른 픽셀 전극들의 접지 경로들에 대하여 제어가능하게 선택될 수 있다. 픽셀 전극과 접지 사이의 국부적인 캐패시턴스를 조정함으로써, 기판에 걸친 프로세싱 결과들의 국부적, 측방향, 및/또는 방위각 방향 튜닝이 구현될 수 있다.
[0070] 블록(606)에서, 픽셀화된 정전 척 상에서 기판이 프로세싱될 수 있다. 예를 들어, 기판은, 예를 들어 플라즈마 프로세스를 사용하여, 진공 챔버에서 프로세싱될 수 있다. 프로세싱 챔버 내에서 플라즈마의 존재하에서 선택적으로 수행될 수 있는 진공 프로세스는, 에칭, 화학 기상 증착, 물리 기상 증착, 이온 주입, 플라즈마 처리, 어닐링, 산화물 제거, 저감(abatement) 또는 다른 플라즈마 프로세스 중 하나일 수 있다. 워크피스가, 다른 애플리케이션들에 대해, 다른 환경들에서, 예를 들어 대기 조건(atmospheric condition)들에서, 픽셀화된 정전 척의 RF 제어되는 표면 상에서 프로세싱될 수 있음이 고려된다. 일 실시예에서, 픽셀화된 정전 척 상의 기판은 에칭되어, 서브(sub) 10 nm 반도체 기술 노드들을 형성한다.
[0071] 선택적으로, 블록(608)에서, 픽셀화된 정전 척 내에 측방향으로 분배되는 복수의 픽셀 전극들 중 하나 또는 그 초과의 픽셀 전극과 접지 간의 커플링은, 프로세스 레시피의 변화 또는 프로세스 조건들의 변화에 응답하여 변화될 수 있다. 예를 들어, 픽셀 전극들 중 하나 또는 그 초과의 픽셀 전극과 접지 간의 캐패시턴스는, 프로세스 레시피의 변화 또는 프로세스 조건들의 변화에 응답하여 픽셀 제어기(210)로부터의 커맨드들을 활용하여 변화될 수 있다. 다른 예에서, 픽셀 전극들 중 하나 또는 그 초과의 픽셀 전극의 커플링은, 프로세스 레시피의 변화 또는 프로세스 조건들의 변화에 응답하여, 픽셀 제어기(210)로부터의 커맨드들을 활용하여 플로팅과 접지 사이에서 스위칭될 수 있다.
[0072] 전술한 내용이 본 발명의 구현예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 구현예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (9)

  1. 정전 척(Electrostatic chuck. ESC)에 대한 척킹 회로로서,
    상기 척킹 회로는,
    워크피스 지지 표면을 가지는 유전체 본체 ― 상기 유전체 본체는, 그 내부에 배치되는 척킹 전극, 및 상기 유전체 본체 내 배치되는 픽셀 전극을 포함하고, 상기 픽셀 전극은 플로팅 상태(floating state)와 접지 상태(grounded state) 사이에서 스위칭 가능하고, 상기 접지 상태일 때 접지에 대한 전압 제어 가변 캐패시터(voltage controlled variable capacitor) 회로에 전기적으로 커플링됨 ―; 및
    상기 척킹 전극 및 상기 픽셀 전극을 포함하는 척킹 회로 ― 상기 척킹 회로는 상기 워크피스 지지 표면에 기판을 정전기적으로 척킹하도록 동작가능함 ― 를 포함하는,
    정전 척에 대한 척킹 회로.
  2. 제 1 항에 있어서,
    상기 전압 제어 가변 캐패시터 회로는,
    디커플링 저항들을 가지는 복수의 분기(branch)들 ― 상기 분기들은 복수의 가변 캐패시터들, 및 각각의 분기 상에서 상기 가변 캐패시터들과 커플링 되는 복수의 고정 캐패시터들을 포함함 ―; 및
    상기 복수의 분기들에 커플링 되는 박막 트랜지스터를 더 포함하는,
    정전 척에 대한 척킹 회로.
  3. 제 2 항에 있어서,
    상기 복수의 분기들은 각각 5 ohm의 저항을 제공하는 디커플링 저항을 가지는 6개의 분기들을 포함하는,
    정전 척에 대한 척킹 회로.
  4. 제 2 항에 있어서,
    상기 박막 트랜지스터는, 분기들 중 어떤 하나 또는 둘 이상의 분기들이 플로팅되는지, 그리고 분기들 중 어떤 하나 또는 둘 이상의 분기들이 상기 픽셀 전극을 상기 접지에 커플링시키는지를 선택하는,
    정전 척에 대한 척킹 회로.
  5. 제 2 항에 있어서,
    상기 복수의 분기들의 각 분기에 대한 총 캐패시턴스는 상기 개별 가변 캐패시터와 상기 개별 고정 캐패시터의 합(summation)인,
    정전 척에 대한 척킹 회로.
  6. 제 2 항에 있어서,
    상기 복수의 분기들 중 제 1 분기는 각각 3.4 pF의 캐패시턴스를 가지는 6개의 가변 캐패시터들로 이루어지고, 상기 6개의 가변 캐패시터들은 74 pF의 캐패시턴스를 가지는 제 1 고정 캐패시터와 병렬로 배열되며, 상기 제 1 분기는 16 pF의 총 캐패시턴스를 가지는,
    정전 척에 대한 척킹 회로.
  7. 제 2 항에 있어서,
    두 번째 내지 여섯 번째 분기는 유사하게 구성되고, 각각 8 pF, 4 pF, 2 pF, 1 pF, 및 0.5 pF의 총 캐패시턴스를 가지는,
    정전 척에 대한 척킹 회로.
  8. 제 7 항에 있어서,
    3.4 pF 보다 작은 총 캐패시턴스를 가지는 상기 네 번째 내지 여섯 번째 분기들은 상기 개별 고정 캐패시터와 병렬 또는 직렬인 단일의 MEMs 가변 캐패시터를 사용하는,
    정전 척에 대한 척킹 회로.
  9. 제 2 항에 있어서,
    상기 가변 캐패시터들은 0 pF 내지 3.4 pF의 캐패시턴스를 제공할 수 있는,
    정전 척에 대한 척킹 회로.

KR1020177013645A 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc KR102316425B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461948519P 2014-03-05 2014-03-05
US61/948,519 2014-03-05
US14/276,790 2014-05-13
US14/276,790 US9472410B2 (en) 2014-03-05 2014-05-13 Pixelated capacitance controlled ESC
PCT/US2015/015044 WO2015134155A1 (en) 2014-03-05 2015-02-09 Pixelated capacitance controlled esc
KR1020177003017A KR101854373B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177003017A Division KR101854373B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc

Publications (2)

Publication Number Publication Date
KR20170060165A KR20170060165A (ko) 2017-05-31
KR102316425B1 true KR102316425B1 (ko) 2021-10-21

Family

ID=54055717

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167016439A KR101782981B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc
KR1020177003017A KR101854373B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc
KR1020177013645A KR102316425B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020167016439A KR101782981B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc
KR1020177003017A KR101854373B1 (ko) 2014-03-05 2015-02-09 픽셀화된 캐패시턴스 제어형 esc

Country Status (6)

Country Link
US (3) US9472410B2 (ko)
JP (4) JP6126747B2 (ko)
KR (3) KR101782981B1 (ko)
CN (3) CN105981156B (ko)
TW (2) TWI598990B (ko)
WO (1) WO2015134155A1 (ko)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4365531B2 (ja) 1998-09-30 2009-11-18 サイセル・テクノロジーズ,インコーポレイテッド 腫瘍の動的監視のための方法及びシステムとそれに関連する移植可能デバイス
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101729603B1 (ko) * 2013-02-25 2017-04-24 쿄세라 코포레이션 시료 유지구
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
JP6335341B2 (ja) 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI634631B (zh) * 2017-06-30 2018-09-01 台灣積體電路製造股份有限公司 加熱裝置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
KR102330944B1 (ko) * 2018-01-29 2021-12-01 가부시키가이샤 알박 반응성 이온 에칭 장치
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
KR20220163508A (ko) * 2018-05-31 2022-12-09 어플라이드 머티어리얼스, 인코포레이티드 극도의 균일성의 가열식 기판 지지 조립체
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11554445B2 (en) * 2018-12-17 2023-01-17 Applied Materials, Inc. Methods for controlling etch depth by localized heating
CN111383894B (zh) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子处理器以及静电夹盘加热方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
WO2021096670A1 (en) * 2019-11-15 2021-05-20 Lam Research Corporation Frequency based impedance adjustment in tuning circuits
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN115552565A (zh) * 2020-03-06 2022-12-30 朗姆研究公司 调谐可调谐边缘鞘系统的脉冲rf信号中的电压设定点
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11538708B2 (en) 2020-05-06 2022-12-27 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
US11551961B2 (en) * 2020-05-06 2023-01-10 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2023546874A (ja) * 2020-10-16 2023-11-08 ラム リサーチ コーポレーション マルチrf区域化された基板支持体を含むプラズマ密度分布プロファイルを制御するためのシステム
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN116762160A (zh) * 2020-11-16 2023-09-15 应用材料公司 用于应力均匀的rf偏压的区域控制的方法与设备
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11936308B2 (en) * 2021-12-07 2024-03-19 The Boeing Company Pixelated electrostatic adhesion
US11831252B2 (en) * 2021-12-07 2023-11-28 The Boeing Company Pixelated electrostatic adhesion
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010161109A (ja) 2009-01-06 2010-07-22 Tokyo Electron Ltd 載置台及びプラズマ処理装置
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2014505273A (ja) 2010-12-24 2014-02-27 サムスン ファイン ケミカルズ カンパニー リミテッド 耐環境性、流動性及び帯電性にすぐれるトナー

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236449A (ja) * 1991-01-21 1992-08-25 Fuji Electric Co Ltd 静電チャック
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3847363B2 (ja) * 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
WO2000022722A1 (en) * 1998-10-14 2000-04-20 Delsys Pharmaceutical Corporation Electrostatic sensing chuck using area matched electrodes
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002134600A (ja) 2000-10-25 2002-05-10 Ibiden Co Ltd 静電チャック
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
JP4504061B2 (ja) * 2004-03-29 2010-07-14 東京エレクトロン株式会社 プラズマ処理方法
JP4553247B2 (ja) 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
KR20070069191A (ko) 2004-10-05 2007-07-02 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 디바이스
KR20070072571A (ko) * 2004-11-04 2007-07-04 가부시키가이샤 알박 정전 척 장치
CN100576486C (zh) * 2005-05-20 2009-12-30 筑波精工株式会社 静电保持装置以及使用其的静电钳
US20070000441A1 (en) * 2005-07-01 2007-01-04 Applied Materials, Inc. Scalable uniform thermal plate
DE202005011367U1 (de) * 2005-07-18 2005-09-29 Retzlaff, Udo, Dr. Transfer-ESC auf Wafer-Basis
US8222116B2 (en) * 2006-03-03 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2007246983A (ja) * 2006-03-15 2007-09-27 Seiko Epson Corp 成膜装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI339730B (en) * 2006-05-31 2011-04-01 Applied Materials Inc Prober for electronic device testing on large area substrates
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
CN101473433B (zh) 2006-06-20 2011-12-07 Nxp股份有限公司 功率放大器装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2009054871A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
JP5063520B2 (ja) * 2008-08-01 2012-10-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2011000689A1 (en) * 2009-06-30 2011-01-06 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
US9093648B2 (en) * 2009-07-02 2015-07-28 Sharp Kabushiki Kaisha Organic EL element, method for manufacturing the same, and organic EL display device
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
CN102834913B (zh) * 2010-07-26 2016-01-06 京瓷株式会社 静电吸盘
US8901835B2 (en) * 2010-09-15 2014-12-02 Analog Integrations Corporation LED lighting systems, LED controllers and LED control methods for a string of LEDS
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5740939B2 (ja) * 2010-11-29 2015-07-01 住友電気工業株式会社 半導体装置の製造方法
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
KR20120137986A (ko) * 2011-06-14 2012-12-24 삼성디스플레이 주식회사 정전척
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
BR112014004911A2 (pt) 2011-08-30 2017-05-30 Watlow Electric Mfg sistema de matriz térmica
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
JP2013105543A (ja) 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
JP6069768B2 (ja) * 2012-03-16 2017-02-01 株式会社クリエイティブテクノロジー 静電チャック装置及びその制御方法
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6335341B2 (ja) 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2010161109A (ja) 2009-01-06 2010-07-22 Tokyo Electron Ltd 載置台及びプラズマ処理装置
JP2014505273A (ja) 2010-12-24 2014-02-27 サムスン ファイン ケミカルズ カンパニー リミテッド 耐環境性、流動性及び帯電性にすぐれるトナー

Also Published As

Publication number Publication date
JP2021158369A (ja) 2021-10-07
CN105981156A (zh) 2016-09-28
US20150311105A1 (en) 2015-10-29
KR20170017003A (ko) 2017-02-14
TW201535588A (zh) 2015-09-16
US9536769B1 (en) 2017-01-03
TW201719802A (zh) 2017-06-01
JP2017143269A (ja) 2017-08-17
CN105981156B (zh) 2018-04-24
JP6998136B2 (ja) 2022-01-18
TWI596697B (zh) 2017-08-21
KR101782981B1 (ko) 2017-09-28
KR20170060165A (ko) 2017-05-31
TWI598990B (zh) 2017-09-11
US9805965B2 (en) 2017-10-31
WO2015134155A1 (en) 2015-09-11
KR20160127717A (ko) 2016-11-04
US9472410B2 (en) 2016-10-18
JP2017509135A (ja) 2017-03-30
JP6207780B2 (ja) 2017-10-04
CN108428663A (zh) 2018-08-21
JP6126747B2 (ja) 2017-05-10
US20170110358A1 (en) 2017-04-20
CN106876313A (zh) 2017-06-20
US20170004988A1 (en) 2017-01-05
CN106876313B (zh) 2019-09-27
JP2017201700A (ja) 2017-11-09
KR101854373B1 (ko) 2018-05-03
CN108428663B (zh) 2022-08-30

Similar Documents

Publication Publication Date Title
KR102316425B1 (ko) 픽셀화된 캐패시턴스 제어형 esc
JP6987166B2 (ja) ピクセル型温度制御式基板支持アセンブリ
US10535544B2 (en) Tunable temperature controlled substrate support assembly

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant