TWI596697B - 像素化電容控制的靜電夾盤 - Google Patents

像素化電容控制的靜電夾盤 Download PDF

Info

Publication number
TWI596697B
TWI596697B TW104105345A TW104105345A TWI596697B TW I596697 B TWI596697 B TW I596697B TW 104105345 A TW104105345 A TW 104105345A TW 104105345 A TW104105345 A TW 104105345A TW I596697 B TWI596697 B TW I596697B
Authority
TW
Taiwan
Prior art keywords
electrostatic chuck
pixelated electrostatic
pixelated
substrate
capacitance
Prior art date
Application number
TW104105345A
Other languages
English (en)
Other versions
TW201535588A (zh
Inventor
沙德加地雷沙
博德二世溫德爾格倫
帕克維傑D
諾吉諾夫麥斯曼米克黑洛維齊
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201535588A publication Critical patent/TW201535588A/zh
Application granted granted Critical
Publication of TWI596697B publication Critical patent/TWI596697B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/188Capturing isolated or intermittent images triggered by the occurrence of a predetermined event, e.g. an object reaching a predetermined position
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analytical Chemistry (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

像素化電容控制的靜電夾盤
本文所述之實施方式大體而言係關於半導體製造,且更特定而言係關於靜電夾盤及使用該靜電夾盤之方法。
隨著裝置圖案之特徵尺寸變得更小,該等特徵之臨界尺寸(critical dimension;CD)需求成為對於穩定及可重現裝置效能之更重要的準則。在處理腔室內處理之基板整體的可容許臨界尺寸變化由於腔室非對稱性而難以達成,該等腔室非對稱性如腔室及基板溫度、流動導率,及射頻場。
在利用靜電夾盤之製程中,整個基板表面之蝕刻均勻性由於在基板下方之夾盤之非均質構造而具有挑戰性。例如,靜電夾盤中之一些區域具有氣孔,而其他區域則具有側向偏離於氣孔之升舉銷孔。又一些其他區域具有夾緊電極,而其他區域則具有側向偏離於該等夾緊電極之加熱器電極。夾盤之非均質構造導致射頻(radio frequency;RF)場之不均勻性,此不均勻性直接影響整個基板表面之蝕刻。
靜電夾盤之結構可能在側向及方位角向皆為不同,夾盤與基板之間的射頻場均勻性十分複雜及難以獲得,從而 導致整個夾盤表面的射頻場中之局部變化性。基於電漿之製程可能對靜電夾盤之較小局部射頻耦合變化靈敏度極高。由此,局部射頻耦合變化導致沿基板表面之處理結果之不均勻性。
因此,需要改良之靜電夾盤。
本文所述之實施方式提供一種像素化靜電夾盤,該像素化靜電夾盤賦能對靜電夾盤與置於該靜電夾盤上之基板之間的射頻耦合進行側向及方位角向調諧。在一個實施例中,像素化靜電夾盤(pixelated electrostatic chuck;ESC)可包括:介電體,具有經配置以在其上接受基板之工件支撐表面;一或更多個夾緊電極,安置於像素化靜電夾盤中;及複數個像素電極。複數個像素電極可在浮動狀態與接地狀態之間切換,該等像素電極具有接地可變電容,或兩者兼有。像素電極及夾緊電極形成電路,該電路可操作以將基板以靜電方式夾緊至工件支撐表面。
在另一實施例中,提供處理腔室。處理腔室包括腔室主體,該腔室主體中安置有像素化靜電夾盤(pixelated electrostatic chuck;ESC)。像素化靜電夾盤可如上所述來配置。
在又一實施例中,提供用於處理基板之方法,該方法包括:向形成於像素化靜電夾盤中之主要夾緊電極施加功率;將側向分佈在像素化靜電夾盤內之複數個像素電極中之一或更多者選擇性地耦合接地,以將基板緊固至像素化靜電 夾盤;及在像素化靜電夾盤上處理基板。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧側壁
106‧‧‧底部
108‧‧‧蓋
110‧‧‧泵送口
112‧‧‧氣體分配盤
114‧‧‧入口端
116‧‧‧射頻功率來源
118‧‧‧匹配電路
120‧‧‧電漿施用器
122‧‧‧電漿
124‧‧‧內部體積
125‧‧‧支撐台座
126‧‧‧基板支撐組件
128‧‧‧台座基座
130‧‧‧冷卻基座
131‧‧‧安裝表面
132‧‧‧像素化靜電夾盤
133‧‧‧工件表面
134‧‧‧基板
136‧‧‧夾緊電極
136A‧‧‧夾緊電極
136B‧‧‧夾緊電極
138‧‧‧夾緊電源
140‧‧‧像素電極
140F‧‧‧像素電極
140G‧‧‧像素電極
141F‧‧‧電導線
141G‧‧‧電導線
142‧‧‧地面
144‧‧‧熱傳遞流體源
146‧‧‧電導線
148‧‧‧控制器
150‧‧‧介電體
152‧‧‧主體
154‧‧‧主要電阻加熱器
156‧‧‧主要加熱器電源
160‧‧‧導管
170‧‧‧加熱器組件
172‧‧‧中央處理單元
174‧‧‧記憶體
176‧‧‧輸入/輸出電路系統
180‧‧‧設施板件
182‧‧‧射頻濾波器
184‧‧‧射頻濾波器
210‧‧‧像素控制器
226‧‧‧介電質
228‧‧‧圓盤
244‧‧‧黏結劑
264‧‧‧絕緣區域
410‧‧‧電容器組
412‧‧‧控制器
440‧‧‧電容器
440F‧‧‧電容器
500‧‧‧可變電容器
505‧‧‧可變電容器
506‧‧‧去耦電阻器
508‧‧‧薄膜電晶體
510‧‧‧分支
520‧‧‧分支
530‧‧‧分支
540‧‧‧分支
550‧‧‧分支
560‧‧‧分支
570‧‧‧固定電容器
576‧‧‧固定電容器
600‧‧‧方法
602‧‧‧方塊
604‧‧‧方塊
606‧‧‧方塊
608‧‧‧方塊
為詳細理解本發明之上述特徵,可藉由參考實施方式對上文中簡短概述之本發明進行更為特定之描述,該等實施方式中之一些在附圖中圖示。然而,將注意,附圖僅圖示本發明之典型實施方式,因此附圖將不被視作限制本發明之範疇,因為本發明可承認其他同樣有效之實施方式。
第1圖是處理腔室之示意性橫剖面側視圖,該處理腔室中具有像素化靜電夾盤之一個實施例;第2圖是局部示意性橫剖面側視圖,該圖詳細圖示像素化靜電夾盤及基板支撐組件之部分;第3圖是局部平面頂視圖,該圖圖示在像素化靜電夾盤中之可調電容器及電極之佈局;第4圖是沿第3圖之剖面線A-A截取之橫剖面視圖,該圖圖示電容器在像素化靜電夾盤中之簡化佈線示意圖;第5圖是局部佈線示意圖,該圖圖示射頻可變電容器;及第6圖是利用像素化靜電夾盤處理基板之一個實施例之流程圖。
為便於理解,已在可能之情況下使用相同元件符號以指定諸圖中共有之相同元件。可以預期,在一個實施方式中揭示之元件可以有利方式用於其他實施方式而無需特定詳述。
隨著半導體工業正在將電子特徵尺寸縮小至亞奈米位準,蝕刻速率及臨界尺寸均勻性需求同樣縮至接近原子尺寸之埃(Å)位準。在此情況下,基板溫度必須極為均勻(例如小於20奈米之節點的溫度均勻性小於約0.5℃)或可進行極高解析度調諧以定製整個基板之製程均勻性。然而,對於小於10奈米之半導體技術節點而言,即使低至0.25℃或更低之溫度均勻性亦不足以維持製程均勻性。實現製程均勻性之一個因數為在靜電夾盤之圓盤內的夾緊電極之介電深度。介電深度是圓盤頂部與包括夾緊電極之高電壓柵格之間的距離。圓盤之基板支撐表面構形與介電深度皆顯著影響基板與靜電夾盤之射頻耦合。射頻(radio frequency;RF)與基板之更強耦合可增加蝕刻速率,且反之亦然。由此,控制工件之局部電容以在整個靜電夾盤側向接地是重要的製程控制參數,在本文中揭示之本發明之該參數已經證實為有效的製程控制屬性,該製程控制屬性用於為使用靜電夾盤執行之製程定製側向及/或方位角向蝕刻速率均勻性及/或臨界尺寸控制。
本文所述之實施方式提供像素化靜電夾盤(pixelated electrostatic chuck;ESC),該像素化靜電夾盤賦能對像素化靜電夾盤與諸如基板之工件的射頻耦合進行局部、側向及方位角向調諧,從而由此容許對像素化靜電夾盤上之基板與地面之側向射頻耦合進行側向及方位角向調諧。此外,針對基板與地面之耦合而對像素化靜電夾盤中之電容的局部變化之控制藉由消除或,在一些情況下誘發受射頻耦合影響之製程變化,來大體上增強在該像素化靜電夾盤上執行 之製程。由此,像素化靜電夾盤賦能對整個基板上幾乎任何位置之間的埃(Å)位準的蝕刻速率及臨界尺寸(critical dimension;CD)均勻性進行控制。本文亦描述對在像素化靜電夾盤上處理之基板的射頻耦合進行調諧之方法。儘管像素化靜電夾盤在下文中經描述處於蝕刻處理腔室中,但像素化靜電夾盤可用於其他類型之電漿處理腔室中,該腔室諸如物理氣相沉積腔室、化學氣相沉積腔室、離子佈植腔室及其他腔室,及可用於需要對靜電夾盤之整個基板支撐表面的射頻輪廓進行方位角向調諧、側向調諧及/或局部調諧中之至少一個調諧之其他系統。可以預期,像素化電極亦可用以控制其他表面之射頻偏壓或耦合,該等表面包括不用於半導體處理之彼等表面。
在一或更多個實施例中,像素化靜電夾盤容許在真空製程期間藉由利用像素電極以補償腔室不均勻性(如溫度、流通導率、電場、電漿密度,等等),來對基板邊緣或整個基板上其他位置處之臨界尺寸(critical dimension;CD)變化進行校正(如蝕刻、沉積、佈植,等等)。此外,一些實施例已證實控制基板接地之電容(自約20pF至約數百pF範圍中任一電容)的能力。
第1圖是示例性蝕刻處理腔室100之示意性橫剖面視圖,該蝕刻處理腔室100中具有像素化靜電夾盤132。如上文之論述,像素化靜電夾盤132可用於其他處理腔室中,例如電漿處理腔室、退火腔室、物理氣相沉積腔室、化學氣相沉積腔室,及離子佈植腔室,及其他腔室,亦可用於需要對 將表面或工件(如基板)耦合至地面之射頻輪廓進行控制之能力的其他系統中。對橫跨表面(亦即像素化靜電夾盤132之基板支撐表面)之諸多離散區域之射頻耦合的獨立及局部控制有利地賦能射頻輪廓之側向及/或方位角向調諧及減少諸如高或低射頻耦合之局部射頻不平處,該等不平處可影響蝕刻處理腔室100中之局部製程結果。
處理腔室100包括接地腔室主體102。腔室主體102包括側壁104、底部106,及蓋108,上述各者圍封內部體積124。基板支撐組件126安置於內部體積124中。像素化靜電夾盤132安置於基板支撐組件126上,且在處理期間將基板134支撐在自身上方。
處理腔室100之側壁104包括開口(未圖示),基板134可由機器人經由該開口移送進出內部容積124。泵送口110在腔室主體102之側壁104或底部106中之一者中形成,及泵送口110以流體方式連接至泵送系統(未圖示)。泵送系統用以在處理腔室100之內部體積124內維持真空環境,同時移除處理副產物。
氣體分配盤112將處理氣體及/或其他氣體經由一或更多個入口端114提供至處理腔室100之內部容積124,該等入口端114穿過腔室主體102之蓋108或側壁104中之至少一者而形成。由氣體面板112提供之處理氣體在內部體積124內經通電以形成電漿122,該電漿122用以處理安置在像素化靜電夾盤132上之基板134。可由感應耦接至處理氣體之射頻功率對處理氣體通電,該射頻功率來自定位在腔室主體102 外部之電漿施用器120。在第1圖中繪示之實施例中,電漿施用器120是經由匹配電路118耦接至射頻功率來源116或電容耦合電漿(capacitively coupled plasma;CCP)腔室之一對共軸線圈。
控制器148耦接至處理腔室100以控制處理腔室100之操作及對基板134之處理。控制器148可為具有任一形式之通用資料處理系統之一個控制器,該處理系統可用於對於控制多種子處理器及子控制器而進行的工業設定。一般而言,控制器148包括中央處理單元(central processing unit;CPU)172及其他常見元件,該CPU與記憶體174及輸入/輸出(input/output;I/O)電路系統176通信。由控制器148之CPU執行之軟體指令使處理腔室例如將蝕刻劑氣體混合物(亦即處理氣體)引入內部體積124,藉由施加來自電漿施用器120之射頻功率由處理氣體形成電漿122,及蝕刻存在於基板134上之材料層。
基板支撐組件126一般包括至少一基板支撐件。在第1圖之實施例中,基板支撐件為靜電夾盤,及下文將該基板支撐件描述為像素化靜電夾盤132。基板支撐組件126可額外包括加熱器組件170。基板支撐組件126亦可包括冷卻基座130。或者,冷卻基座可與基板支撐組件126分離。基板支撐組件126可以可移除方式耦接至支撐台座125。支撐台座125安裝至腔室主體102,及支撐台座125可包括台座基座128。支撐台座125可視情況地包括設施板件180。可週期性地將基板支撐組件126從支撐台座125移除,以容許對基板支撐組 件126之一或更多個元件進行整修。
設施板件180經配置以容納複數個驅動機構,該等驅動機構經配置以升高及降低複數個升舉銷。此外,設施板件180亦經配置以容納來自像素化靜電夾盤132及冷卻基座130之複數個流體連接裝置。設施板件180亦經配置以容納來自像素化靜電夾盤132及加熱器組件170之複數個電連接裝置。各種連接裝置可在基板支撐組件126外部或內部運行,而設施板件180為該等連接裝置提供向各個終點之介面。
溫度控制冷卻基座130耦接至熱傳遞流體源144。熱傳遞流體源144提供諸如液體、氣體或該兩者之組合之熱傳遞流體,該熱傳遞流體在安置於冷卻基座130中之一或更多個導管160中循環。流經相鄰導管160之流體可經隔離以賦能在像素化靜電夾盤132與冷卻基座130之不同區域之間的熱傳遞之局部控制,此舉協助控制基板134之側向溫度輪廓。
在一或更多個實施例中,流體分配器可以流體方式耦接在熱傳遞流體源144的出口與溫度控制冷卻基座130之間。流體分配器操作以控制經提供至導管160之熱傳遞流體量。流體分配器可安置於處理腔室100外側、基板支撐組件126之內、台座基座128之內或其他適合之位置。
加熱器組件170可包括埋置入主體152中之一或更多個主要電阻加熱器154及視情況包括複數個輔助加熱器(未圖示)。主要電阻加熱器154可經提供以將基板支撐組件126之溫度升高至用於實施腔室製程之溫度。輔助加熱器(如存 在)可向由主要電阻加熱器154產生之像素化靜電夾盤132溫度輪廓提供耦接攝氏溫度之局部化調整。由此,主要電阻加熱器154以全域化大規模操作,而輔助加熱器則以局部化小規模操作。主要電阻加熱器154經由射頻濾波器184耦接至主要加熱器電源156。電源156可向主要電阻加熱器154提供500瓦特或更高功率。控制器148可控制主要加熱器電源156之操作,該電源一般經設定以加熱基板134。在一或更多個實施例中,主要電阻加熱器154包括複數個側向分隔的加熱區,其中控制器148賦能具有主要電阻加熱器154之一個加熱區相對於位於其他區中一或更多個區中之主要電阻加熱器154得以優先加熱。例如,主要電阻加熱器154可在複數個分隔的加熱區中經同心排列以賦能邊緣至中心之溫度控制。
或者,一或更多個主要電阻加熱器154及/或輔助加熱器可在像素化靜電夾盤132中形成。在主要電阻加熱器154及輔助加熱器皆形成於像素化靜電夾盤132中之彼等實施例中,基板支撐組件126可在沒有加熱器組件170之情況下形成,及像素化靜電夾盤132可直接安置於冷卻基座130上。
處理腔室100中之基板134之表面溫度可受由泵排出處理氣體、狹縫閥門、電漿122及其他因數之影響。冷卻基座130、一或更多個主要電阻加熱器154,及輔助加熱器全部有助於控制基板134之表面溫度。
像素化靜電夾盤132具有安裝表面131及與安裝表面131相對之工件表面133。像素化靜電夾盤132之工件表面 133可包括氣道(未圖示),以用於向基板134與像素化靜電夾盤132之工件表面133之間界定之間隙空間提供背側熱傳遞氣體。像素化靜電夾盤132亦可包括升舉銷孔以用於容納升舉銷(兩者皆未圖示),該等升舉銷用於將基板134升高至像素化靜電夾盤132之工件表面133上方以便於機器人移送進出處理腔室100。
像素化靜電夾盤132一般包括介電體150,該介電體150中埋置有一或更多個夾緊電極136。介電體150中亦可埋置有一或更多個像素電極140。像素電極140可與夾緊電極136共面。像素電極140可與夾緊電極136交替佈置,例如像素電極140可排列在柵格或極性陣列中,該柵格或極性陣列在形成於單個夾緊電極136中之孔口內交替佈置,或在複數個夾緊電極136之間交替佈置。
像素電極140及夾緊電極136可藉由直接使用MEMS技術整合至圓盤228中。每一像素電極140可藉由夾緊電極136而與相鄰像素電極140分隔。像素電極140及夾緊電極136可藉由電鍍、網印、物理氣相沉積、壓印、鋼絲網目或其他適合之方式形成。
往回參看介電體150,介電體150可具有經配置以與基板直徑相同或直徑略大於基板直徑之扁平圓盤形式。或者,介電體150可具有其他形式,如矩形、正方形,或其他平面形式。介電體150可由陶瓷材料製造而成,如AlN或Al2O3。當由陶瓷材料製造而成時,介電體150可被稱作圓盤(在第2圖中圖示為圓盤228)。或者,介電體150可由聚合 物製造而成,該聚合物如聚醯亞胺、聚醚醚酮、聚芳醚酮,等等。當由聚合物製造而成時,介電體150可被稱作撓曲堆疊。
像素化靜電夾盤132之主體150可由兩個或兩個以上之層形成,該等層在壓力下經加熱以形成一整塊主體150。例如,主體152可由聚醯亞胺層形成,該等層之上或之間具有像素電極140及夾緊電極136。在一些實施例中,主要電阻加熱器154亦可形成於聚醯亞胺層之上或之間。或者,像素化靜電夾盤132可由陶瓷材料形成。像素化靜電夾盤132可經燒結及可包含埋置於夾盤中之一或更多個夾緊電極136及像素電極140。
夾緊電極136可經配置為雙極性電極,或其他適合之配置。夾緊電極136經由射頻濾波器182耦接至夾緊電源138,該夾緊電源138提供射頻或直流電功率以靜電方式將基板134緊固至像素化靜電夾盤132之上表面。射頻濾波器182防止用以在處理腔室100內形成電漿122之射頻功率損害電氣設備或在腔室外部造成電氣危害。在一個實施例中,夾緊電源138向一或更多個夾緊電極136提供高壓。
複數個像素電極140可安置在像素化靜電夾盤132中及排列在緊鄰夾緊電極136之處。像素電極140經由像素電容器(第4圖及第5圖中圖示)經由電導線146耦接至地面142。像素電容器可經配置以具有固定或可變之電容,其中每一像素電容器之值經選定以單獨及局部地控制橫跨像素化靜電夾盤132之諸多離散區域之射頻耦合,以賦能對基板與 靜電夾盤132之間的功率耦合進行局部、側向及/或方位角向調諧,由此賦能局部、側向及/或方位角向製程結果在蝕刻處理腔室100中得以調諧。
在一或更多個實施例中,像素電容器可為可變電容器,該可變電容器之電容可以機械方式或電子方式變更。像素控制器210可用以控制像素電容器之電容。像素電容器之電容變更可用以影響在夾緊電極136之間經由一或更多個像素電極140的功率耦合之親合性,該功率經由基板134接至地面142,藉此以靜電方式將基板134夾緊至像素化靜電夾盤132。
像素電容器與電阻器、感應器及憶阻器皆屬於用於電子設備中之「被動元件」群組,該等元件用以傳輸夾持力,同時容許對處理結果進行可調諧控制。像素電容器可具有固定電容值,及/或具有可變電容器,該等可變電容器具有可變(修整器)或可調整(可調諧)電容值。像素電容器可為數位調諧電容器,如積體電路(integrated circuit;IC)可變電容器,該電容器之電容可由像素控制器210控制。像素電容器之電容值可經配置以調諧射頻信號以控制蝕刻處理腔室100中之蝕刻速率。
在一或更多個實施例中,像素電容器可製造為固態場效應電晶體(field-effect transistor;FET)開關。像素電容器可為微型機電系統(microelectromechanical system;MEMS)、基於鈦酸鍶鋇(barium strontium titanate;BST)之裝置、基於絕緣體上矽(silicon-on-insulator;SOI)之裝置/基於藍寶石上矽 (silicon-on-sapphire;SOS)之裝置、基於鐵電體之裝置,或其他適合之裝置技術。MEMS裝置具有高線性,因此適合於天線孔口調諧、動態阻抗匹配、功率放大器負載匹配,及可調濾波器。BST裝置藉由向該等裝置施加高壓來改變電容。調諧準確度僅因產生高壓之直流交流轉換器電路系統之準確度而受限。在需求嚴格之應用中,BST裝置具有在經過溫度變化時的優良穩定性及具有線性。SOI/SOS調諧裝置使用以二元加權值排列之金屬-絕緣體-金屬(metal-insulator-metal;MIM)蓋以獲取不同電容值。SOI/SOS開關具有高線性,且十分適合於不存在高電壓之低功率應用。高電壓耐受性需要多個串聯FET裝置,該等裝置增添串聯電阻,及降低品質因數。在一個實施例中,像素電極140是經數位調諧之可變MEMS裝置。
基於電漿之製程可能對靜電夾盤之較小局部射頻(radio frequency;RF)耦合變化靈敏度極高。像素化靜電夾盤132容許控制表面電容,以便對基板134之整個側剖面中分散位置處的蝕刻速率提供埃(Å)位準之臨界尺寸控制。
第2圖是局部橫剖面示意圖,該圖圖示基板支撐組件126之部分。第2圖中包括像素化靜電夾盤132、加熱器組件170、冷卻基座130、及設施板件180之部分。
加熱器組件170可為可選的,且具有絕緣區域264。絕緣區域264可防止加熱器組件170接觸電導線146,該等電導線146將像素電極140經由像素電容器及像素控制器210連接至地面142。在主要電阻加熱器154位於像素化靜電夾盤 132中之實施例中,基板支撐組件126中可不存在加熱器組件170。
加熱器組件170可藉由利用黏結劑244耦接至像素化靜電夾盤132之安裝表面131。黏結劑244可為黏合劑,如基於丙烯酸之黏合劑、環氧樹脂、矽基黏合劑、基於氯丁橡膠之黏合劑,或其他適合之黏合劑。在一個實施例中,黏結劑244是環氧樹脂。黏結劑244可具有選自從0.01至200W/mK之範圍中之熱傳導係數,且在一個示例性實施例中,選自從0.1至10W/mK之範圍中。包括黏結劑244之黏合劑材料可額外包括至少一個熱傳導陶瓷填充物,例如氧化鋁(Al2O3)、氮化鋁(AlN),及二硼化鈦(TiB2),等等。當整修像素化靜電夾盤132或加熱器組件170之時,可移除黏結劑244。在其他實施例中,像素化靜電夾盤132藉由利用緊固件或夾具(未圖示)以可移除方式耦接至加熱器組件170。
像素化靜電夾盤132之主體150在平面圖中一般可為圓柱形,但亦可以其他幾何形狀形成。主體150可為陶瓷及可燒結至第2圖中圖示之圓盤228內。圓盤228具有工件表面133以將基板134支撐在該圓盤上。此外,主體150亦可包括面對加熱器組件170之安裝表面131。
安置在電極136、140與基板134頂表面之間的圓盤228之部分形成介電質226,該介電質用於將功率電容式耦合至基板134。由於介電質226深度更深或圓盤228構形更扁平而導致的耦合至基板134之更大功率可能降低蝕刻速率,反之亦然。因為甚至低至0.25℃之溫度均勻性亦不足以維持良 好的側向蝕刻均勻性,所以功率至基板134之耦合在形成小於10奈米之半導體技術節點時具有十分重要的作用。由此,已發現,對於在基板134與像素化靜電夾盤132之間於整個像素化靜電夾盤132上側向離散的位置處之功率耦合的獨立控制,容許像素化靜電夾盤132中與像素電極140之一者及該像素電極140周圍的夾緊電極136相關連之每一位置處之蝕刻速率得以獨立控制。
視靜電夾盤之設計及所用材料而定,習用靜電夾盤在基板與夾緊電極之間的離散位置處可具有不同電容。例如,完全平坦的晶圓與完全平坦的習用靜電夾盤之間的電容可約為220pF。假定圓盤表面平面度及粗糙度及其他因數(如介電深度、夾緊電極與靜電夾盤表面之距離,及圓盤材料,及其他因數)發生變化,則靜電夾盤之一個區域與其他區域相比,該前一區域之電容可能遠高於其他區域中之電容。例如,一些習用靜電夾盤在整個基板支撐表面可具有約數千微微法拉第的電容變異。
本文所述之像素化靜電夾盤132之實施例容許藉由將像素化靜電夾盤132之整個基板支撐表面之局部電容變化控制在低於10%之程度,而將蝕刻速率均勻性控制在約5Å之內。例如,像素化靜電夾盤132之整個基板支撐表面之局部電容變化可經控制在約20pF至約數百微微法拉第之間。
在一或更多個實施例中,像素化靜電夾盤132之整個基板支撐表面之局部電容變化可藉由以下方式而經控制:充分地縮小介電質226之深度容限以便達成小於10%之電容 變化。例如,可將介電質226之深度容限縮小至小於約5%(在另一個5%與摻雜變化相關之情況下),以達成小於10%之電容變化。
除縮小介電質226之深度容限之外,或替代性地,像素化靜電夾盤132之整個基板支撐表面之局部電容變化可藉由充分提高圓盤228表面之平面度及構形均勻性而經控制。例如,圓盤228之平面度容限可小於約10μm。除提高圓盤228之平面度以外,或替代性地,圓盤228之表面粗糙度變化可小於約10μm。
除改良介電質226及圓盤228之物理屬性(亦即深度容限、平面度、粗糙度,等等)中之一或更多者之外,或替代性地,像素化靜電夾盤132之整個基板支撐表面之局部電容變化可藉由為耦接至單個像素電極140之每一像素電容器選擇適當電容而經控制。藉由為耦接至單個像素電極140之每一像素電容器選擇適當電容,介電質226及圓盤228之物理屬性變化或處理環境中之變化可得以補償,以達到所需處理結果,如將蝕刻速率均勻性維持在約5Å內。
像素控制器210可用以為耦接至單個像素電極140之每一像素電容器選擇適當電容。例如,像素控制器210可藉由利用由像素控制器210產生之控制信號來控制每一像素電容器之電容。
使用獨立可控的像素電容器以平滑化或校正像素化靜電夾盤132之功率耦合輪廓賦能將整個基板134之局部射頻均勻性控制在極小容限。整個基板134之局部射頻均勻性 在處理基板134時賦能精確的製程及臨界尺寸控制。此外,像素電極140之小尺寸及高密度賦能對單個像素電極140與相鄰夾緊電極136之間經由基板134之功率耦合進行分散局部控制,同時大體上不影響像素化靜電夾盤132之相鄰區域之功率耦合,由此容許功率耦合之局部控制。具有多數個像素電極140之基板支撐組件126已經證實具有將在該組件上經處理之基板134之電容均勻性控制在小於約10%的能力,從而控制蝕刻均勻性之製程偏差降至約5Å。
第3圖是沿第2圖中之剖面線A-A截取之像素化靜電夾盤132的局部橫剖面頂視平面圖。在像素化靜電夾盤132中之像素電極140及夾緊電極136之佈局以實例方式而提供,及以替代性方式排列。像素電極140沿第2圖中穿過像素化靜電夾盤132之剖面線A-A所在平面而安置。圖示之像素電極140及夾緊電極136之數目僅以說明為目的,及任何數目之實施例可具有大體上更多(或更少)之像素電極140及夾緊電極136。此外,夾緊電極136可具有可獨立偏壓區段之形式,或複數個共同偏壓區段形式,或其他配置。像素化靜電夾盤132之整個工件支撐表面的局部電容可由像素電容器控制,以提供埃(Å)位準之蝕刻速率控制。
諸如第2圖中圖示之像素控制器210之像素控制器可控制每一像素電容器。在一個實施例中,像素電容器及用於將像素電容器耦接至選定像素電極140之開關安置於像素控制器210中。像素控制器210可將單個像素電極140耦接至電容器,該電容器具有與相鄰像素電極140相同或不同的 電容。在其他實施例中,像素控制器210可將相鄰像素電極140之群組耦接至具有相同電容之電容器,該電容可與相鄰像素電極140之群組之電容相同或不同,由此界定可獨立於其他區域而經控制的像素化靜電夾盤132中之一部分或一區域。像素控制器210可耦接複數個像素電極140,該等像素電極140經群組化以界定內側楔、周邊群組、餅狀區域,或其他所需幾何形狀配置,包括不連續配置。由此,藉由控制每一像素電極140之局部電容,可精確控制沿像素化靜電夾盤132的表面之單獨位置處之射頻耦合,此舉賦能對於基板處理結果的更精確控制。儘管圖示之像素電極140圖案排列為小單元之柵格,但該圖案可替代性地具有更大及/或用更小之單元,延伸至邊緣,或具有其他配置。
像素電極140之數目可大體上等於夾緊電極136之數目。或者,像素電極140之數目可大體上超過或小於夾緊電極136之數目。定位於整個基板支撐組件126中之像素電極140之數目可能易於超過數百。在一個實施例中,每一夾緊電極136有一對應像素電極140。在一替代實施例中,一或更多個夾緊電極有對應之像素電極140之群組。
像素電極140可以一圖案配置以在基板與地面之間有效地產生所需射頻耦合輪廓。圖案可為圍繞中點而對稱之柵格(如圖所示)或其他適合之圖案,該圖案在孔洞中及周圍提供空隙以用於升舉銷或其他機構、流體或電連接裝置及出入口(未圖示)。
第4圖是沿第3圖之B-B剖面線截取之像素化靜電 夾盤132之橫剖面視圖。第4圖圖示電容器之簡化示例性佈線示意圖,該等電容器耦接至像素化靜電夾盤132中之像素電極140。第4圖中之像素化靜電夾盤132圖示在該夾盤中形成之像素電極140及夾緊電極136。像素電極140耦接至一或更多個電容器440,該等電容器例如位於電容器組410中。電容器組410可置放於像素控制器210中或其他適合之位置。電容器440可具有固定或可變電容。在電容是可變電容之實施例中,可回應於來自像素控制器210之信號而選擇電容器440之電容。
像素控制器210可具有控制器412以用於斷開及/或閉合單個電路,該電路選擇性地將電容器440經由電導線141耦接至各個像素電極140。當像素控制器210之電路處於閉合位置時,像素電極140經由電容器440中之至少一者耦接至地面142。在一個實施例中,控制器412可將像素電極140F及電容器440F經由電導線141F連接至地面142,而其他電容器440中之一或更多者則相對於地面142為浮動(因為彼等電路處於斷開狀態)。在此配置中,像素化靜電夾盤132中局部至像素電極140F之區域可具有比例如像素化靜電夾盤132中局部至電極140G之區域更強之射頻耦合。控制器412可控制像素電極140F及電容器440F將接至地面142或相對於地面處於浮動狀態之持續時間或工作循環。控制器412可相對於像素電極140F或其他像素電極140額外控制其他像素電極140及電容器440將接至地面142或相對於地面處於浮動狀態之持續時間或工作循環。以此方式,像素化靜電夾盤 132之整個基板支撐表面每一位置處之相對電容可隨時間經過而經控制,由此容許控制局部射頻耦合,及因此賦能局部處理結果得以按需定製。
在另一實施例中,除了控制像素電極140與地面之間相對連接之工作循環及持續時間之外,或替代性地,控制器412亦可以可程式化方式改變每一電容器440之電容,以便可控制像素化靜電夾盤132之整個基板支撐表面上每一位置處之相對電容。像素電極140之數目及密度促進將整個基板134之射頻耦合均勻性控制至極小容限之能力。由此,對每一像素電極140與地面之間相對於另一像素電極140之電容的獨立控制賦能對基板134與像素化靜電夾盤132之間特定位置處之射頻耦合之局部及側向控制,此舉接著在處理基板134之時賦能精確的製程及臨界尺寸控制。
第5圖圖示可變電容器500之部分佈線示意圖之一個實施例,該可變電容器500用於將像素電極140耦接至地面142。可變電容器500可例如藉由更換第4圖中圖示之電容器440而用於上述像素控制器210中任何像素電極140與地面142之間。可變電容器500可包括可變電容器505及固定電容器570之混合,該等電容器經由去耦電阻器506耦接至薄膜電晶體(thin-film transistor;TFT)508。可變電容器500一般充當電壓控制可變電容器(變容器),且可利用MEMS控制元件以作為可變電容器505。可變電容器500包括分支510、520、530、540、550、560,該等分支中每一分支包括至少一個可變電容器505及至少一個固定電容器570。
當可變電容器505經配置為MEMS控制元件時,可變電容器505為二元(開/關)控制,亦即可切換。可變電容器505可向可變電容器500提供約0pF至約3.4pF之間的電容。每一固定電容器570可向可變電容器500提供約0.5pF至約74pF之間的總電容。此外,安置在TFT 508與電容器505、570之間的去耦電阻器506可逐個提供約5歐姆電阻。
到達可變電容器500之電容可沿包括可變電容器500之一或更多個分支510、520、530、540、550、560配置有可選的二元加權電容。TFT 508可用以選擇分支510、520、530、540、550、560中之一或更多者為浮動,及分支510、520、530、540、550、560中之一或更多者將像素電極140耦接至地面142。
每一分支510、520、530、540、550、560之總電容是兩組電容器(亦即可變電容器505與固定電容器570)之組合。每一分支510、520、530、540、550、560可具有相同電容範圍或不同電容。例如,分支560可經配置具有最大總電容,分支550經配置具有第二大總電容,以此類推,分支510具有最小總電容。分支560可由六個可切換可變電容器505組成,每一可變電容器具有設定至約3.4pF之電容(對於約20.4pF之總電容),且每一可變電容器與固定電容器576平行排列,該固定電容器576具有約74pF之電容。此配置為分支560產生16pF之總電容。分支550、540、530、520、510以類似方式建構,及可分別具有8pF、4pF、2pF、1pF,及0.5pF之總電容。具有小於3.4pF之總電容之分支530、520、 510可使用單個MEMS可變電容器505,該可變電容器505與單個固定電容器570並聯或串聯。固定電容器570可經配置以將分支530、520、510之總電容降低至所需值。例如,最小分支510可具有與固定電容器570並聯之單個可變電容器505,該固定電容器具有約0.6pF之電容。由此,當可變電容器505致動(亦即接通)時,最小分支510可具有0.5pF之有效電容。因此,藉由選擇性地在多個分支510、520、530、540、550、560中將可變電容器505接通/關閉,可獲得約0.5pF至約31.5pF之間的總電容。
或者,固定電容器576可與諸如MEMS可變電容器505之射頻MEMS電容器單元串聯。一或更多個並行電容器可串聯連接至像素電極140。在該種配置中,與在其中電容器576、505並聯置放之配置中相比,電容器576、505可經受更高的電壓。由此,諸如MEMS可變電容器505之射頻MEMS電容器單元的串聯配置可經配置以用於處理高電壓。在某些實施例中,此等電容器之至少一個具有約3.2mm x 3.2mm x 0.53mm或更小的尺寸。
第6圖是用於利用像素化靜電夾盤處理基板之方法600之一個實施例的流程圖,該像素化靜電夾盤如上述像素化靜電夾盤及其他組件。方法600始於方塊602,該步驟是向形成於像素化靜電夾盤中之主要電極應用功率。主要電極可為單個電極,或分段為多個區域。像素化靜電夾盤中之主要電極區域可為獨立可控。
在方塊604中,側向分佈在像素化靜電夾盤內之複 數個像素電極中之一或更多者選擇性地耦接至地面,從而將該基板有效地夾緊至靜電夾盤表面。每一像素電極與地面之耦合持續時間及/或工作循環可相對於安置在像素化靜電夾盤內之其他像素電極而經控制,以控制對安置在靜電夾盤上之基板的射頻耦合。在一些實施例中,可順序掃描整個像素化靜電夾盤上每一像素電極與地面之耦合。在其他實施例中,視情況地,除每一像素電極與地面耦合之持續時間及/或工作循環之外,單個像素電極與地面之間的電容亦可相對於安置在像素化靜電夾盤內之其他像素電極之接地路徑而以可控方式經選定。藉由定製像素電極與地面之間的局部電容,可實現對整個基板之處理結果之局部、側向,及/或方位角向調諧。
在方塊606中,基板可在像素化靜電夾盤上經處理。例如,基板可在真空腔室中例如使用電漿處理而經處理。可視情況在處理腔室內存在電漿之情況下執行之真空製程可為蝕刻、化學氣相沉積、物理氣相沉積、離子佈植、電漿處理、退火、氧化物去除、減排(abatement)或其他電漿製程中之一者。可以預期,工件可在其他環境(例如在大氣條件下)中之像素化靜電夾盤的射頻控制表面上進行處理以用於其他應用。在一實施例中,像素化靜電夾盤上之基板經蝕刻以形成10亞奈米之半導體技術節點。
視情況地,在方塊606中,在像素化靜電夾盤內側向分佈之複數個像素電極中的一或更多者與地面之間的耦合可回應於製程條件變更或製程配方變更而變更。例如,像素電極中的一或更多者與地面之間的電容可回應於製程條件變 更或製程配方變更利用來自像素控制器210之命令而變更。在另一實例中,像素電極中的一或更多者之耦合可回應於製程條件變更或製程配方變更利用來自像素控制器210之命令而在浮動與接地之間切換。
儘管前述內容係針對本發明之實施方式,但可在不背離本發明之基本範疇之情況下設計本發明之其他及更多實施方式,且本發明之範疇由下文之申請專利範圍決定。
132‧‧‧像素化靜電夾盤
136‧‧‧夾緊電極
136A‧‧‧夾緊電極
136B‧‧‧夾緊電極
138‧‧‧夾緊電源
140‧‧‧像素電極
140F‧‧‧像素電極
140G‧‧‧像素電極
141F‧‧‧電導線
141G‧‧‧電導線
142‧‧‧地面
210‧‧‧像素控制器
228‧‧‧圓盤
410‧‧‧電容器組
412‧‧‧控制器
440‧‧‧電容器
440F‧‧‧電容器

Claims (20)

  1. 一種像素化靜電夾盤(ESC),包括:一介電主體,具有經配置以在其上接受一基板之一工件支撐表面;一或更多個夾緊電極,安置在該像素化靜電夾盤中;及複數個像素電極,可在一浮動狀態與一接地狀態之間切換,該等像素電極具有接地可變電容,或兩者兼有;該等像素電極及該等夾緊電極形成一電路,該電路可操作以將該基板以靜電方式夾緊至該工件支撐表面。
  2. 如請求項1所述之像素化靜電夾盤,進一步包括:一電容器組,耦接在該等像素電極與地面之間。
  3. 如請求項2所述之像素化靜電夾盤,其中該等電容器中之至少一者為一MEMS電容器。
  4. 如請求項3所述之像素化靜電夾盤,其中該等電容器為約3.2mm x 3.2mm x 0.53mm或更小。
  5. 如請求項1所述之像素化靜電夾盤,其中該等夾緊電極排列在一柵格中。
  6. 如請求項2所述之像素化靜電夾盤,其中該等電容器整合至該主體中。
  7. 如請求項2所述之像素化靜電夾盤,其中該等電容器為射頻可變電容器。
  8. 如請求項2所述之像素化靜電夾盤,其中該等電容器在整個該主體具有一電容均勻性,該電容均勻性小於約10%。
  9. 如請求項1所述之像素化靜電夾盤,其中該等電容器安置在該像素化靜電夾盤中。
  10. 如請求項1所述之像素化靜電夾盤,其中該等像素電極中之至少一者與地面之間的該電容為約20pF。
  11. 一種處理腔室,包括:腔室主體;一像素化靜電夾盤(ESC),安置在該腔室主體中,該像素化靜電夾盤包括:一介電主體,具有經配置以在其上接受一基板之一工件支撐表面;一或更多個夾緊電極,安置於該像素化靜電夾盤中;及複數個像素電極,可在一浮動狀態與一接地狀態之間切換,該等像素電極具有接地可變電容,或兩者兼有;該 等像素電極及該等夾緊電極形成一電路,該電路可操作以將該基板以靜電方式夾緊至該工件支撐表面。
  12. 如請求項11所述之處理腔室,進一步包括:一電容器組,耦接在該等像素電極與地面之間。
  13. 如請求項12所述之處理腔室,其中該等電容器中之至少一者為一MEMS電容器。
  14. 如請求項11所述之處理腔室,其中該處理腔室為一蝕刻腔室、一沉積腔室,或一離子佈植腔室。
  15. 如請求項11所述之處理腔室,其中該等夾緊電極排列在一柵格中。
  16. 如請求項12所述之處理腔室,其中該等電容器為射頻可變電容器。
  17. 一種用於處理一基板之方法,包括以下步驟:向形成於一像素化靜電夾盤中之一主要夾緊電極施加功率;將側向分佈在該像素化靜電夾盤內之複數個像素電極中之一或更多者選擇性地耦合接地,以將一基板緊固至該像素化靜電夾盤;及 在該像素化靜電夾盤上處理該基板。
  18. 如請求項17所述之方法,進一步包括以下步驟:相對於安置在該像素化靜電夾盤內之其他該等像素電極控制每一像素電極與地面耦合之一持續時間及一工作循環中之至少一者。
  19. 如請求項17所述之方法,進一步包括以下步驟:相對於安置在該像素化靜電夾盤內之其他該等像素電極控制至少一個像素電極與地面之間的一電容。
  20. 如請求項17所述之方法,進一步包括以下步驟:回應於一製程條件變更或一製程配方變更,對在該像素化靜電夾盤內側向分佈之該複數個像素電極中之一或更多者與地面之間的一耦合進行變更。
TW104105345A 2014-03-05 2015-02-16 像素化電容控制的靜電夾盤 TWI596697B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461948519P 2014-03-05 2014-03-05
US14/276,790 US9472410B2 (en) 2014-03-05 2014-05-13 Pixelated capacitance controlled ESC

Publications (2)

Publication Number Publication Date
TW201535588A TW201535588A (zh) 2015-09-16
TWI596697B true TWI596697B (zh) 2017-08-21

Family

ID=54055717

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106105229A TWI598990B (zh) 2014-03-05 2015-02-16 像素化電容控制的靜電夾盤
TW104105345A TWI596697B (zh) 2014-03-05 2015-02-16 像素化電容控制的靜電夾盤

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106105229A TWI598990B (zh) 2014-03-05 2015-02-16 像素化電容控制的靜電夾盤

Country Status (6)

Country Link
US (3) US9472410B2 (zh)
JP (4) JP6126747B2 (zh)
KR (3) KR101782981B1 (zh)
CN (3) CN106876313B (zh)
TW (2) TWI598990B (zh)
WO (1) WO2015134155A1 (zh)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK1867275T3 (da) 1998-09-30 2011-04-04 Sicel Technologies Inc Systemer med implanterbare aooarater til dynamisk overvågning af tumorer
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101729603B1 (ko) * 2013-02-25 2017-04-24 쿄세라 코포레이션 시료 유지구
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI634631B (zh) * 2017-06-30 2018-09-01 台灣積體電路製造股份有限公司 加熱裝置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102330944B1 (ko) * 2018-01-29 2021-12-01 가부시키가이샤 알박 반응성 이온 에칭 장치
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190371577A1 (en) * 2018-05-31 2019-12-05 Applied Materials, Inc. Extreme uniformity heated substrate support assembly
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022514524A (ja) 2018-12-17 2022-02-14 アプライド マテリアルズ インコーポレイテッド 局所加熱によるエッチング深さの制御方法
CN111383894B (zh) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子处理器以及静电夹盘加热方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220100020A (ko) * 2019-11-15 2022-07-14 램 리써치 코포레이션 튜닝 회로들에서 주파수 기반 임피던스 조정
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2023516739A (ja) * 2020-03-06 2023-04-20 ラム リサーチ コーポレーション 調節可能エッジシースシステム用のパルスrf信号における電圧設定点の調節
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11538708B2 (en) 2020-05-06 2022-12-27 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
US11551961B2 (en) * 2020-05-06 2023-01-10 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
WO2022081535A1 (en) * 2020-10-16 2022-04-21 Lam Research Corporation Systems for controlling plasma density distribution profiles including multi-rf zoned substrate supports
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20230098659A (ko) 2020-11-16 2023-07-04 어플라이드 머티어리얼스, 인코포레이티드 응력 균일성을 위한 rf 바이어스의 구역 제어를 위한 방법들 및 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11936308B2 (en) * 2021-12-07 2024-03-19 The Boeing Company Pixelated electrostatic adhesion
US11831252B2 (en) * 2021-12-07 2023-11-28 The Boeing Company Pixelated electrostatic adhesion
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050016471A1 (en) * 2001-04-05 2005-01-27 Chiang Tony P. Substrate temperature control in an ALD reactor
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US20050272227A1 (en) * 2004-03-29 2005-12-08 Tokyo Electron Limited Plasma processing apparatus and method
US20110013338A1 (en) * 2004-11-04 2011-01-20 Yoshinori Fujii Electrostatic chuck device

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236449A (ja) * 1991-01-21 1992-08-25 Fuji Electric Co Ltd 静電チャック
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3847363B2 (ja) * 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
KR20010080172A (ko) * 1998-10-14 2001-08-22 낸시 엠. 그레이 면적 매치된 전극을 이용한 정전기 감지 척
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002134600A (ja) * 2000-10-25 2002-05-10 Ibiden Co Ltd 静電チャック
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
EP1800344A2 (en) * 2004-10-05 2007-06-27 Koninklijke Philips Electronics N.V. Semiconductor device and use thereof
CN100576486C (zh) * 2005-05-20 2009-12-30 筑波精工株式会社 静电保持装置以及使用其的静电钳
US20070000441A1 (en) * 2005-07-01 2007-01-04 Applied Materials, Inc. Scalable uniform thermal plate
DE202005011367U1 (de) * 2005-07-18 2005-09-29 Retzlaff, Udo, Dr. Transfer-ESC auf Wafer-Basis
US8222116B2 (en) * 2006-03-03 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2007246983A (ja) * 2006-03-15 2007-09-27 Seiko Epson Corp 成膜装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI339730B (en) * 2006-05-31 2011-04-01 Applied Materials Inc Prober for electronic device testing on large area substrates
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
CN101473433B (zh) * 2006-06-20 2011-12-07 Nxp股份有限公司 功率放大器装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2009054871A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5063520B2 (ja) * 2008-08-01 2012-10-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5186394B2 (ja) 2009-01-06 2013-04-17 東京エレクトロン株式会社 載置台及びプラズマエッチング又はアッシング装置
WO2011000689A1 (en) * 2009-06-30 2011-01-06 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
US9093648B2 (en) * 2009-07-02 2015-07-28 Sharp Kabushiki Kaisha Organic EL element, method for manufacturing the same, and organic EL display device
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
SG180882A1 (en) 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
CN102834913B (zh) * 2010-07-26 2016-01-06 京瓷株式会社 静电吸盘
US8901835B2 (en) * 2010-09-15 2014-12-02 Analog Integrations Corporation LED lighting systems, LED controllers and LED control methods for a string of LEDS
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5740939B2 (ja) * 2010-11-29 2015-07-01 住友電気工業株式会社 半導体装置の製造方法
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
KR20120072840A (ko) 2010-12-24 2012-07-04 삼성정밀화학 주식회사 내환경성, 유동성 및 대전성이 우수한 토너
KR20120137986A (ko) * 2011-06-14 2012-12-24 삼성디스플레이 주식회사 정전척
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CN104067691B (zh) 2011-08-30 2017-04-19 沃特洛电气制造公司 高清晰度加热器和操作方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
JP2013105543A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
JP6069768B2 (ja) * 2012-03-16 2017-02-01 株式会社クリエイティブテクノロジー 静電チャック装置及びその制御方法
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050016471A1 (en) * 2001-04-05 2005-01-27 Chiang Tony P. Substrate temperature control in an ALD reactor
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US20050272227A1 (en) * 2004-03-29 2005-12-08 Tokyo Electron Limited Plasma processing apparatus and method
US20110013338A1 (en) * 2004-11-04 2011-01-20 Yoshinori Fujii Electrostatic chuck device

Also Published As

Publication number Publication date
TWI598990B (zh) 2017-09-11
WO2015134155A1 (en) 2015-09-11
US20150311105A1 (en) 2015-10-29
KR102316425B1 (ko) 2021-10-21
KR20160127717A (ko) 2016-11-04
JP6126747B2 (ja) 2017-05-10
KR20170060165A (ko) 2017-05-31
JP2021158369A (ja) 2021-10-07
JP6207780B2 (ja) 2017-10-04
TW201719802A (zh) 2017-06-01
KR101782981B1 (ko) 2017-09-28
KR20170017003A (ko) 2017-02-14
JP2017143269A (ja) 2017-08-17
CN105981156B (zh) 2018-04-24
JP2017201700A (ja) 2017-11-09
CN106876313A (zh) 2017-06-20
US20170110358A1 (en) 2017-04-20
US9472410B2 (en) 2016-10-18
US20170004988A1 (en) 2017-01-05
JP6998136B2 (ja) 2022-01-18
CN106876313B (zh) 2019-09-27
CN108428663B (zh) 2022-08-30
US9536769B1 (en) 2017-01-03
CN105981156A (zh) 2016-09-28
TW201535588A (zh) 2015-09-16
JP2017509135A (ja) 2017-03-30
KR101854373B1 (ko) 2018-05-03
US9805965B2 (en) 2017-10-31
CN108428663A (zh) 2018-08-21

Similar Documents

Publication Publication Date Title
TWI596697B (zh) 像素化電容控制的靜電夾盤
JP6987166B2 (ja) ピクセル型温度制御式基板支持アセンブリ
TWI834724B (zh) 用於在成形dc脈衝電漿處理裝置中邊緣環控制的電路
US9472435B2 (en) Tunable temperature controlled substrate support assembly
US11170991B2 (en) Plasma processing apparatus
US20080236493A1 (en) Plasma processing apparatus
TWI661463B (zh) Heater power supply mechanism and platform temperature control method