CN108428663B - 静电夹盘 - Google Patents

静电夹盘 Download PDF

Info

Publication number
CN108428663B
CN108428663B CN201810246829.8A CN201810246829A CN108428663B CN 108428663 B CN108428663 B CN 108428663B CN 201810246829 A CN201810246829 A CN 201810246829A CN 108428663 B CN108428663 B CN 108428663B
Authority
CN
China
Prior art keywords
pixelated
electrostatic chuck
substrate
pixel
capacitance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810246829.8A
Other languages
English (en)
Other versions
CN108428663A (zh
Inventor
R·萨德贾迪
W·G·小博伊德
V·D·帕科
M·M·诺基诺夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108428663A publication Critical patent/CN108428663A/zh
Application granted granted Critical
Publication of CN108428663B publication Critical patent/CN108428663B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/188Capturing isolated or intermittent images triggered by the occurrence of a predetermined event, e.g. an object reaching a predetermined position
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analytical Chemistry (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文所述的实现方式提供一种像素化静电夹盘,该像素化静电夹盘能够对静电夹盘与置于该静电夹盘上的基板之间的RF耦接进行侧向调谐和方位角调谐。在一个实施例中,像素化静电夹盘(ESC)可包括:电介质体,具有工件支撑表面,该工件支撑表面配置成在其上接受基板;一个或多个夹紧电极,安置在该像素化静电夹盘中;以及多个像素电极。该多个像素电极可在浮动状态与接地状态之间切换,具有对地的可变电容,或者既可在浮动状态与接地状态之间切换,又具有对地的可变电容。像素电极和夹紧电极形成电路,该电路可操作以将该基板静电地夹紧至该工件支撑表面。

Description

静电夹盘
本申请是申请日为“2015年2月9日”、申请号为“201580007797.0”、题为“像素化电容受控的ESC”的分案申请。
技术领域
本文所述的实现方式总体上关于半导体制造,并且更特定地关于静电夹盘以及使用该静电夹盘的方法。
背景技术
随着器件图案的特征尺寸变得更小,对这些特征的临界尺寸(criticaldimension;CD)的要求成为对于稳定且可重现的器件性能的更重要的准则。由于腔室的不对称性(诸如,腔室和基板温度、流导和RF场),跨处理腔室内经处理的基板的可允许的CD变化难以达成。
在利用静电夹盘的工艺中,由于在基板下方的夹盘的非均质构造,跨基板的表面的蚀刻的均匀性具有挑战性。例如,静电夹盘中的一些区域具有气孔,而其他区域则具有从所述气孔侧向偏离的升举销孔。又一些其他区域具有夹紧电极,而其他区域则具有从夹紧电极侧向偏离的加热器电极。夹盘的非均质构造导致射频(radio frequency;RF)场的不均匀性,此不均匀性直接影响跨基板表面的蚀刻。
静电夹盘的结构可能在侧向上且在方位角上变化,这使夹盘与基板之间的RF场的均匀性复杂化且难以获得,从而导致跨夹盘表面的RF场中的局部变化性。基于等离子体的工艺可能对于至静电夹盘的小局部RF耦接变化非常敏感。由此,局部射频耦接变化导致沿基板的表面的处理结果的不均匀性。
因此,存在对改进的静电夹盘的需要。
发明内容
本文所述的实现方式提供一种像素化ESC,该像素化ESC允许对对ESC与置于该ESC上的基板之间的RF耦接进行侧向调谐和方位角调谐。在一个实施例中,像素化静电夹盘(pixelated electrostatic chuck;ESC)可包括:电介质体,具有工件支撑表面,所述工件支撑表面配置成在其上接受基板;一个或多个夹紧电极,安置于像素化ESC中;以及多个像素电极。多个像素电极可在浮动状态与接地状态之间切换,具有对地的可变电容,或者既可在浮动状态与接地状态之间切换,又具有对地的可变电容。像素电极和夹紧电极形成电路,该电路可操作以将基板静电地夹紧至工件支撑表面。
在另一实施例中,提供一种处理腔室。所述处理腔室包括腔室主体,该腔室主体中安置有像素化静电夹盘(ESC)。像素化ESC可如上所述那样配置。
在又一实施例中,提供一种用于处理基板的方法,该方法包括:向形成于像素化静电夹盘中的主夹紧电极施加功率;将侧向分布在像素化静电夹盘内的多个像素电极中的一个或多个选择性地耦接到地面以将基板紧固至像素化静电夹盘;以及在像素化静电夹盘上处理基板。
附图说明
因此,为了可详细地理解本发明的上述特征的方式,可通过参考实现方式对上文中简要概述的本发明进行更特定的描述,这些实现方式中的一些在附图中示出。然而,将注意的是,附图仅示出本发明的典型实现方式,因此附图将不被视作限制本发明的范围,因为本发明可承认其他同样有效的实现方式。
图1是处理腔室的示意性横剖面侧视图,该处理腔室中具有像素化静电夹盘的一个实施例;
图2是详细说明像素化静电夹盘和基板支撑组件的多个部分的部分示意性横剖面侧视图;
图3是示出在像素化静电夹盘中的可调电容器和电极的布局的部分平面顶视图;
图4是沿图3的剖面线A-A截取的横剖面视图,这些图示出电容器在像素化静电夹盘中的简化布线示意图;
图5是示出RF可变电容器的部分布线示意图;以及
图6是利用像素化静电夹盘处理基板的一个实施例的流程图。
为了便于理解,已在可能的情况下使用完全相同的附图标记指定诸图所共有的完全相同的元件。构想了在一个实现方式中公开的元件可有利地用于其他实现方式而无需特定的陈述。
具体实施方式
随着半导体工业正在将电子特征尺寸缩小至亚纳米水平,蚀刻速率和临界尺寸均匀性要求同样缩至接近原子尺寸的埃
Figure BDA0001606755880000031
水平。在这种情况下,基板温度必须非常均匀(例如对于小于20纳米的节点,小于约0.5℃)或能以使用非常精细的分辨率来调谐以定制跨基板的工艺均匀性。然而,对于小于10纳米的半导体技术节点而言,即使低至0.25℃或更低的温度均匀性也不足以维持工艺均匀性。影响工艺均匀性的一个因素是在静电夹盘的圆盘内的夹紧电极的电介质深度。电介质深度是圆盘的顶部与包括夹紧电极的高电压栅格之间的距离。圆盘的基板支撑表面地形与电介质深度都显著地影响基板对ESC的RF耦接。对基板的较强的射频(RF)耦接可增加蚀刻速率,反之亦然。由此,跨ESC侧向地控制工件对地的局部电容是重要的工艺控制参数,在本文中公开的本发明的所述工艺控制参数已经证实为有效的工艺控制属性,以用于定制对使用ESC执行的工艺的侧向和/或方位角蚀刻速率均匀性和/或CD控制。
本文所述的实现方式提供像素化静电夹盘(ESC),该像素化ESC允许对像素化ESC与诸如基板之类的工件的RF耦接进行局部调谐、侧向调谐以及方位角调谐,进而允许对像素化ESC上的基板与地面的侧向RF耦接进行侧向调谐和方位角调谐。此外,针对基板与地面的耦接而对像素化ESC中的电容的局部变化的控制通过消除(或在一些情况下诱发)受RF耦接影响的工艺变化,来大幅增强在该像素化ESC上执行的工艺。由此,像素化ESC允许对在跨基板的几乎任何位置之间的埃
Figure BDA0001606755880000032
水平蚀刻速率和临界尺寸(CD)均匀性进行控制。本文还描述对在像素化ESC上处理的基板的RF耦接进行调谐的方法。尽管在下文中描述了像素化ESC处于蚀刻处理腔室中,但像素化ESC可用于其他类型的等离子体处理腔室中,所述腔室诸如物理气相沉积腔室、化学气相沉积腔室、离子注入腔室等,以及期望跨ESC的基板支撑表面的RF分布进行方位角调谐、侧向调谐和/或局部调谐中的至少一个调谐所在的其他系统。还构想到像素化电极也可用于控制其他表面(包括不用于半导体处理的那些表面)的RF偏置或耦接。
在一个或多个实施例中,像素化ESC允许在真空工艺(诸如,蚀刻、沉积、注入,等等)期间通过利用像素电极来补偿腔室不均匀性(诸如,温度、流导、电场、等离子体密度,等等)对边缘处或跨基板的其他位置处的临界尺寸(CD)变化进行校正。此外,一些实施例已证实将基板对地的电容控制到自约20pF至约数百pF范围中的任一电容的能力。
图1是示例性蚀刻处理腔室100的示意性横剖面视图,该蚀刻处理腔室100具有像素化ESC132。如上文所讨论,像素化ESC132可用于其他处理腔室中,例如等离子体处理腔室、退火腔室、物理气相沉积腔室、化学气相沉积腔室,以及离子注入腔室等等,以及期望有控制将表面或工件(诸如,基板)耦接至地面的RF分布的能力的其他系统中。对跨表面(即像素化ESC132的基板支撑表面)的许多离散区域的RF耦接进行独立控制和局部控制有益地允许RF分布的侧向调谐和/或方位角调谐以及局部RF不平度(诸如,高或低RF耦接)的减小RF,所述RF不平度可影响蚀刻处理腔室100中的局部工艺结果。
处理腔室100包括接地腔室主体102。腔室主体102包括壁104、底部106,以及盖108,上述各项围成内部体积124。基板支撑组件126安置于内部体积124中。像素化ESC132安置于基板支撑组件126上并且在处理期间在其上支撑基板134。
处理腔室100的壁104包括开口(未示出),能以机器人方式将基板134经由该开口移送进出内部容积124。泵送口110形成在腔室主体102的壁104或底部106中的一个中并且流体地连接至泵送系统(未示出)。泵送系统用以在处理腔室100的内部体积124内维持真空环境,同时移除处理副产物。
气体面板112通过一个或多个进气端口114将工艺气体和/或其他气体提供至处理腔室100的内部容积124,该进气端口114穿过腔室主体102的盖108或壁104中的至少一个而形成。由气体面板112提供的工艺气体在内部体积124内被激励以形成等离子体122,该等离子体122用以处理安置在像素化ESC132上的基板134。可由感性耦接至处理气体的RF功率来激励此工艺气体,该RF功率来自定位在腔室主体102外部的等离子体施加器120。在图1中示出的实施例中,等离子体施加器120是经由匹配电路118耦接至RF功率源116的一对共轴线圈或容性耦接等离子体(capacitively coupled plasma;CCP)腔室。
控制器148耦接至处理腔室100以控制处理腔室100的操作和基板134的处理。控制器148可以是任何形式的通用数据处理系统中的一个,该处理系统可在工业设定中使用以用于控制多种子处理器和子控制器。总体上,控制器148包括与存储器174和输入/输出(I/O)电路176通信的中央处理单元(CPU)172及其他常见部件。由控制器148的CPU执行的软件命令使处理腔室:例如将蚀刻剂气体混合物(即处理气体)引入内部体积124,通过施加来自等离子体施加器120的RF功率由处理气体形成等离子体122,以及蚀刻存在于基板134上的材料的层。
基板支撑组件126总体上至少包括基板支撑件。在图1的实施例中,基板支撑件为ESC并且在下文中描述为像素化ESC132。基板支撑组件126可附加地包括加热器组件170。基板支撑组件126也可包括冷却基座130。或者,冷却基座可与基板支撑组件126分离。基板支撑组件126可以可移除地耦接至支撑台125。支撑台125安装至腔室主体102并且可包括台基座128。支撑台125可任选地包括设施盘180。可周期性地将基板支撑组件126从支撑台125移除以允许对基板支撑组件126的一个或多个部件进行整修。
设施盘180配置成容纳多个驱动机构,所述驱动机构配置成升高和降低多个升举销。此外,设施盘180还配置成容纳来自像素化ESC132和冷却基座130的多个流体连接。设施盘180还配置成容纳来自像素化ESC132和加热器组件170的多个电连接。许多连接可在基板支撑组件126外部或内部运行,而设施盘180为这些连接提供至相应终点的接口。
温度受控的冷却基座130耦接至热传递流体源144。热传递流体源144提供诸如液体、气体或其组合的热传递流体,该热传递流体循环通过安置于冷却基座130中的一个或多个导管160。流经相邻导管160的流体可被隔离以允许对在像素化ESC132与冷却基座130的不同区域之间的热传递进行局部控制,这辅助控制基板134的侧向温度分布。
在一个或多个实施例中,流体分配器可流体地耦接在热传递流体源144的出口与温度受控的冷却基座130之间。流体分配器操作以控制经提供至导管160的热传递流体的量。流体分配器可安置在处理腔室100的外部、基板支撑组件126内、台基座128内或其他适合的位置。
加热器组件170可包括嵌入在主体152中的一个或多个主电阻式加热器154和任选的多个二级加热器(未示出)。主电阻式加热器154可被提供以将基板支撑组件126的温度升高至用于执行腔室工艺的温度。二级加热器(当存在时)可对由主电阻式加热器154产生的像素化ESC132的温度分布提供几摄氏度的局部化调整。由此,主电阻式加热器154在全局化的大尺度上操作,而二级加热器则在局部化的小尺度上操作。主电阻式加热器154经由RF滤波器184耦接至主加热器功率源156。功率源156可向主电阻式加热器154提供500瓦特或更高的功率。控制器148可控制主加热器功率源156的操作,该主加热器功率源156的操作一般被设定为加热基板134。在一个或多个实施例中,主电阻式加热器154包括多个侧向分开的加热区,其中控制器148使主电阻式加热器154的一个区能够相对于位于其他区中一个或多个区中的主电阻式加热器154优先被加热。例如,主电阻式加热器154可同心地布置在多个分开的加热区中以实现边缘至中心温度控制。
或者,一个或多个主电阻式加热器154和/或二级加热器可形成在像素化ESC132中。在主电阻式加热器154和二级加热器都形成在像素化ESC132中的那些实施例中,基板支撑组件126可被形成为不具有加热器组件170并且像素化ESC132可直接安置于冷却基座130上。
处理腔室100中的基板134的表面的温度可受到由泵对工艺气体的抽空、狭缝阀门、等离子体122以及其他因素的影响。冷却基座130、一个或多个主电阻式加热器154以及二级加热器全都有助于控制基板134的表面温度。
像素化ESC132具有安装表面131和与安装表面131相对的工件表面133。像素化ESC132的工件表面133可包括气道(未示出)以用于将背侧热传递气体提供至限定在基板134与像素化ESC132的工件表面133之间的间隙空间。像素化ESC132还可包括升举销孔以用于容纳升举销(两者都未示出),所述升举销用于将基板134升高至像素化ESC132的工件表面133上方以便于以机器人方式移送进和移送出处理腔室100。
像素化ESC132一般包括电介质体150,该电介质体150中嵌入有一个或多个夹紧电极136。电介质体150中还可嵌入有一个或多个像素电极140。像素电极140可与夹紧电极136共面。像素电极140可与夹紧电极136散布,例如像素电极140可以以栅格或极性阵列的方式布置(该栅格或极性阵列散布在形成于单个夹紧电极136中的孔径内),或可在多个夹紧电极136之间散布。
使用MEMS技术可直接将像素电极140和夹紧电极136集成到圆盘228中。每一个像素电极140可通过夹紧电极136而与相邻像素电极140隔开。像素电极140和夹紧电极136可通过电镀、喷墨打印、丝网印刷、物理气相沉积、冲压、金属丝网或其他适合的方式形成。
往回参见电介质体150,电介质体150可具有配置成在直径上与基板相同或略大于基板的平盘形式。或者,电介质体150可具有其他形式,诸如,矩形、正方形或其他平面形式。电介质体150可由陶瓷材料(诸如,AlN或Al2O3)制成。当由陶瓷材料制成时,电介质体150可被称作圆盘(在图2中示为圆盘228)。或者,电介质体150可由聚合物制成,诸如聚酰亚胺、聚醚醚酮、聚芳醚酮,等等。当由聚合物制成时,电介质体150可被称作弯曲叠层。
像素化ESC132的主体150可由两层个或更多个层形成,这些层在压力下经加热以形成单块主体150。例如,主体152可由聚酰亚胺层形成,并且像素电极140和夹紧电极136在这些聚酰亚胺层之上或之间。在一些实施例中,主电阻式加热器154也可形成在聚酰亚胺层之上或之间。或者,像素化ESC132可由陶瓷材料形成。像素化ESC132可经烧结并且可包含嵌入其中的一个或多个夹紧电极136和像素电极140。
夹紧电极136可配置为双极性电极,或其他适合的布置。夹紧电极136经由RF滤波器182耦接至夹紧功率源138,该夹紧功率源138提供RF或DC电功率以将基板134静电地固定至像素化ESC132的上表面。RF滤波器182防止用于在处理腔室100内形成等离子体122的RF功率损害电气设备或在腔室外部造成电气危害。在一个实施例中,夹紧功率源138向一个或多个夹紧电极136提供高电压。
多个像素电极140可安置在像素化ESC132中并且紧邻夹紧电极136。像素电极140经由电引线146、经过像素电容器(图4和图5中示出)耦接至地面142。像素电容器可配置成具有固定的或可变的电容,其中每一个像素电容器的值被选择成独立地且局部地控制跨像素化ESC132的许多离散区域的RF耦接,以使得能够对基板与ESC132之间的功率耦接进行局部调谐、侧向调谐和/或方位角调谐,进而能够在蚀刻处理腔室100中调谐局部、侧向和/或方位角工艺结果。
在一个或多个实施例中,像素电容器可以是可变电容器,该可变电容器的电容能以机械方式或电子方式改变。像素控制器210可用于控制像素电容器的电容。像素电容器的电容的改变可用于通过一个或多个像素电极140来影响夹紧电极136通过基板134而至地面142之间的功率的耦接的亲和性(affinity),由此将基板134静电地夹紧至像素化ESC132。
像素电容器与电阻器、电感器及忆阻器(memristor)都属于用于电子设备中的“被动部件”群组,这些被动部件用于传输夹持功率,同时允许对处理结果进行可调谐控制。像素电容器可具有固定电容值,和/或具有可变电容器,所述可变电容器具有可变(修整器(trimmer))或可调整的(可调谐的)电容值。像素电容器可以是电容可由像素控制器210控制的数字调谐电容器,诸如集成电路(IC)可变电容器。像素电容器的电容值可被配置成调谐RF信号以控制蚀刻处理腔室100中的蚀刻速率。
在一个或多个实施例中,像素电容器可被制造为固态场效应晶体管(field-effect transistor;FET)开关。像素电容器可以是微型机电系统(microelectromechanical system;MEMS)、基于钛酸锶钡(barium strontium titanate;BST)的器件、基于绝缘体上硅(silicon-on-insulator;SOI)的器件/基于蓝宝石上硅(silicon-on-sapphire;SOS)的器件、基于铁电体的器件,或其他适合的器件技术。MEMS器件是高度线性的,因此适合于天线孔径调谐、动态阻抗匹配、功率放大器负载匹配,以及可调滤波器。BST器件通过向该等器件施加高压来改变电容。调谐准确度仅受限于产生高压的D-A(直流-交流)转换器电路的准确度。在需求严格的应用中,BST器件具有在变化的温度上的优良稳定性和线性。SOI/SOS调谐器件使用以二进制加权值布置的金属-绝缘体-金属(metal-insulator-metal;MIM)覆层以获取不同电容值。SOI/SOS开关具有高度线性并且十分适合于不存在高电压的低功率应用。高电压耐受性要求串联的多个FET器件,这增加串联电阻并且降低质量因子。在一个实施例中,像素电极140是数字调谐的可变MEMS器件。
基于等离子体的工艺可能对ESC的小局部RF(RF)耦接变化非常敏感。像素化ESC132允许控制表面电容,以便对跨基板134的侧向剖面的离散位置处的蚀刻速率提供埃
Figure BDA0001606755880000091
水平CD控制。
图2是示出基板支撑组件126的多个部分的部分横剖面示意图。图2中包括像素化ESC132、加热器组件170、冷却基座130以及设施盘180的部分。
加热器组件170可以是任选的并且具有绝缘区域264。绝缘区域264可保护加热器组件170不接触电引线146,所述电引线146通过像素电容器和像素控制器210而将像素电极140连接至地面142。在主电阻式加热器154位于像素化ESC132中的实施例中,基板支撑组件126中可不存在加热器组件170。
可利用结合剂244将加热器组件170可耦接至像素化ESC132的安装表面131。结合剂244可以是粘合剂,诸如,丙烯酸基粘合剂、环氧树脂、硅基粘合剂、基于氯丁橡胶的粘合剂,或其他适合的粘合剂。在一个实施例中,结合剂244是环氧树脂。结合剂244可具有选自从0.01至200W/mK的范围中的热传导系数,且在一个示例性实施例中,具有选自从0.1至10W/mK的范围中的热传导系数。包括结合剂244的粘合剂材料可附加地包括至少一种热传导陶瓷填充物,例如氧化铝(Al2O3)、氮化铝(AlN),及二硼化钛(TiB2),等等。当整修像素化ESC132或加热器组件170时,可去除结合剂244。在其他实施例中,像素化ESC132利用紧固件或夹具(未示出)可移除地耦接至加热器组件170。
像素化ESC132的主体150一般可以是平面形式的圆柱形,但还能以其他几何形状来形成。主体150可以是陶瓷并且可烧结至图2中示出的圆盘228内。圆盘228具有工件表面133以在其上支撑基板134。此外,主体150可包括面对加热器组件170的安装表面131。
圆盘228的安置在电极136、140与基板134的顶表面之间的部分形成电介质226,该电介质226用于将功率容性地耦接至基板134。由电介质226的较厚深度或圆盘228的较平的地形而导致的耦接至基板134的较多功率可能降低蚀刻速率,反之亦然。由于甚至低至0.25℃的温度均匀性也不足以维持良好的侧向蚀刻均匀性,功率至基板134的耦接在形成小于10纳米的半导体技术节点时具有十分重要的作用。由此,已发现在侧向地跨像素化ESC 132的离散位置处对基板134与像素化ESC 132之间的功率耦接的独立控制允许在像素化ESC132中与像素电极140以及该像素电极140周围的夹紧电极136中的一个相关联的每一个位置处独立地控制蚀刻速率。
取决于ESC设计和所用的材料,常规ESC在基板与夹紧电极之间的离散位置处可具有不同的电容。例如,完全平坦的晶片与完全平坦的常规ESC之间的电容可以是约220pF。考虑到圆盘表面平坦度和粗糙度以及其他因素(诸如,电介质深度、夹紧电极与ESC表面的距离和圆盘的材料,以及其他因素)中的变化,ESCESC的一个区域中电容相比其他区域可能高得多。例如,一些常规ESC跨基板支撑表面可具有约数千皮(pico)法拉第的电容变化。
本文所述的像素化ESC132的实施例允许通过将跨像素化ESC132的基板支撑表面的局部电容变化控制为低于10%而允许将蚀刻速率均匀性控制在约
Figure BDA0001606755880000103
Figure BDA0001606755880000102
之内。例如,跨像素化ESC132的基板支撑表面的局部电容变化可被控制在约20pF至约数百皮法拉第之间。
在一个或多个实施例中,可通过充分地缩紧电介质226的深度容限来控制跨像素化ESC 132的基板支撑表面的局部电容变化以便获得小于10%的电容变化。例如,如果另外的5%与掺杂变化有关,则可将电介质226的深度容限缩紧至小于约5%,从而获得小于10%的电容变化。
附加于或替代于除缩紧电介质226的深度容限可通过充分改进圆盘228的表面的平坦度和地形均匀性来控制跨像素画ESC 132的基板支撑表面的局部电容变化。例如,圆盘228的平坦度的容限可小于约10μm。附加于或替代于改进圆盘228的平坦度,圆盘228的表面粗糙度变化可小于约10μm。
附加于或替代于改进电介质226和圆盘228的物理属性(即深度容限、平坦度、粗糙度,等等)中的一者或更多者,可通过为耦接至各个像素电极140的每一个像素电容器选择适当的电容来控制跨像素化ESC132的基板支撑表面的局部电容变化。通过为耦接至各个像素电极140的每一个像素电容器选择适当的电容,可补偿电介质226和圆盘228的物理属性的变化或处理环境中的变化以获得期望的处理结果,诸如将蚀刻速率均匀性维持在约
Figure BDA0001606755880000101
内。
像素控制器210可用于为耦接至各个像素电极140的每一个像素电容器选择适当的电容。例如,像素控制器210可利用由像素控制器210产生的控制信号来控制每一个像素电容器的电容。
使用可独立控制的像素电容器来平滑化或校正像素化ESC132的功率耦接分布能够将跨基板134的局部RF均匀性控制到非常小的容限。跨基板134的局部RF均匀性使得在处理基板134时允许精确处理和CD控制。此外,像素电极140的小尺寸和高密度使得能够在基板上不影响像素化ESC 132的相邻区域的功率耦接的情况下,允许通过基板134而在单个像素电极140与相邻夹紧电极136之间进行的功率耦接进行离散的局部控制,ESC耦接由此允许对功率耦接的局部控制。具有多个像素电极140的基板支撑组件126已经被证实具有如下能力:将在该基板支撑组件126上处理的基板134的电容均匀性控制到小于约10%,从而控制蚀刻均匀性的工艺偏差向下控制到约
Figure BDA0001606755880000111
图3是沿图2中的剖面线A-A截取的像素化ESC132的部分横剖面顶视平面图。ESC像素电极140和夹紧电极136在像素化ESC 132中的布局借助示例而提供并且以可选的方式排列。沿图2中穿过像素化ESC132的剖面线A-A的平面来安置像素电极140。示出的像素电极140和夹紧电极136的数量仅为了说明并且任何数量的实施例可具有大幅地更多(或更少)的像素电极140和夹紧电极136。此外,夹紧电极136可以是独立可偏置区段的形式,可作为多个共同偏置的区段,或其他配置。跨像素化ESC132的工件支撑表面的局部电容可由像素电容器来控制以提供蚀刻速率的埃
Figure BDA0001606755880000112
水平控制。
诸如图2中示出的像素控制器210的像素控制器可控制每一个像素电容器。在一个实施例中,像素电容器和用于将像素电容器耦接至选定像素电极140的开关安置于像素控制器210中。像素控制器210可将单个像素电极140耦接至电容器,该电容器具有与相邻像素电极140相同或不同的电容。在其他实施例中,像素控制器210可将一组相邻像素电极140耦接至具有相同电容的电容器(该电容可与相邻组的像素电极140的电容相同或不同),由此限定可独立于其他区而受控的像素化ESC132中的一部分或一区。像素控制器210可耦接多个像素电极140,这些像素电极140经群组化以限定内楔、周边群组、饼状区域,或其他期望的几何形状配置,包括不毗邻的配置。由此,通过控制每一个像素电极140的局部电容,可在沿像素化ESC132的表面的独立位置处精确地控制RF耦接,这使得能够更精确地控制基板处理结果。尽管针对像素电极140示出的图案布置为小单元的栅格,但该图案可替代地具有更大和/或更小的单元,延伸至边缘,或处于其他布置。
像素电极140的数量可基板上等于夹紧电极136的数量。或者,像素电极140的数量可大幅超过或小于夹紧电极136的数量。跨基板支撑组件126而定位的像素电极140的数量可容易地超过数百个。在一个实施例中,对于每一个夹紧电极136,存在对应的像素电极140。在替代实施例中,对于一个或多个夹紧电极,存在对应的像素电极140的群组。
能以高效地生成基板与地面之间期望的RF耦接分布的图案来配置像素电极140。该图案可以是围绕中点而对称的栅格(如图所示)或其他适合的图案,所述其他适合的图案在孔中且围绕孔为升举销或其他机械连接、流体连接或电连接和端口(未示出)提供空隙。
图4是沿图3的B-B剖面线截取的像素化ESC132的横剖面视图。图4示出耦接至像素化ESC 132中的像素电极140的电容器的简化示例性布线示意图ESC。图4中的像素化ESC132示出在其中形成的像素电极140和夹紧电极136。像素电极140耦接至例如驻留在电容器组410中的一个或多个电容器440。电容器组410可安置在像素控制器210中或其他适合的位置。电容器440可具有固定的或可变的电容。在电容是可变的实施例中,可响应于来自像素控制器210的信号来选择电容器440的电容。
像素控制器210可具有控制器412以用于断开和/或闭合各电路,这些电路经由电引线141而选择性地将电容器440耦接至相应的像素电极140。当像素控制器210的电路处于闭合位置时,像素电极140经由电容器440中的至少一个耦接至地面142。在一个实施例中,控制器412可经由电引线141F将像素电极140F和电容器440F连接至地面142,而其他电容器440中的一个或多个则相对于地面142是浮动的(因为那些电路处于断开状态)。在此配置中,像素化ESC132中位于像素电极140F局部的区域可比例如像素化ESC132中位于电极140G具有的区域具有更强的RF耦接。控制器412可控制像素电极140F和电容器440F接至地面142或相对于地面处于浮动状态的持续时间或占空比。控制器412可附加地相对于像素电极140F或其他像素电极140控制其他像素电极140和电容器440接至地面142或相对于地面处于浮动状态的持续时间或占空比。以此方式,可随着时间的推移来控制跨像素化ESC132的基板支撑表面的每一个位置处的相对电容,由此允许对局部RF耦接进行控制,并且因此能够按需定制局部处理结果。
在另一实施例中,附加于或替代于控制像素电极140与地面之间的相对连接的占空比和持续时间,控制器412也可程序化地改变每一个电容器440的电容,以使得可控制跨像素化ESC132的基板支撑表面的每一个位置处的相对电容。像素电极140的数量和密度有助于将跨基板134的RF耦接的均匀性控制至非常小的容限的能力。由此,相对与另一个像素电极140在每一个像素电极140与地面之间的电容的单独控制允许对在基板134与像素化ESC132之间的特定位置处对RF耦接的局部和侧向控制,这进而允许在处理基板134时的精确的工艺和CD控制。
图5示出用于将像素电极140耦接至地面142的针对可变电容器500的部分布线示意图的一个实施例,。可变电容器500可例如通过替换图4中示出的电容器440而用于像素电极140中任一个与地面142之间的上述像素控制器210中。可变电容器500可包括可变电容器505和固定电容器570的混合,这两个电容器经由去耦电阻器506耦接至薄膜晶体管(TFT)508。可变电容器500一般用作电压受控的可变电容器(变容器(varactor)),并且可将MEMS控制元件用作可变电容器505。可变电容器500包括分支510、520、530、540、550、560,这些分支各自包括至少一个可变电容器505和至少一个固定电容器570。
当可变电容器505配置为MEMS控制元件时,可变电容器505为二进制(开/关)受控的,即可切换的。可变电容器505可向可变电容器500提供约0pF至约3.4pF之间的电容。每一个固定电容器570可向可变电容器500提供约0.5pF至约74pF之间的总电容。此外,安置在TFT 508与电容器505、570之间的去耦电阻器506可单独提供约5欧姆的电阻。
沿包括可变电容器500的一个或多个分支510、520、530、540、550、560,能以可选择的二进制加权电容来配置可变电容器500的电容。TFT 508可用于选择分支510、520、530、540、550、560中的哪一个或哪些为浮动的,以及分支510、520、530、540、550、560中的哪一个或哪些将像素电极140耦接至地面142。
每一个分支510、520、530、540、550、560的总电容是两组电容器(即可变电容器505与固定电容器570)的组合。每一个分支510、520、530、540、550、560可具有相同范围的电容或不同的电容。例如,分支560可配置最大总电容,分支550配置第二大总电容,以此类推,分支510具有最小总电容。分支560可由六个可切换的可变电容器505构成,每一个可切换的可变电容器具有设定为约3.4pF的电容(总电容为约20.4pF),且每一个可切换的可变电容器与固定电容器576并联布置,该固定电容器576具有约74pF的电容。这对于分支560产生16pF的总电容。分支550、540、530、520、510以类似方式建构并且可分别具有8pF、4pF、2pF、1pF和0.5pF的总电容。具有小于3.4pF的总电容的分支530、520、510可使用与单个固定电容器570并联或串联的单个MEMS可变电容器505。固定电容器570可配置成将分支530、520、510的总电容降低至期望值。例如,最小分支510可具有与大约0.6pF的固定电容器570并联的单个可变电容器505。由此,当可变电容器505被激励(即接通)时,最小分支510可具有0.5pF的有效电容。因此,通过选择性地接通/关闭多个分支510、520、530、540、550、560中的可变电容器505,可获得约0.5pF至约31.5pF之间的总电容。
或者,固定电容器576可与诸如MEMS可变电容器505的RF MEMS电容器单元串联。一个或多个直列式电容器可与像素电极140串联连接。在该种配置中,与电容器576、505并联置放的配置中的电压相比,电容器576、505可经受更高的电压。由此,诸如MEMS可变电容器505的RFMEMS电容器单元的串联配置可配置成用于处理高电压。
图6是用于利用像素化静电夹盘(诸如上述像素化静电夹盘等等)处理基板的方法600的一个实施例的流程图。方法600通过向形成于像素化静电夹盘中的主电极施加功率而开始于框602。主电极可以是单个电极,或分段到多个区中。主电极在像素化ESC中的区可以是可独立控制的。
在框604处,选择性地将侧向分布在像素化ESC内的多个像素电极中的一个或多个耦接至地面,从而有效地将该基板夹紧至ESC的表面。可相对于安置在像素画静电夹盘内的其他像素电极来控制对每一个像素电极与地面的耦接的持续时间和/或占空比,从而控制对安置在ESC上的基板的RF耦接。在一些实施例中,可跨像素化ESC顺序扫描每一个像素电极至地面的耦接。在其他实施例中,任选地附加于每一个像素电极至地面的耦接的持续时间和/或占空比可相对于安置在像素化静电夹盘内的其他像素电极的接地路径可控地选择单个像素电极至地面之间的电容。通过定制像素电极与地面之间的局部电容,可实现对跨基板的处理结果的局部调谐、侧向调谐,和/或方位角调谐。
在框606处,可在像素化ESC上处理基板。例如,可在真空腔室中(例如使用等离子体工艺)来处理基板。真空工艺(任选地可在等离子体存在于处理腔室的情况下执行的真空工艺)可以是蚀刻、化学气相沉积、物理气相沉积、离子注入、等离子体处理、退火、氧化物去除、减排(abatement)或其他等离子体工艺之一。构想在用于其他应用的其他环境(例如在大气条件下),可在像素化静电夹盘的RF受控的表面上处理工件。在一个实施例中,像素化静电夹盘上的基板经蚀刻以形成亚10纳米(sub 10nm)的半导体技术节点。
任选地,在框606处中,可响应于工艺条件的变化或工艺配方的变化而改变在像素化静电夹盘内侧向分布的多个像素电极中的一个或多个与地面之间的耦接。例如,可响应于工艺条件的变化或工艺配方的变化,利用来自像素控制器210的命令来改变像素电极中的一个或多个与地面之间的电容。在另一个示例中,可响应于工艺条件的变化或工艺配方的变化而利用来自像素控制器210的命令在浮动与接地之间切换像素电极中的一个或多个。
尽管前述内容针对本发明的实现方式,但可设计本发明的其他和进一步实现方式而不背离本发明的基本范围,且本发明的范围由所附权利要求书来确定。

Claims (10)

1.一种静电夹盘,包括:
电介质体,具有工件支撑表面,所述工件支撑表面配置成在其上接受基板;
多个夹紧电极,所述多个夹紧电极安置在所述电介质体中,所述多个夹紧电极配置为经由RF滤波器耦接至夹紧功率源;以及
多个像素电极,所述多个像素电极安置在所述电介质体中,所述像素电极可通过多个电容器接地,其中所述多个夹紧电极中的每一个夹紧电极通过所述多个像素电极中的一个与所述多个夹紧电极中的相邻夹紧电极分开。
2.如权利要求1所述的静电夹盘,其中每个电容器耦接至所述多个像素电极中的各个像素电极。
3.如权利要求2所述的静电夹盘,其中所述多个电容器进一步包括:
多个分支,所述多个分支具有去耦电阻器,所述分支包括:
多个可变电容器;和
多个固定电容器,所述多个固定电容器与各个分支上的所述可变电容器耦接;以及
耦接至所述多个分支的薄膜晶体管。
4.如权利要求2所述的静电夹盘,其中所述多个电容器中的至少一个是MEMS电容器。
5.如权利要求2所述的静电夹盘,其中所述多个电容器集成到所述电介质体中。
6.如权利要求2所述的静电夹盘,其中所述多个电容器是RF可变电容器。
7.如权利要求1所述的静电夹盘,其中所述夹紧电极以栅格的方式布置。
8.如权利要求1所述的静电夹盘,其中所述多个夹紧电极中的每一个夹紧电极都是独立可偏置的。
9.如权利要求1所述的静电夹盘,其中所述多个夹紧电极以一个或多个共同偏置区段的形式放置。
10.如权利要求3所述的静电夹盘,其中所述多个可变电容器中的每一个提供介于0 pF与3.4 pF之间的电容,且所述多个固定电容器中的每一个提供介于0.5 pF与74 pF之间的电容。
CN201810246829.8A 2014-03-05 2015-02-09 静电夹盘 Active CN108428663B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461948519P 2014-03-05 2014-03-05
US61/948,519 2014-03-05
US14/276,790 US9472410B2 (en) 2014-03-05 2014-05-13 Pixelated capacitance controlled ESC
US14/276,790 2014-05-13
CN201580007797.0A CN105981156B (zh) 2014-03-05 2015-02-09 像素化电容受控的esc
PCT/US2015/015044 WO2015134155A1 (en) 2014-03-05 2015-02-09 Pixelated capacitance controlled esc

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580007797.0A Division CN105981156B (zh) 2014-03-05 2015-02-09 像素化电容受控的esc

Publications (2)

Publication Number Publication Date
CN108428663A CN108428663A (zh) 2018-08-21
CN108428663B true CN108428663B (zh) 2022-08-30

Family

ID=54055717

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201710130515.7A Active CN106876313B (zh) 2014-03-05 2015-02-09 一种用于静电夹盘(esc)的夹紧电路
CN201810246829.8A Active CN108428663B (zh) 2014-03-05 2015-02-09 静电夹盘
CN201580007797.0A Active CN105981156B (zh) 2014-03-05 2015-02-09 像素化电容受控的esc

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710130515.7A Active CN106876313B (zh) 2014-03-05 2015-02-09 一种用于静电夹盘(esc)的夹紧电路

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201580007797.0A Active CN105981156B (zh) 2014-03-05 2015-02-09 像素化电容受控的esc

Country Status (6)

Country Link
US (3) US9472410B2 (zh)
JP (4) JP6126747B2 (zh)
KR (3) KR101782981B1 (zh)
CN (3) CN106876313B (zh)
TW (2) TWI598990B (zh)
WO (1) WO2015134155A1 (zh)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4365531B2 (ja) 1998-09-30 2009-11-18 サイセル・テクノロジーズ,インコーポレイテッド 腫瘍の動的監視のための方法及びシステムとそれに関連する移植可能デバイス
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2960933B1 (en) * 2013-02-25 2017-12-06 Kyocera Corporation Sample holding tool
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2016003633A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
KR101758087B1 (ko) 2014-07-23 2017-07-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
KR20240015167A (ko) 2014-10-17 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI634631B (zh) * 2017-06-30 2018-09-01 台灣積體電路製造股份有限公司 加熱裝置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019146267A1 (ja) * 2018-01-29 2019-08-01 株式会社アルバック 反応性イオンエッチング装置
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190371577A1 (en) * 2018-05-31 2019-12-05 Applied Materials, Inc. Extreme uniformity heated substrate support assembly
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113169099A (zh) * 2018-12-17 2021-07-23 应用材料公司 用于通过局部加热来控制蚀刻深度的方法
CN111383894B (zh) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子处理器以及静电夹盘加热方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US20220375719A1 (en) * 2019-11-15 2022-11-24 Lam Research Corporation Frequency based impedance adjustment in tuning circuits
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20220149749A (ko) * 2020-03-06 2022-11-08 램 리써치 코포레이션 튜닝 가능 에지 시스 (tunable edge sheath) 시스템을 위한 펄싱된 rf 신호의 전압 설정점 튜닝
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
US11551961B2 (en) * 2020-05-06 2023-01-10 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
US11538708B2 (en) 2020-05-06 2022-12-27 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20230084426A (ko) * 2020-10-16 2023-06-13 램 리써치 코포레이션 복수의-rf 존을 갖는 기판 지지부들을 포함하는 플라즈마 밀도 분포 프로파일들을 제어하기 위한 시스템들
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022099687A1 (en) 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11936308B2 (en) * 2021-12-07 2024-03-19 The Boeing Company Pixelated electrostatic adhesion
US11831252B2 (en) 2021-12-07 2023-11-28 The Boeing Company Pixelated electrostatic adhesion
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070090828A (ko) * 2006-03-03 2007-09-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR20070115639A (ko) * 2006-05-31 2007-12-06 어플라이드 머티어리얼스, 인코포레이티드 대면적 기판을 테스트하는 전자 장치용 프로버

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236449A (ja) * 1991-01-21 1992-08-25 Fuji Electric Co Ltd 静電チャック
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3847363B2 (ja) * 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
WO2000022722A1 (en) * 1998-10-14 2000-04-20 Delsys Pharmaceutical Corporation Electrostatic sensing chuck using area matched electrodes
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002134600A (ja) * 2000-10-25 2002-05-10 Ibiden Co Ltd 静電チャック
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
JP4504061B2 (ja) * 2004-03-29 2010-07-14 東京エレクトロン株式会社 プラズマ処理方法
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
WO2006038150A2 (en) * 2004-10-05 2006-04-13 Koninklijke Philips Electronics N.V. Semiconductor device and use thereof
KR20070072571A (ko) * 2004-11-04 2007-07-04 가부시키가이샤 알박 정전 척 장치
JP5044395B2 (ja) * 2005-05-20 2012-10-10 筑波精工株式会社 静電保持装置及びそれを用いた静電ピンセット
US20070000441A1 (en) * 2005-07-01 2007-01-04 Applied Materials, Inc. Scalable uniform thermal plate
DE202005011367U1 (de) * 2005-07-18 2005-09-29 Retzlaff, Udo, Dr. Transfer-ESC auf Wafer-Basis
JP2007246983A (ja) * 2006-03-15 2007-09-27 Seiko Epson Corp 成膜装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
CN101473433B (zh) * 2006-06-20 2011-12-07 Nxp股份有限公司 功率放大器装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2009054871A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5063520B2 (ja) * 2008-08-01 2012-10-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5186394B2 (ja) * 2009-01-06 2013-04-17 東京エレクトロン株式会社 載置台及びプラズマエッチング又はアッシング装置
US8477472B2 (en) * 2009-06-30 2013-07-02 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
US9093648B2 (en) * 2009-07-02 2015-07-28 Sharp Kabushiki Kaisha Organic EL element, method for manufacturing the same, and organic EL display device
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
JP5409917B2 (ja) * 2010-07-26 2014-02-05 京セラ株式会社 静電チャック
US8901835B2 (en) * 2010-09-15 2014-12-02 Analog Integrations Corporation LED lighting systems, LED controllers and LED control methods for a string of LEDS
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5740939B2 (ja) * 2010-11-29 2015-07-01 住友電気工業株式会社 半導体装置の製造方法
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
KR20120072840A (ko) 2010-12-24 2012-07-04 삼성정밀화학 주식회사 내환경성, 유동성 및 대전성이 우수한 토너
KR20120137986A (ko) * 2011-06-14 2012-12-24 삼성디스플레이 주식회사 정전척
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
EP2752080B1 (en) 2011-08-30 2023-06-14 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
JP2013105543A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013137414A1 (ja) * 2012-03-16 2013-09-19 株式会社クリエイティブ テクノロジー 静電チャック装置及びその制御方法
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101758087B1 (ko) 2014-07-23 2017-07-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070090828A (ko) * 2006-03-03 2007-09-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR20070115639A (ko) * 2006-05-31 2007-12-06 어플라이드 머티어리얼스, 인코포레이티드 대면적 기판을 테스트하는 전자 장치용 프로버

Also Published As

Publication number Publication date
CN108428663A (zh) 2018-08-21
JP2017509135A (ja) 2017-03-30
KR101782981B1 (ko) 2017-09-28
KR20160127717A (ko) 2016-11-04
US20170004988A1 (en) 2017-01-05
KR20170017003A (ko) 2017-02-14
US9472410B2 (en) 2016-10-18
CN106876313B (zh) 2019-09-27
KR102316425B1 (ko) 2021-10-21
JP6207780B2 (ja) 2017-10-04
JP2017201700A (ja) 2017-11-09
CN105981156B (zh) 2018-04-24
CN105981156A (zh) 2016-09-28
TWI596697B (zh) 2017-08-21
CN106876313A (zh) 2017-06-20
US9805965B2 (en) 2017-10-31
US20150311105A1 (en) 2015-10-29
WO2015134155A1 (en) 2015-09-11
JP6126747B2 (ja) 2017-05-10
JP6998136B2 (ja) 2022-01-18
JP2021158369A (ja) 2021-10-07
TWI598990B (zh) 2017-09-11
KR101854373B1 (ko) 2018-05-03
KR20170060165A (ko) 2017-05-31
TW201719802A (zh) 2017-06-01
JP2017143269A (ja) 2017-08-17
TW201535588A (zh) 2015-09-16
US20170110358A1 (en) 2017-04-20
US9536769B1 (en) 2017-01-03

Similar Documents

Publication Publication Date Title
CN108428663B (zh) 静电夹盘
TWI834724B (zh) 用於在成形dc脈衝電漿處理裝置中邊緣環控制的電路
KR102413035B1 (ko) 픽셀화된 온도 제어식 기판 지지 조립체
US10177050B2 (en) Methods and apparatus for controlling substrate uniformity
US20080236493A1 (en) Plasma processing apparatus
CN107710378A (zh) 多电极基板支撑组件与相位控制系统
CN111837231A (zh) 具有多个射频网孔以控制等离子体均匀性的静电卡盘
JP2015536042A (ja) 閉ループ制御を有する底部および側部プラズマ同調
US11776835B2 (en) Power supply signal conditioning for an electrostatic chuck
CN111081518A (zh) 滤波器单元的调整方法和等离子体处理装置
CN116457931A (zh) 高温双极静电卡盘
US20210287924A1 (en) Semiconductor substrate support with wafer backside damage control

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant