JP6207780B2 - ピクセル化された容量制御esc - Google Patents

ピクセル化された容量制御esc Download PDF

Info

Publication number
JP6207780B2
JP6207780B2 JP2017027532A JP2017027532A JP6207780B2 JP 6207780 B2 JP6207780 B2 JP 6207780B2 JP 2017027532 A JP2017027532 A JP 2017027532A JP 2017027532 A JP2017027532 A JP 2017027532A JP 6207780 B2 JP6207780 B2 JP 6207780B2
Authority
JP
Japan
Prior art keywords
chucking
circuit
capacitor
esc
pixelated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017027532A
Other languages
English (en)
Other versions
JP2017143269A (ja
Inventor
レザ サドジャディ
レザ サドジャディ
ウェンデル グレン ジュニア ボイド
ウェンデル グレン ジュニア ボイド
ビジャイ ディー パルキー
ビジャイ ディー パルキー
マキシム ミハイロビッチ ノジノフ
マキシム ミハイロビッチ ノジノフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017143269A publication Critical patent/JP2017143269A/ja
Application granted granted Critical
Publication of JP6207780B2 publication Critical patent/JP6207780B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/188Capturing isolated or intermittent images triggered by the occurrence of a predetermined event, e.g. an object reaching a predetermined position
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/93Variable capacitance diodes, e.g. varactors

Description

背景
(分野)
本明細書に記載される実施形態(インプリメンテーション)は、概して、半導体製造に
関し、より具体的には、静電チャック及びその使用方法に関する。
(関連技術の説明)
デバイスパターンのフィーチャーサイズが小さくなるにつれて、これらのフィーチャー
のクリティカルディメンジョン(CD)の要件は、安定的で再現性のあるデバイス性能に
対するより重要な基準となる。処理チャンバ内で処理された基板全域に亘る許容されるC
D変動は、チャンバの非対称性(例えば、チャンバ及び基板の温度、フローコンダクタン
ス、及びRF場)に起因して達成することが困難である。
静電チャックを利用する処理では、基板の表面全域に亘るエッチングの均一性は、基板
下のチャックの不均質構造に起因して困難である。例えば、静電チャックの一部の領域は
、ガス穴を有しており、同時に、他の領域は、ガス穴から横方向にオフセットされている
リフトピン穴を有する。更に他の領域は、チャッキング電極を有しており、同時に、他の
領域は、チャッキング電極から横方向にオフセットされたヒータ電極を有している。チャ
ックの不均質構造は、基板の表面全域に亘るエッチングに直接影響を与える高周波(RF
)場の不均一性をもたらす。
静電チャックの構造は、横方向及び方位角方向の両方にばらつく可能性があり、チャッ
クと基板との間のRF場の均一性は複雑で、達成するのが非常に困難であり、その結果、
チャック表面全域に亘るRF場内の局所的なばらつきをもたらす。プラズマベースの処理
は、静電チャックへの小さな局所的なRF結合のばらつきに非常に敏感である可能性があ
る。したがって、局所的なRF結合のばらつきは、基板の表面に沿った処理結果の不均一
性をもたらす。
したがって、改良された静電チャックが必要とされている。
概要
本明細書に記載の実施形態は、静電チャックとその上に置かれた基板との間のRF結合
の横方向及び方位角方向の両側の調整を可能にするピクセル化された静電チャックを提供
する。一実施形態では、ピクセル化された静電チャック(ESC)は、上で基板を受ける
ように構成されたワークピース支持面を有する誘電体本体と、ピクセル化されたESC内
に配置された1以上のチャッキング電極と、複数のピクセル電極とを含むことができる。
複数のピクセル電極は、浮いた状態と接地された状態との間で切り換え可能である、グラ
ンドに対して可変容量を有する、又はそれらの両方である。ピクセル電極及びチャッキン
グ電極は、ワークピース支持面に基板を静電チャックするように動作可能な回路を形成す
る。
別の一実施形態では、処理チャンバが提供される。処理チャンバは、ピクセル化された
静電チャック(ESC)が内部に配置されたチャンバ本体を含む。ピクセル化されたES
Cは、上述のように構成することができる。
更に別の一実施形態では、ピクセル化された静電チャック内に形成された主チャッキン
グ電極に電力を印加する工程と、ピクセル化された静電チャックに基板を固定するために
ピクセル化された静電チャック内で横方向に分布した複数のピクセル電極のうちの1以上
をグランドに選択的に結合する工程と、ピクセル化された静電チャック上で基板を処理す
る工程とを含む基板を処理するための方法が提供される。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本
発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に
示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎ
ず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく
有効な実施形態を含み得ることに留意すべきである。
ピクセル化された静電チャックの一実施形態を有する処理チャンバの概略断面側面図である。 ピクセル化された静電チャック及び基板支持アセンブリの部分を詳細に示す部分断面概略側面図である。 ピクセル化された静電チャック内で調整可能なコンデンサ及び電極のためのレイアウトを示す部分上面平面図である。 ピクセル化された静電チャック内のコンデンサに対する簡略化された配線図を示す、図3の切断線A−Aに沿った断面図である。 RF可変コンデンサを示す部分配線概略図である。 ピクセル化された静電チャックを利用して基板を処理するための一実施形態のフロー図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照
番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施
形態で有益に利用してもよいと理解される。
詳細な説明
半導体業界は、電子的フィーチャーサイズをサブナノメートルレベルに低減していくに
つれて、エッチレート及びCD均一性の要件もまた、原子サイズに近づいているオングス
トロームレベルまで縮小している。このような場合には、基板温度は、非常に均一(例え
ば、20nm未満のノードに対して約0.5℃未満)であるか、又は基板全域に亘って処
理の均一性を調整するために、非常に細かい分解能で調整可能であるかのいずれかでなけ
ればならない。しかしながら、10nm未満の半導体技術のノードにとって、0.25℃
以下の低い温度均一性でさえ、処理の均一性を維持するのには十分ではない。処理の均一
性に影響を与える要因の1つは、静電チャックのパック内のチャッキング電極の誘電体深
さである。誘電体深さは、パックの上部と、チャッキング電極を含む高電圧グリッドとの
間の距離である。パックの基板支持面と誘電体深さのトポグラフィの両者は、基板のES
CへのRF結合に著しく影響を与える。高周波(RF)の基板への強力な結合は、エッチ
ング速度を増加させることができ、その逆も成り立つ。したがって、ESC全域に亘って
横方向にグランドへのワークピースの局所静電容量を制御することは、本明細書に開示さ
れる発明が、横方向及び/又は方位角方向のエッチング速度の均一性の調整のための、及
び/又はESCを使用して実行される処理のCD制御のための効果的な処理制御属性とし
て実証されてきた重要な処理制御パラメータである。
本明細書に記載の実施形態は、ピクセル化されたESC及びワークピース(例えば、基
板)のRF結合の横方向と方位角方向の局所的調整を可能にし、次に、ピクセル化された
ESC上の基板のグランドへの横方向のRF結合の横方向及び方位角方向の調整を可能に
するピクセル化された静電チャック(ESC)を提供する。更に、ピクセル化されたES
C内の静電容量の局所的なばらつきの制御は、基板のグランドへの結合に対して、RF結
合によって影響される処理のばらつきを排除する、又はいくつかのケースでは誘導するこ
とにより、上で実行される処理を実質的に促進する。このように、ピクセル化されたES
Cは、オングストロームレベルのエッチング速度と、基板全域に亘るほぼ任意の場所の間
でのクリティカルディメンジョン(CD)の均一性の制御を可能にする。ピクセル化され
たESC上で処理される基板のためにRF結合を調整するための方法もまた、本明細書中
に記載される。ピクセル化されたESCは、エッチング処理チャンバ内において以下で説
明されるが、ピクセル化されたESCは、他のタイプのプラズマ処理チャンバ(とりわけ
、物理蒸着チャンバ、化学蒸着チャンバ、イオン注入チャンバなど)、及びESCの基板
支持面全域に亘るRFプロファイルの方位角方向、横方向、及び/又は局所的な調整のう
ちの少なくとも1つが望ましい他のシステムで使用することができる。また、ピクセル化
された電極は、半導体処理に使用されないものを含む他の表面のRFバイアス又は結合を
制御するために使用することもできることが理解される。
1以上の実施形態では、ピクセル化されたESCは、チャンバの不均一性(例えば、温
度、フローコンダクタンス、電場、プラズマ密度等)を補償するためにピクセル電極を利
用することによって、真空処理(例えば、エッチング、堆積、注入など)の間に、端部又
は基板全域に亘る他の位置でクリティカルディメンジョン(CD)のばらつきの補正を可
能にする。また、いくつかの実施形態は、基板のグランドへの静電容量をどこでも約20
pF〜約数百pFに制御する能力を実証した。
図1は、ピクセル化されたESC132を有する例示的なエッチング処理チャンバ10
0の断面模式図である。上述したように、ピクセル化されたESC132は、他の処理チ
ャンバ(例えば、とりわけ、プラズマ処理チャンバ、アニーリングチャンバ、物理蒸着チ
ャンバ、化学蒸着チャンバ、及びイオン注入チャンバ)並びに表面又はワークピース(例
えば、基板)をグランドへ結合するRFプロファイルを制御する能力が望ましい他のシス
テムで使用してもよい。表面(すなわち、ピクセル化されたESC132の基板支持面)
の多くの個別の領域全域に亘るRF結合の独立した局所的制御は、RFプロファイルの横
方向及び/又は方位角方向の調整、及び局所的RF凹凸(例えば、エッチング処理チャン
バ100内の局所的処理結果に影響を与える可能性がある高い又は低いRF結合)の低減
を有益に可能にする。
処理チャンバ100は、接地されたチャンバ本体102を含む。チャンバ本体102は
、内部容積124を囲む、壁104、底部106、及び蓋108を含む。基板支持アセン
ブリ126は、内部容積124内に配置される。ピクセル化されたESC132は、基板
支持アセンブリ126上に配置され、処理中に上で基板134を支持する。
処理チャンバ100の壁104は、開口部(図示せず)を含み、それを通って基板13
4は、内部容積124の内外にロボット搬送させることができる。ポンピングポート11
0は、チャンバ本体102の壁104又は底部106のうちの1つの中に形成され、ポン
ピングシステム(図示せず)に流体接続されている。ポンピングシステムは、処理副生成
物を除去しながら、処理チャンバ100の内部容積124内の真空環境を維持するために
利用される。
ガスパネル112は、チャンバ本体102の蓋108又は壁104の少なくとも1つを
貫通して形成された1以上の入口ポート114を介して処理チャンバ100の内部容積1
24に処理ガス及び/又は他のガスを供給する。ガスパネル112により供給される処理
ガスは、内部容積124内で励起され、これによってピクセル化されたESC132上に
配置された基板134を処理するために使用されるプラズマ122を形成する。処理ガス
は、チャンバ本体102の外側に位置するプラズマアプリケータ120から処理ガスに誘
導結合されるRF電力によって励起することができる。図1に示される実施形態では、プ
ラズマアプリケータ120は、RF電源116又は容量結合プラズマ(CCP)チャンバ
に整合回路118を介して結合された同軸コイルの組である。
コントローラ148は、処理チャンバ100に結合され、これによって処理チャンバ1
00の動作及び基板134の処理を制御する。コントローラ148は、様々なサブプロセ
ッサ及びサブコントローラを制御するために工業環境で使用することができる汎用データ
処理システムの任意の形態のうちの1つとすることができる。一般的に、コントローラ1
48は、他の一般的な構成要素の中でもとりわけメモリ174及び入力/出力(I/O)
回路176と通信する中央処理装置(CPU)172を含む。コントローラ148のCP
Uによって実行されるソフトウェア命令は、処理チャンバに、例えば、内部容積124内
にエッチャントガス混合物(すなわち、処理ガス)を導入させる、プラズマアプリケータ
120からRF電力を印加することによって処理ガスからプラズマ122を形成させる、
及び基板134上に存在する材料の層をエッチングさせる。
基板支持アセンブリ126は、一般的に、少なくとも基板支持体を含む。図1の実施形
態では、基板支持体は、静電チャックであり、ピクセル化されたESC132として後述
する。基板支持アセンブリ126は、更にヒータセンブリ170を含むことができる。基
板支持アセンブリ126はまた、冷却ベース130を含むことができる。あるいはまた、
冷却ベースは、基板支持アセンブリ126から分離させることができる。基板支持アセン
ブリ126は、支持台125に取り外し可能に結合させることができる。支持台125は
、チャンバ本体102に取り付けられ、台座ベース128を含むことができる。支持台1
25は、オプションで、ファシリティプレート180を含むことができる。基板支持アセ
ンブリ126は、基板支持アセンブリ126の1以上の構成要素の再生(改修)を可能に
するために、支持台125から定期的に取り外すことができる。
ファシリティプレート180は、複数のリフトピンを昇降させるように構成された複数
の駆動機構を収容するように構成される。また、ファシリティプレート180は、ピクセ
ル化されたESC132及び冷却ベース130からの複数の流体接続を収容するように構
成される。ファシリティプレート180はまた、ピクセル化されたESC132及びヒー
タセンブリ170からの複数の電気接続を収容するように構成される。数えきれない接続
が、基板支持アセンブリ126の外部又は内部に走ることができ、同時に、ファシリティ
プレート180は、それぞれの末端に接続するためのインタフェースを提供する。
温度制御された冷却ベース130は、熱伝達流体源144に結合される。熱伝達流体源
144は、冷却ベース130内に配置された1以上の導管160を通って循環された熱伝
達流体(例えば、液体、気体、又はそれらの組み合わせ)を供給する。隣接する導管を通
って流れる流体は、ピクセル化されたESC132と冷却ベース130の異なる領域との
間の熱伝達の局所制御を可能にするために分離させることができ、これは基板134の横
方向温度プロファイルを制御するのに役立つ。
1以上の実施形態では、流体分配器が、熱伝達流体源144の出口と温度制御された冷
却ベース130との間に流体結合されてもよい。流体分配器は、導管160に供給される
熱伝達流体の量を制御するように動作する。流体分配器は、処理チャンバ100の外側に
、基板支持アセンブリ126内に、台座ベース128内に、又は他の適切な場所に配置す
ることができる。
ヒータアセンブリ170は、1以上の主抵抗ヒータ154と、オプションで、本体15
2内に埋め込まれた複数の二次ヒータ(図示せず)を含むことができる。主抵抗ヒータ1
54は、チャンバ処理を実行するための温度まで基板支持アセンブリ126の温度を上昇
させるために提供することができる。二次ヒータは、存在する場合には、主抵抗ヒータ1
54によって生成されたピクセル化されたESC132の温度プロファイルに数℃の局所
的な調整を提供することができる。このように、主抵抗ヒータ154は、グローバル化さ
れたマクロスケールで動作し、一方、二次ヒータは、局所化されたミクロスケールで動作
する。主抵抗ヒータ154は、主ヒータ電源156にRFフィルタ184を介して接続さ
れる。電源156は、主抵抗ヒータ154に500ワット以上の電力を供給することがで
きる。コントローラ148は、一般的に基板134を加熱するように設定される主ヒータ
電源156の動作を制御することができる。1つ以上の実施形態では、主抵抗ヒータ15
4は、複数の横方向に分離された加熱ゾーンを含み、コントローラ148が主抵抗ヒータ
154の1つのゾーンを1以上の他のゾーンに位置する主抵抗ヒータ154に対して優先
的に加熱されるのを可能にする。例えば、主抵抗ヒータ154は、端部から中心までの温
度制御を可能にするために、複数の分離した加熱ゾーンに同心円状に配置することができ
る。
あるいはまた、1以上の主抵抗ヒータ154及び/又は二次ヒータは、ピクセル化され
たESC内に形成されてもよい。主抵抗ヒータ154と二次ヒータの両方がピクセル化さ
れたESC132内に形成されたこれらの実施形態では、基板支持アセンブリ126は、
ヒータセンブリ170無しで形成することができ、ピクセル化されたESC132は、冷
却ベース130の上に直接配置させることができる。
処理チャンバ100内の基板134に対する表面の温度は、ポンプ、スリットバルブド
ア、プラズマ122、及び他の要因による処理ガスの排気によって影響される可能性があ
る。冷却ベース130、1以上の主抵抗ヒータ154、及び二次ヒータはすべて、基板1
34の表面温度を制御するのを助長する。
ピクセル化されたESC132は、取付面131と、取付面131と反対側のワークピ
ース面133とを有する。ピクセル化されたESC132のワークピース面133は、基
板134とピクセル化されたESC132のワークピース面133との間に画定される隙
間の空間に裏面熱伝達ガスを供給するためのガス流路(図示せず)を含むことができる。
ピクセル化されたESC132は、ピクセル化されたESC132のワークピース面13
3上に基板134を昇降するためのリフトピンを収容するためのリフトピン穴(いずれも
図示せず)もまた含み、これによって処理チャンバ100内外へのロボット搬送を促進す
る。
ピクセル化されたESC132は、一般的に、内部に埋め込まれた1以上のチャッキン
グ電極136を有する誘電体本体150を含む。誘電体本体150は、1以上のピクセル
電極140も内部に埋め込まれることが可能である。ピクセル電極140は、チャッキン
グ電極136と同一平面上にあってもよい。ピクセル電極140は、チャッキング電極1
36が散在されていることが可能であり、例えば、ピクセル電極140は、単一のチャッ
キング電極136内に形成された開口内に散在された、又は複数のチャッキング電極13
6間に散在されたグリッド又は同心円状配列に配置することができる。
ピクセル電極140及びチャッキング電極136は、MEMS技術を直接使用してパッ
ク228に統合することができる。各ピクセル電極140は、チャッキング電極136に
よって隣接するピクセル電極140から分離することができる。ピクセル電極140及び
チャッキング電極136は、めっき、インクジェット印刷、スクリーン印刷、物理蒸着法
、スタンピング、金網、又はその他の適切な方法によって形成することができる。
誘電体本体150に戻って参照すると、誘電体本体150は、基板と同一又は基板より
も直径がわずかに大きくなるように構成された平らな円盤形を有することができる。ある
いはまた、誘電体本体150は、他の形状(例えば、長方形、正方形、又は他の平面形状
)を有していてもよい。誘電体本体150は、セラミックス材料(例えば、AlN又はA
)から製造することができる。セラミックス材料から製造された場合、誘電体本
体150は、パック(図2ではパック228として示される)と呼ぶことができる。ある
いはまた、誘電体本体150は、ポリマー(例えば、ポリイミド、ポリエーテルエーテル
ケトン、ポリアリールエーテルケトンなど)から製造することができる。ポリマーから製
造された場合、誘電体本体150は、フレックススタックと呼ぶことができる。
ピクセル化されたESC132の本体150は、本体150用の単一の塊を形成するた
めに、圧力下で加熱された2以上の層から形成することができる。例えば、本体152は
、ピクセル電極140及びチャッキング電極136をその上又はその間に有するポリイミ
ド層から形成することができる。いくつかの実施形態では、主抵抗ヒータ154もまた同
様に、ポリイミド層の上又は間に形成することができる。あるいはまた、ピクセル化され
たESC132は、セラミックス材料から形成することができる。ピクセル化されたES
C132は、焼結されることが可能であり、内部に埋め込まれた1以上のチャッキング電
極136及びピクセル電極140を含むことができる。
チャッキング電極136は、双極電極又は他の適切な配置として構成することができる
。チャッキング電極136は、ピクセル化されたESC132の上面に基板134を静電
的に固定するためのRF又はDC電力を供給するチャッキング電源138にRFフィルタ
182を介して接続される。RFフィルタ182は、処理チャンバ100内でプラズマ1
22を形成するために利用されるRF電力が、チャンバ外部で電気機器を損傷又は電気的
な危険を引き起こすのを防ぐ。一実施形態では、チャッキング電源138は、1以上のチ
ャッキング電極136に高電圧を供給する。
複数のピクセル電極140は、ピクセル化されたESC132内に配置され、チャッキ
ング電極136に近接して配置させることができる。ピクセル電極140は、(図4、5
に示される)ピクセルコンデンサを介して電気リード146を介してグランド142に結
合される。ピクセルコンデンサは、固定又は可変静電容量を有するように構成することが
でき、各ピクセルコンデンサの値は、ピクセル化されたESC132の多くの別個の領域
全域に亘ってRF結合を独立に局所的に制御するように選択され、これによって基板とE
SC132との間の電力結合の局所的な、横方向の、及び/又は方位角方向の調整を可能
にし、これは次いで、局所的な、横方向の、及び/又は方位角方向の処理結果がエッチン
グ処理チャンバ100内で調整されることを可能にする。
1以上の実施形態では、ピクセルコンデンサは、その静電容量が機械的又は電子的に変
更可能な可変コンデンサとすることができる。ピクセルコントローラ210は、ピクセル
コンデンサの静電容量を制御するために利用することができる。ピクセルコンデンサの静
電容量の変化は、基板134を介したチャッキング電極136と1以上のピクセル電極1
40を介したグランド142との間の電力結合の親和性に影響を与えるために使用するこ
とができ、それによって基板134をピクセル化されたESC132に静電チャックする
抵抗器、インダクタ、及びメモリスタと共にピクセルコンデンサは、処理結果の調整可
能な制御を可能にしながらチャッキング電力を供給するために利用される電子機器用の「
受動部品」のグループに属している。ピクセルコンデンサは、固定静電容量値を有する、
及び/又は可変(トリマー)又は調整可能(同調可能)な静電容量値を有する可変コンデ
ンサを有することができる。ピクセルコンデンサは、デジタル的に調整されたコンデンサ
(例えば、ピクセルコントローラ210によってその静電容量を制御できる集積回路(I
C)可変コンデンサ)とすることができる。ピクセルコンデンサの静電容量値は、エッチ
ング処理チャンバ100内のエッチング速度を制御するためにRF信号を調整するように
構成することができる。
1以上の実施形態では、ピクセルコンデンサは、固体電界効果トランジスタ(FET)
スイッチとして製造することができる。ピクセルコンデンサは、微小電気機械システム(
MEMS)、チタン酸バリウムストロンチウム(BST)ベースのデバイス、シリコン・
オン・インシュレータ(SOI)ベースのデバイス/シリコン・オン・サファイア(SO
S)ベースのデバイス、強誘電体ベースのデバイス、又はその他の適切なデバイス技術と
することができる。MEMSデバイスは、高度に線形であり、したがって、アンテナ開口
の調整、動的インピーダンス整合、電力増幅器の負荷整合、及び調整可能なフィルタに適
している。BSTデバイスは、デバイスに高電圧を印加することにより静電容量を変化さ
せる。同調精度は、高電圧を発生するD―Aコンバータ回路の精度によってのみ制限され
る。BSTデバイスは、要求の厳しいアプリケーションで様々な温度と直線性に対して優
れた安定性を有する。SOI/SOS同調デバイスは、異なる静電容量値を達成するため
に、バイナリで重み付けされた値に配置された金属−絶縁体−金属(MIM)キャップを
使用する。SOI/SOSスイッチは、高い直線性を有し、高電圧が存在しない低電力ア
プリケーションに適している。高電圧耐性は、複数の直列のFETデバイスを必要とし、
これは直列抵抗を追加し、品質係数を低下させる。一実施形態では、ピクセル電極140
は、デジタル的に調整された可変MEMSデバイスである。
プラズマベースの処理は、ESCへの小さな局所的な高周波(RF)結合のばらつきに
非常に敏感である可能性がある。ピクセル化されたESC132は、基板134の横方向
のプロファイル全域に亘る個別の位置でエッチング速度のオングストロームレベルのCD
制御を提供するように表面静電容量が制御されることを可能にする。
図2は、基板支持アセンブリ126の一部を示す部分断面模式図である。ピクセル化さ
れたESC132、ヒータアセンブリ170、冷却ベース130、及びファシリティプレ
ート180の一部が、図2に含まれる。
ヒータアセンブリ170は、オプションとすることができ、絶縁領域264を有するこ
とができる。絶縁領域264は、ピクセルコンデンサ及びピクセルコントローラ210を
介してピクセル電極140をグランド142に接続する電気リード146からヒータアセ
ンブリ170を保護することができる。主抵抗ヒータ154がピクセル化されたESC1
32内にある実施形態では、ヒータアセンブリ170は、基板支持アセンブリ126に存
在しなくてもよい。
ヒータアセンブリ170は、接着剤244を利用してピクセル化されたESC132の
取付面131に結合することができる。接着剤244は、アクリル系接着剤、エポキシ、
シリコン系接着剤、ネオプレン系接着剤又は他の適切な接着剤などの接着剤とすることが
できる。一実施形態では、接着剤244はエポキシである。接着剤244は、0.01〜
200W/mKの範囲内(例示的な一実施形態では、0.1〜10W/mKの範囲内)で
選択された熱伝導率を有することができる。接着剤244を含む接着材料は、少なくとも
1つの熱伝導性セラミックスフィラー(例えば、酸化アルミニウム(Al)、窒化
アルミニウム(AlN)、及び二ホウ化チタン(TiB)など)を更に含むことができ
る。接着剤244は、ピクセル化されたESC132又はヒータアセンブリ170を再生
するとき、除去することができる。他の実施形態では、ピクセル化されたESC132は
、締結具又はクランプ(図示せず)を用いて、ヒータアセンブリ170に取り外し可能に
結合される。
ピクセル化されたESC132の本体150は、平面形態では概して円筒形とすること
ができるが、他の幾何学的形状に形成されてもよい。本体150は、セラミックスとする
ことができ、図2に示されるパック228に焼結させることができる。パック228は、
上で基板134を支持するためのワークピース面133を有する。本体150は更に、ヒ
ータアセンブリ170に対向する取付面131を含むことができる。
電極136、140と基板134の上面との間に配置されたパック228の部分は、電
力を基板134に容量結合するための誘電体226を形成する。誘電体226のより厚い
深さ又はパック228に対するより平坦なトポグラフィから生じる、基板134へ結合さ
れるより多い電力は、エッチング速度を低下させ、その逆も成り立つ可能性がある。0.
25℃の低い温度均一性でさえ良好な横方向のエッチング均一性を維持するのに十分では
ないので、基板134への電力の結合は、10nmよりも小さい半導体技術のノードを形
成するのに重要な役割を果たす。したがって、ピクセル化されたESC132の全域に亘
る横方向に個別の位置での基板134とピクセル化されたESC132との間の電力結合
の独立制御は、ピクセル電力140及びその周囲のチャッキング電極136のうちの1つ
と関連するピクセル化されたESC132の各位置でエッチング速度を独立制御可能にす
ることが見出された。
従来のESCは、ESCの設計及び使用される材料に応じて、基板とチャッキング電極
との間の個別の場所で異なる静電容量を有する可能性がある。例えば、完全に平坦なウェ
ハと完全に平坦な従来のESCとの間の静電容量は、約220pFとなる可能性がある。
パック表面の平坦度及び表面粗さのばらつき、及び他の要因(例えば、他の要因の中でも
とりわけ、誘電体深さ、ESC表面からのチャッキング電極の距離、及びパックの材料)
を考慮に入れると、静電容量がESCの1つの領域内において他の領域と比べてはるかに
高くなる可能性がある。例えば、いくつかの従来のESCは、基板支持面全域に亘る静電
容量のばらつきが約数千ピコファラデーである可能性がある。
本明細書に記載のピクセル化されたESC132の実施形態は、ピクセル化されたES
C132の基板支持面全域に亘る局所的な静電容量のばらつきを10%未満に制御するこ
とにより、約5Å以内にエッチング速度の均一性の制御を可能にする。例えば、ピクセル
化されたESC132の基板支持面全域に亘る局所的な静電容量のばらつきは、約20p
F〜数百ピコファラデーの間に制御することができる。
1以上の実施形態では、ピクセル化されたESC132の基板支持面全域に亘る局所的
な静電容量のばらつきは、10%未満の静電容量のばらつきを達成するために、誘電体2
26の深さに対する許容範囲(公差)を十分にきつくすることによって制御することがで
きる。例えば、誘電体226の深さの許容範囲を約5%未満にきつくし、他の5%がドー
ピングのばらつきに関連するならば、これによって10%未満の静電容量のばらつきを達
成することができる。
誘電体226の深さに対する許容範囲をきつくするのに加えて、又はその代わりに、ピ
クセル化されたESC132の基板支持面全域に亘る局所的な静電容量のばらつきは、パ
ック228の表面の平坦度及び局所的な均一性を十分に改善することによって制御するこ
とができる。例えば、パック228の平坦度の許容範囲は、約10μm未満とすることが
できる。パック228の平坦度を改善するのに加えて、又はその代わりに、パック228
の表面粗さのばらつきを、約10μm未満にしてもよい。
誘電体226及びパック228の物理的な属性(すなわち、深さの許容範囲、平坦度、
粗さなど)の1以上を改善するのに加えて、又はその代わりに、ピクセル化されたESC
132の基板支持面全域に亘る局所的な静電容量のばらつきは、個々のピクセル電極14
0に結合されたピクセルコンデンサのそれぞれに対して適切な容量を選択することによっ
て制御することができる。個々のピクセル電極140に結合されたピクセルコンデンサの
それぞれに対して適切な容量を選択することによって、誘電体226及びパック228の
物理的な属性のばらつき、又は処理環境のばらつきは補償され、これによって所望の処理
結果(例えば、エッチング速度の均一性を約5Å以内に維持すること)を達成することが
できる。
ピクセルコントローラ210は、個々のピクセル電極140に結合されたピクセルコン
デンサのそれぞれに対して適切な静電容量を選択するために利用することができる。例え
ば、ピクセルコントローラ210は、ピクセルコントローラ210によって生成された制
御信号を利用して各ピクセルコンデンサの静電容量を制御することができる。
ピクセル化されたESC132の電力結合プロファイルを平滑化又は修正するための独
立制御可能なピクセルコンデンサの使用は、基板134全域に亘る局所的なRF均一性を
非常に小さな許容範囲に制御可能にする。基板134全域に亘る局所的なRF均一性は、
基板134を処理するとき、正確な処理及びCD制御を可能にする。また、ピクセル電極
140の小さなサイズ及び高い密度は、ピクセル化されたESC132の隣接する領域の
電力結合に実質的に影響を与えることなく、1つのピクセル電極140と隣接するチャッ
キング電極136との間の基板134を通した電力結合の個別の局所的制御を可能にし、
これによって電力結合の局所的制御を可能にする。複数のピクセル電極140を有する基
板支持アセンブリ126は、上で処理される基板134の静電容量の均一性を約10%未
満に制御し、その結果、エッチングの均一性の処理のばらつきを約5Åまで下げることが
できる能力を実証した。
図3は、図2の切断線A−Aに沿って取られたピクセル化されたESC132の部分上
面平面断面図である。ピクセル化されたESC132内のピクセル電極140及びチャッ
キング電極136のレイアウトが、例として提供され、代替の方法で配置されている。ピ
クセル電極140は、図2のピクセル化されたESC132を貫通する切断線A−Aの平
面に沿って配置される。図示のピクセル電極140及びチャッキング電極136の数は、
単に例示のためであり、任意の数の実施形態は、実質的により多い(又はより少ない)ピ
クセル電極140及びチャッキング電極136を有していてもよい。また、チャッキング
電極136は、一般的にバイアスされる複数のセグメントとして独立してバイアス可能な
セグメントの形態又は他の構成とすることができる。ピクセル化されたESC132のワ
ークピース支持面全域に亘る局所的静電容量は、エッチング速度のオングストロームレベ
ルの制御を提供するために、ピクセルコンデンサによって制御することができる。
ピクセルコントローラ(例えば、図2に示されるピクセルコントローラ210)は、各
ピクセルコンデンサを制御することができる。一実施形態では、ピクセルコンデンサと、
ピクセルコンデンサを選択されたピクセル電極140に結合するためのスイッチが、ピク
セルコントローラ210内に配置される。ピクセルコントローラ210は、1つのピクセ
ル電極140を隣接するピクセル電極140と同じ又は異なる静電容量を有するコンデン
サに結合することができる。他の実施形態では、ピクセルコントローラ210は、隣接す
るピクセル電極140のグループを、同じ静電容量を有するコンデンサに結合し、これは
隣接するグループのピクセル電極140の静電容量と同じ又は異なることが可能であり、
これによって他のゾーンから独立して制御可能なピクセル化されたESC132の部分又
はゾーンを画定することができる。ピクセルコントローラ210は、内側ウェッジ、周辺
グループ、パイ形状の領域、又は非連続的構成を含む他の所望の幾何学的構成を画定する
ためにグループ化された複数のピクセル電極140を結合させることができる。こうして
、各ピクセル電極140の局所的静電容量を制御することにより、RF結合は、ピクセル
化されたESC132の表面に沿って独立した位置で正確に制御することができ、これは
基板の処理結果のより正確な制御を可能にする。ピクセル電極140用に図示されたパタ
ーンは、小さなユニットのグリッドとして配置されているが、パターンは、その代わりに
、縁まで延びる、又は他の配置の、より大きな及び/又はより小さなユニットを有してい
てもよい。
ピクセル電極140の数は、チャッキング電極136の数に実質的に等しくすることが
できる。あるいはまた、ピクセル電極140の数は、チャッキング電極136の数を実質
的に超えるか、又はチャッキング電極136の数未満とすることができる。基板支持アセ
ンブリ126全域に亘って位置するピクセル電極140の数は、容易に数百を超える可能
性がある。一実施形態では、各チャッキング電極136に対して対応するピクセル電極1
40がある。代替の一実施形態では、1以上のチャッキング電極に対して対応するグルー
プのピクセル電極140がある。
ピクセル電極140は、基板とグランドとの間に所望のRF結合プロファイルを効率的
に生成するようなパターンで構成することができる。パターンは、中心点の周りに対称な
(図示のような)グリッド、又はリフトピン又は他の機械的、流体的又は電気的接続及び
ポート(図示せず)用の穴の中及びその周辺にクリアランスを提供する他の適切なパター
ンとすることができる。
図4は、図3の切断線B−Bに沿ったピクセル化されたESC132の断面図である。
図4は、ピクセル化されたESC132内でピクセル電極140に結合されたコンデンサ
に対する簡略化された例示的な配線図を示している。図4内のピクセル化されたESC1
32は、内部に形成されたピクセル電極140とチャッキング電極136を示している。
ピクセル電極140は、例えば、コンデンサバンク410内に存在する、1以上のコンデ
ンサ440に結合される。コンデンサバンク410は、ピクセルコントローラ210内に
、又は他の適切な位置に配置することができる。コンデンサ440は、固定又は可変の静
電容量を有することができる。静電容量が可変である実施形態では、コンデンサ440の
静電容量は、ピクセルコントローラ210からの信号に応じて選択することができる。
ピクセルコントローラ210は、電気リード141を介してそれぞれのピクセル電極1
40にコンデンサ440を選択的に結合する個々の回路を開く、及び/又は閉じるための
コントローラ412を有することができる。ピクセルコントローラ210の回路が閉位置
にあるとき、ピクセル電極140は、コンデンサ440のうちの少なくとも1つを介して
グランド142に結合される。一実施形態では、コントローラ412は、他のコンデンサ
440の1以上が、(それらの回路が開状態にあるように)グランド142に対して浮い
ていながら、ピクセル電極140F及びコンデンサ440Fをグランド142に電気リー
ド141Fを介して接続することができる。この構成では、ピクセル電極140Fに近い
ピクセル化されたESC132の領域は、例えば、電極140Gに近いピクセル化された
ESC132の領域よりも強いRF結合を有することができる。コントローラ412は、
ピクセル電極140F及びコンデンサ440Fがグランド142につながっている、又は
グランド142に対して浮いた状態でいる間の持続時間又はデューティサイクルを制御す
ることができる。コントローラ412は更に、他のピクセル電極140及びコンデンサ4
40がグランド142につながっている、又はグランド142に対して浮いた状態でいる
間の持続期間又はデューティサイクルをピクセル電極140F又は他のピクセル電極14
0に対して制御することができる。このように、ピクセル化されたESC132の基板支
持面全域に亘る各位置における相対的な静電容量を経時的に制御することができ、これに
よって、局所的なRF結合の制御を可能にし、したがって、局所的な処理結果を所望のよ
うに調整することができる。
ピクセル電極140とグランドとの間の相対的な接続のデューティサイクル及び持続時
間を制御するのに加えた、又はその代わりの別の一実施形態では、コントローラ412は
、各コンデンサ440に対する静電容量をプログラムで変更することができ、これによっ
てピクセル化されたESC132の基板支持面全域に亘って各々の位置での相対静電容量
を制御することができる。ピクセル電極140の数及び密度は、基板134の全域に亘る
RF結合の均一性を非常に小さな許容範囲に制御する能力に寄与する。したがって、各ピ
クセル電極140とグランドとの間の静電容量の他のピクセル電極140に対する個々の
制御は、基板134と、ピクセル化されたESC132との間の特定の位置でのRF結合
の局所的及び横方向の制御を可能にし、これは次いで、基板134の処理中に、正確な処
理及びCD制御を可能にする。
図5は、ピクセル電極140をグランド142に結合するための可変コンデンサ500
のための部分的な配線図の一実施形態を示す。可変コンデンサ500は、ピクセル電極1
40のいずれかとグランド142との間で、上記のピクセルコントローラ210内で、例
えば、図4に示されるコンデンサ440を交換することによって利用することができる。
可変コンデンサ500は、減結合(デカップリング)抵抗506を介して薄膜トランジス
タ508(TFT)に結合された可変コンデンサ505及び固定コンデンサ570の組み
合わせを含むことができる。可変コンデンサ500は、一般的に、電圧制御可変コンデン
サ(バラクタ)として機能し、可変コンデンサ505としてMEMS制御素子を利用して
もよい。可変コンデンサ500は、分岐510、520、530、540、550、56
0を含み、各分岐は、少なくとも1つの可変コンデンサ505と少なくとも1つの固定コ
ンデンサ570を含む。
可変コンデンサ505は、MEMS制御素子として構成された場合、バイナリ(オン/
オフ)制御される、すなわち、切り換え可能である。可変コンデンサ505は、約0pF
〜約3.4pFの間の静電容量を可変コンデンサ500に提供することができる。各固定
コンデンサ570は、約0.5pF〜約74pFの間の合計静電容量を可変コンデンサ5
00に提供することができる、また、TFT508とコンデンサ505、570との間に
配置された減結合抵抗506は、約5オームの抵抗を個々に提供することができる。
可変コンデンサ500の静電容量は、可変コンデンサ500を含む1以上の分岐510
、520、530、540、550、560に沿って選択可能なバイナリで重み付けされ
た静電容量によって構成することができる。TFT508は、どの1以上の分岐510、
520、530、540、550、560が浮いているか、及びどの1以上の分岐510
、520、530、540、550、560がピクセル電極140をグランド142に結
合するかを選択するために使用することができる。
各分岐510、520、530、540、550の合計静電容量は、2組のコンデンサ
(すなわち、可変コンデンサ505と固定コンデンサ570)の組み合わせである。各分
岐510、520、530、540、550、560は、同じ範囲の静電容量又は異なる
静電容量を有することができる。例えば、分岐560は、最大の合計静電容量として構成
され、分岐550は、次に最大の合計静電容量として構成され、その他もろもろあって、
分岐510は、最小の合計静電容量を有することができる。分岐560は、各々が約3.
4pFで、合計で約20.4pFの静電容量の組を有する6つの切り換え可能な可変コン
デンサ505からなり、約74pFの静電容量を有する固定コンデンサ576と並列に配
置させることができる。これは、分岐560に対して16pFの合計静電容量を生み出す
。分岐550、540、530、520、510は同様に構成され、それぞれ8、4、2
、1、0.5pFの合計静電容量を有することができる。3.4pF未満の合計静電容量
を有する分岐530、520、510は、単一の固定コンデンサ570と並列又は直列に
単一のMEMS可変コンデンサ505を使用することができる。固定コンデンサ570は
、分岐530、520、510に対する合計静電容量を所望の値まで低減するように構成
することができる。例えば、最小の分岐510は、約0.6pFの固定コンデンサ570
と並列に単一の可変コンデンサ505を有することができる。こうして、可変コンデンサ
505が作動された、すなわちスイッチオンされたとき、最小の分岐510は、0.5p
Fの実効静電容量を有することができる。したがって、様々な分岐510、520、53
0、540、550、560内で、可変コンデンサ505のオン/オフを選択的に切り換
えることにより、約0.5pF〜約31.5pFの間の合計静電容量を得ることができる
あるいはまた、固定コンデンサ576は、RF MEMSコンデンサセル(例えば、M
EMS可変コンデンサ505)と直列とすることができる。1以上のインラインコンデン
サは、ピクセル電極140と直列に接続することができる。このような構成では、コンデ
ンサ576、505は、コンデンサ576、505が並列に配置された構成よりもより高
い電圧を受ける可能性がある。したがって、RF MEMSコンデンサセル(例えば、M
EMS可変コンデンサ505)に対する直列構成は、高電圧を扱うために構成することが
できる。
図6は、ピクセル化された静電チャック(とりわけ、上述のピクセル化された静電チャ
ックなど)を利用して基板を処理するための方法600に対する一実施形態のフロー図で
ある。方法600は、ピクセル化された静電チャック内に形成された主電極に電力を印加
することによって、ブロック602で開始する。主電極は、単一の電極とする、又はゾー
ンに分割させることができる。ピクセル化された静電チャックの主電極のゾーンは独立し
て制御することができる。
ブロック604では、ピクセル化された静電チャック内に横方向に分布する複数のピク
セル電極のうちの1以上が、グランドに選択的に結合され、静電チャックの表面に基板を
効果的にチャッキングする。各ピクセル電極のグランドへの結合の持続時間及び/又はデ
ューティサイクルは、ピクセル化された静電チャック内に配置された他のピクセル電極に
対して制御し、これによって静電チャック上に配置された基板へのRF結合を制御するこ
とができる。いくつかの実施形態では、各ピクセル電極のグランドへの結合は、ピクセル
化された静電チャック全域に亘って順次走査させることができる。他の実施形態では、1
つのピクセル電極とグランドとの間の静電容量は、ピクセル化された静電チャック内に配
置された他のピクセル電極のグランド経路に対して制御可能に選択することができ、オプ
ションでは、各ピクセル電極のグランドへの結合の持続時間及び/又はデューティサイク
ルに加えて選択することができる。ピクセル電極とグランドとの間の局所的な静電容量を
調整することによって、基板全域に亘る処理結果の局所的な、横方向の、及び/又は方位
角方向の調整を実現することができる。
ブロック606では、基板は、ピクセル化された静電チャック上で処理することができ
る。例えば、基板は、例えば、プラズマ処理を用いて、真空チャンバ内で処理することが
できる。処理チャンバ内でプラズマの存在下で、オプションで実施することができる真空
処理は、エッチング、化学蒸着、物理蒸着、イオン注入、プラズマ処理、アニーリング、
酸化物除去、除害、又は他のプラズマ処理のうちの1つとすることができる。ワークピー
スは、他の用途のために、他の環境内(例えば、大気条件で)のピクセル化された静電チ
ャックのRF制御された表面上で処理されることができることが理解される。一実施形態
では、ピクセル化された静電チャック上の基板は、サブ10nmの半導体技術のノードを
形成するようにエッチングされる。
オプションで、ブロック606では、ピクセル化された静電チャック内で横方向に分布
された複数のピクセル電極のうちの1以上とグランドとの間の結合は、処理条件の変化又
は処理レシピの変化に応じて変えることができる。例えば、ピクセル電極のうちの1以上
とグランドとの間の静電容量は、処理条件の変化又は処理レシピの変化に応じて、ピクセ
ルコントローラ210からのコマンドを利用して変えることができる。別の一例では、ピ
クセル電極のうちの1以上の結合は、処理条件の変化又は処理レシピの変化に応じて、ピ
クセルコントローラ210からのコマンドを利用して、フローティングとグランドとの間
で切り換えることができる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発
明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範
囲に基づいて定められる。

Claims (11)

  1. 静電チャック(ESC)用のチャッキング回路であって、
    ESCの誘電体本体内に配置された1以上のチャッキング電極と、
    誘電体本体内に配置された複数のピクセル電極と、
    1以上のチャッキング電極及び複数のピクセル電極を含むチャッキング回路であって、チャッキング回路は、基板をESCのワークピース支持面に静電チャックするように動作可能であり、チャッキング回路は、複数の二次回路を有し、各二次回路は、複数のコンデンサのうちの少なくとも1つのコンデンサを含み、各二次回路は、ピクセル電極のうちの1つとグランドとの間のインピーダンスを独立して制御するように構成されるチャッキング回路。
  2. 二次回路を独立して開閉するように構成されたピクセルコントローラを含む、請求項1記載のチャッキング回路。
  3. 複数のコンデンサは、
    複数の可変コンデンサと、
    複数の固定コンデンサとを含み、複数のコンデンサは、薄膜トランジスタ(TFT)への抵抗を減結合することを介して結合される、請求項1記載のチャッキング回路。
  4. 可変コンデンサは、0pF〜3.4pFの間の静電容量を提供することができ、各固定コンデンサは、0.5pF〜74pFの間の合計静電容量を可変コンデンサに提供することができ、TFTとコンデンサとの間に配置された減結合抵抗は、5オームの抵抗を個別に提供することができる、請求項3記載のチャッキング回路。
  5. 基板とESCとの間の静電容量は、220pF〜数百pFである、請求項4記載のチャッキング回路。
  6. 可変コンデンサは、機械的に調整可能である、請求項3記載のチャッキング回路。
  7. 可変コンデンサは、電気的に調整可能である、請求項3記載のチャッキング回路。
  8. 可変コンデンサは、RF可変コンデンサである、請求項3記載のチャッキング回路。
  9. 複数の二次回路のうちの第1回路のインピーダンスは、複数の二次回路のうちの第2回路とは異なる、請求項1記載のチャッキング回路。
  10. ESCのワークピース支持面合計域にわたるインピーダンスの局所的なばらつきは、10%未満である、請求項1記載のチャッキング回路。
  11. 少なくとも1つのコンデンサは、MEMSコンデンサである、請求項1記載のチャッキング回路。
JP2017027532A 2014-03-05 2017-02-17 ピクセル化された容量制御esc Active JP6207780B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461948519P 2014-03-05 2014-03-05
US61/948,519 2014-03-05
US14/276,790 US9472410B2 (en) 2014-03-05 2014-05-13 Pixelated capacitance controlled ESC
US14/276,790 2014-05-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016529443A Division JP6126747B2 (ja) 2014-03-05 2015-02-09 ピクセル化された容量制御esc

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017115588A Division JP6998136B2 (ja) 2014-03-05 2017-06-13 ピクセル化された容量制御esc

Publications (2)

Publication Number Publication Date
JP2017143269A JP2017143269A (ja) 2017-08-17
JP6207780B2 true JP6207780B2 (ja) 2017-10-04

Family

ID=54055717

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2016529443A Active JP6126747B2 (ja) 2014-03-05 2015-02-09 ピクセル化された容量制御esc
JP2017027532A Active JP6207780B2 (ja) 2014-03-05 2017-02-17 ピクセル化された容量制御esc
JP2017115588A Active JP6998136B2 (ja) 2014-03-05 2017-06-13 ピクセル化された容量制御esc
JP2021099152A Pending JP2021158369A (ja) 2014-03-05 2021-06-15 ピクセル化された容量制御esc

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016529443A Active JP6126747B2 (ja) 2014-03-05 2015-02-09 ピクセル化された容量制御esc

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2017115588A Active JP6998136B2 (ja) 2014-03-05 2017-06-13 ピクセル化された容量制御esc
JP2021099152A Pending JP2021158369A (ja) 2014-03-05 2021-06-15 ピクセル化された容量制御esc

Country Status (6)

Country Link
US (3) US9472410B2 (ja)
JP (4) JP6126747B2 (ja)
KR (3) KR102316425B1 (ja)
CN (3) CN108428663B (ja)
TW (2) TWI596697B (ja)
WO (1) WO2015134155A1 (ja)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69939592D1 (de) 1998-09-30 2008-10-30 Sicel Technologies Inc Verfahren, systeme und zugehörige implantierbare einrichtungen zur dynamischen überwachung von tumoren
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589826B2 (en) * 2013-02-25 2017-03-07 Kyocera Corporation Sample holder
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102436416B1 (ko) 2014-10-17 2022-08-26 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI634631B (zh) * 2017-06-30 2018-09-01 台灣積體電路製造股份有限公司 加熱裝置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111052320B (zh) * 2018-01-29 2023-04-14 株式会社爱发科 反应性离子蚀刻装置
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
WO2019231614A1 (en) * 2018-05-31 2019-12-05 Applied Materials, Inc. Extreme uniformity heated substrate support assembly
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP2022514524A (ja) 2018-12-17 2022-02-14 アプライド マテリアルズ インコーポレイテッド 局所加熱によるエッチング深さの制御方法
CN111383894B (zh) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子处理器以及静电夹盘加热方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023501991A (ja) * 2019-11-15 2023-01-20 ラム リサーチ コーポレーション 同調回路における周波数ベースのインピーダンス調整
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN115552565A (zh) * 2020-03-06 2022-12-30 朗姆研究公司 调谐可调谐边缘鞘系统的脉冲rf信号中的电压设定点
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11551961B2 (en) * 2020-05-06 2023-01-10 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
US11538708B2 (en) 2020-05-06 2022-12-27 Sandisk Technologies Llc Multi-zone plasma-enhanced chemical vapor deposition apparatus and methods for operating the same
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2023546874A (ja) * 2020-10-16 2023-11-08 ラム リサーチ コーポレーション マルチrf区域化された基板支持体を含むプラズマ密度分布プロファイルを制御するためのシステム
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022099687A1 (en) 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11831252B2 (en) * 2021-12-07 2023-11-28 The Boeing Company Pixelated electrostatic adhesion
US11936308B2 (en) * 2021-12-07 2024-03-19 The Boeing Company Pixelated electrostatic adhesion
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236449A (ja) * 1991-01-21 1992-08-25 Fuji Electric Co Ltd 静電チャック
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3847363B2 (ja) * 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
EP1121752A4 (en) * 1998-10-14 2003-05-21 Delsys Pharmaceutical Corp ELECTROSTATIC SENSOR SUPPORT USING ELECTRODES WITH MATCHING ZONES
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002134600A (ja) 2000-10-25 2002-05-10 Ibiden Co Ltd 静電チャック
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
JP4504061B2 (ja) * 2004-03-29 2010-07-14 東京エレクトロン株式会社 プラズマ処理方法
JP4553247B2 (ja) 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
KR20070069191A (ko) 2004-10-05 2007-07-02 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 디바이스
JP5323317B2 (ja) * 2004-11-04 2013-10-23 株式会社アルバック 静電チャック方法
CN100576486C (zh) * 2005-05-20 2009-12-30 筑波精工株式会社 静电保持装置以及使用其的静电钳
US20070000441A1 (en) * 2005-07-01 2007-01-04 Applied Materials, Inc. Scalable uniform thermal plate
DE202005011367U1 (de) * 2005-07-18 2005-09-29 Retzlaff, Udo, Dr. Transfer-ESC auf Wafer-Basis
US8222116B2 (en) * 2006-03-03 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2007246983A (ja) * 2006-03-15 2007-09-27 Seiko Epson Corp 成膜装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI339730B (en) * 2006-05-31 2011-04-01 Applied Materials Inc Prober for electronic device testing on large area substrates
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
CN101473433B (zh) 2006-06-20 2011-12-07 Nxp股份有限公司 功率放大器装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2009054871A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5063520B2 (ja) * 2008-08-01 2012-10-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5186394B2 (ja) * 2009-01-06 2013-04-17 東京エレクトロン株式会社 載置台及びプラズマエッチング又はアッシング装置
US8477472B2 (en) * 2009-06-30 2013-07-02 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
WO2011001598A1 (ja) * 2009-07-02 2011-01-06 シャープ株式会社 有機el素子、有機el素子の製造方法、および有機el表示装置
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
CN105428295B (zh) 2009-12-15 2020-08-11 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
CN102834913B (zh) * 2010-07-26 2016-01-06 京瓷株式会社 静电吸盘
US8901835B2 (en) * 2010-09-15 2014-12-02 Analog Integrations Corporation LED lighting systems, LED controllers and LED control methods for a string of LEDS
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5740939B2 (ja) * 2010-11-29 2015-07-01 住友電気工業株式会社 半導体装置の製造方法
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
KR20120072840A (ko) 2010-12-24 2012-07-04 삼성정밀화학 주식회사 내환경성, 유동성 및 대전성이 우수한 토너
KR20120137986A (ko) * 2011-06-14 2012-12-24 삼성디스플레이 주식회사 정전척
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10049903B2 (en) 2011-08-30 2018-08-14 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
JP2013105543A (ja) 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013137414A1 (ja) * 2012-03-16 2013-09-19 株式会社クリエイティブ テクノロジー 静電チャック装置及びその制御方法
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Also Published As

Publication number Publication date
KR20170017003A (ko) 2017-02-14
JP2017509135A (ja) 2017-03-30
JP2017143269A (ja) 2017-08-17
US9536769B1 (en) 2017-01-03
JP6126747B2 (ja) 2017-05-10
JP6998136B2 (ja) 2022-01-18
CN106876313B (zh) 2019-09-27
WO2015134155A1 (en) 2015-09-11
US9805965B2 (en) 2017-10-31
CN105981156A (zh) 2016-09-28
KR101782981B1 (ko) 2017-09-28
KR20160127717A (ko) 2016-11-04
TW201719802A (zh) 2017-06-01
CN108428663A (zh) 2018-08-21
TW201535588A (zh) 2015-09-16
US9472410B2 (en) 2016-10-18
KR20170060165A (ko) 2017-05-31
US20170004988A1 (en) 2017-01-05
CN105981156B (zh) 2018-04-24
CN106876313A (zh) 2017-06-20
JP2017201700A (ja) 2017-11-09
US20170110358A1 (en) 2017-04-20
TWI598990B (zh) 2017-09-11
JP2021158369A (ja) 2021-10-07
CN108428663B (zh) 2022-08-30
US20150311105A1 (en) 2015-10-29
KR101854373B1 (ko) 2018-05-03
TWI596697B (zh) 2017-08-21
KR102316425B1 (ko) 2021-10-21

Similar Documents

Publication Publication Date Title
JP6207780B2 (ja) ピクセル化された容量制御esc
JP6987166B2 (ja) ピクセル型温度制御式基板支持アセンブリ
JP7246478B2 (ja) 整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路
US20200152500A1 (en) Tunable temperature controlled substrate support assembly
TWI834724B (zh) 用於在成形dc脈衝電漿處理裝置中邊緣環控制的電路

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170612

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170612

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20170612

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20170614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170905

R150 Certificate of patent or registration of utility model

Ref document number: 6207780

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250