KR20120137986A - 정전척 - Google Patents

정전척 Download PDF

Info

Publication number
KR20120137986A
KR20120137986A KR1020110057210A KR20110057210A KR20120137986A KR 20120137986 A KR20120137986 A KR 20120137986A KR 1020110057210 A KR1020110057210 A KR 1020110057210A KR 20110057210 A KR20110057210 A KR 20110057210A KR 20120137986 A KR20120137986 A KR 20120137986A
Authority
KR
South Korea
Prior art keywords
dielectric layer
electrostatic chuck
electrode
trench
layer
Prior art date
Application number
KR1020110057210A
Other languages
English (en)
Inventor
도성원
Original Assignee
삼성디스플레이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성디스플레이 주식회사 filed Critical 삼성디스플레이 주식회사
Priority to KR1020110057210A priority Critical patent/KR20120137986A/ko
Priority to US13/251,096 priority patent/US8848334B2/en
Publication of KR20120137986A publication Critical patent/KR20120137986A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Nonlinear Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 진공 챔버 내에서 정전기력으로 기판을 흡착하여 지지하는 정전척에 관한 것으로, 보다 상세하게는 정전척용 전극의 상부가 트렌치(trench)부와 엠보(emboss)부로 형성되어 있는 정전척에 관한 것이다.
본 발명에 따른 정전척은 베이스 기재; 상기 베이스 기재 상에 형성된 절연층; 상기 절연층 상에 형성된 도전층; 상기 도전층 상에 형성된 유전층; 및 상기 베이스 기재, 절연층, 도전층 및 유전층을 관통하여 설치되어 있는 냉각 가스 라인을 포함한다.

Description

정전척{ELECTROSTATIC CHUCK}
본 발명은 진공 챔버 내에서 정전기력으로 기판을 흡착하여 지지하는 정전척에 관한 것으로, 더욱 상세하게는 정전척용 전극의 상부가 트렌치(trench)부와 엠보(emboss)부로 형성되어 있는 정전척에 관한 것이다.
유기전계발광소자(organic light emitting diode; OLED)는 단분자, 저분자 또는 고분자로 된 유기물층에서 양극과 음극을 통하여 주입된 전자와 정공이 재결합하여 여기자(exciton)를 형성하고, 상기 형성된 여기자로부터의 에너지에 의해 특정한 파장의 빛이 발생하는 현상을 이용한 자체 발광형 디스플레이 소자이다.
유기전계발광소자의 양극으로는 면 저항이 작고 투과성이 좋은 ITO 막이 사용될 수 있으며, 발광효율을 높이기 위해서 유기층으로는 정공주입층(HIL), 정공수송층(HTL), 발광층(EML), 전자수송층(ETL), 전자주입층(EIL)의 다층 구조가 사용될 수 있다. 그리고 음극으로는 LiF-Al 등의 금속막이 사용될 수 있다.
유기전계발광소자의 양산 과정은 크게 전공정, 후공정, 및 봉지 공정의 세 부분으로 나눌 수 있다. 전공정은 주로 스퍼터링(sputtering) 기술을 이용하여 유리 기판 위에 ITO 박막을 형성하는 공정으로서 이미 액정 표시 장치용으로 양산공정이 상업화되어 있다. 봉지(encapsulation) 공정은, 유기층이 공기 중의 수분과 산소에 매우 약하므로 소자의 수명을 증가시키기 위해 밀봉하는 공정이다. 후공정은 기판상에 유기층 및 금속층을 형성하는 공정이다. 상기 후공정에는 고진공 분위기에서 유기물질을 증발시켜 기판상에 새도우 마스크를 이용한 화소 패터닝을 만드는 이른바 진공 증착법이 주로 이용되고 있다.
유기전계발광 표시장치 중, 능동 구동형 유기전계발광 표시장치는 화상 표현의 기본 단위인 화소(pixel)를 매트릭스 방식으로 배열한다. 그리고 각 화소마다, 스위칭 소자로서 박막 트랜지스터(Thin Film Transistor; TFT)를 배치하여 독립적으로 화소를 제어한다.
도 1은 상기 유기전계발광소자에 적용되는 박막 트랜지스터를 제작하는 공정을 설명하기 위한 흐름도이다.
도 1을 참조하면, 상기 박막 트랜지스터의 제조방법은, 기판상에 게이트(GATE) 전극을 형성하는 단계(S100); 상기 패터닝에 의하여 반도체층을 형성하고, 상기 반도체층을 덮도록 기판 전면에 게이트 절연막을 형성하는 절연막 및 반도체막 형성 단계(S200); 반도체층의 양쪽 가장자리에 소스 영역 및 드레인 영역을 형성하는 데이터(DATA) 전극 형성 단계(S300); 보호막 형성 단계(S400); 및 소스 전극 및 드레인 전극 등을 형성하는 화소 전극 형성 단계(S500)를 포함한다.
전술한 과정에서, 절연막 및 반도체막 형성 단계(S200)를 구체적으로 도시한 것이 도 2의 흐름도이다.
도 2를 참조하면, 절연막 및 반도체막의 형성은, 기판상에 박막을 증착하는 박막 증착 단계(S210); 기판상의 이물질을 제거하는 세정 단계(S220); 포토 레지스트(PR)를 도포하는 PR 도포 단계(S230); 노광 마스크를 통해 빛(UV)을 투사하는 노광 단계(S240); 빛에 의해 분해된 포토 레지스트를 제거하는 현상 단계(S250); 증착시킨 박막을 선택적으로 제거하는 식각 단계(S260); 스트리퍼(Striper)를 이용하여 잔존하는 포토 레지스트를 제거하는 PR 박리 단계(S270); 및 제품의 이상 유무를 확인하는 검사 단계(S280)를 포함한다.
전술한 과정에서 박막을 제거하는 식각 단계(S260)를 위한 대표적인 방법으로서, 습식 식각(wet etching)과 건식 식각(dry etching)이 있다. 습식 식각은 주로 희석된 불산(HF)과 초순수(DI water)를 적당한 비율로 혼합하여 웨이퍼의 상면에 증착된 산화막을 제거하는 방법이고, 건식 식각은 플라즈마(plasma)를 이용하여 증착시킨 박막을 선택적으로 제거하여 웨이퍼 상에 원하는 물질막을 패턴화하는 방법이다.
건식 식각 장치에서 식각 공정이 수행될 기판을 지지하기 위한 구조 요소로 정전척(Electrostatic Chuck, ESC)을 일반적으로 사용한다.
정전척은 반도체 또는 LCD 기판 제조 공정장치 등에 사용되는 것으로, 정전력을 이용해 웨이퍼 또는 기판을 고정시키는 부품이다. 이러한 정전척은 화학기상증착, 식각, 스퍼터링, 이온 주입 공정 등과 같은 다양한 공정에 널리 사용된다.
종래, 기계적 클램프(Mechanical Clamp), 진공 척(Vacuum Chuck) 등은 기판의 단순한 고정만을 위한 것이었으나, 최근에는 기판을 밀착한 상태에서 균일한 열처리도 가능하고 불순물 파티클(Particle)의 발생도 최소화할 수 있는, 정전척의 사용이 확대되고 있다.
상기 정전척의 구조를 살펴보면, 몸체를 형성하는 베이스 기재 위에 절연층, 도전층, 유전층이 차례로 구성되고 상기 도전층과 외부 전원을 연결하는 전원선이 구비된다. 기판은 상기 유전층의 상부에 안착되게 되며, 상기 전원선을 통해 상기 도전층에 전압이 인가되면, 기판과 도전층 사이에 위치한 유전층에 유전분극 현상에 의해 한쪽에는 음전하, 다른 한쪽에는 양전하가 발생한다. 그리고, 기판에도 상기 유전층과 접하는 면에 음전하가 발생하게 되며, 이들 사이의 전기적 힘에 의해 기판이 정전척에 부착되게 되는 것이다.
플라즈마 방전을 이용하는 건조 식각은 공정 진행 중 기판 온도가 고온으로 상승하여 패턴 마스크 역할을 하는 포토 레지스트의 버닝(Burning) 현상을 유발한다. 버닝 현상이 유발된 포토 레지스트는 박리 단계(S270) 시 잘 제거되지 않고 심하면 제거가 불가능하다. 이를 방지하기 위해서 유전층과 기판 사이에 냉각 가스를 순환시키는데 이는 기판의 열을 흡수하여 방출하는 역할을 한다. 냉각 가스를 새어나가지 못하게 하기 위하여 기판은 클램프 등으로 고정시킨다.
유전층 내에 냉각 가스의 경로를 만드는 방식에 따라 트렌치형(Trench Type) 전극과 엠보형(Emboss Type) 전극이 있다. 트렌치형 전극은 냉각 가스 경로를 채널 형식으로 만들어 주는 형식으로 대부분의 영역은 기판에 밀착하게 된다. 엠보형 전극은 전극의 표면 형태가 돌기 형태이고 이 중 볼록한 부분에 기판이 밀착하게 된다.
도 5a는 종래의 트렌치형 전극의 사시도를 나타낸 것이고, 도 5b는 도 5a에 도시된 트렌치형 전극의 A-A' 단면을 나타낸 것이다. 트렌치형 전극은 채널(121)과 접촉부(123)를 갖는다. 접촉부(123)는 기판에 접촉하는 부분이고, 채널(121)은 냉각 가스의 이동 통로이다. 트렌치형 전극은 기판에 밀착되는 접촉부(123)의 면적이 넓고 채널(121)과 접촉부(123)의 온도차 및 이에 의한 식각율 차이로 인하여 채널(121)의 형태가 기판에 전사되어 육안으로 나타나게 되고 이를 전극 얼룩, 또는 격자 얼룩이라고 한다.
도 6a는 종래의 엠보형 전극의 사시도를 나타낸 것이고, 도 6b는 도 6a에 도시된 엠보형 전극의 B-B' 단면을 나타낸 것이다. 엠보형 전극은 돌기(122)를 가지는데, 상기 돌기(122)가 기판과 접촉한다. 따라서, 기판과 전극의 접촉 면적이 극히 작아서 전극의 형태가 육안으로 나타나지 않는다. 하지만 접촉 면적이 작은만큼 기판과 전극의 밀착력이 작아서 기판 외곽으로 냉각 가스의 유출이 발생하게 된다. 이는 냉각 가스에 의한 기판 냉각(Cooling) 능력을 저하시켜 기판의 온도 상승 및 마스크의 포토 레지스트 버닝 현상을 유발하게 되고, 그 결과 박리 단계 시 상기 버닝된 포토 레지스트가 잘 제거되지 않고, 심하면 제거가 불가능하게 된다.
즉, 건식 식각에서 발생되는 트렌치형 전극을 사용할 경우 생기는 얼룩은 디스플레이 제품에서 치명적인 불량에 해당되고, 이를 방지하기 위한 엠보형 전극은 기판과의 밀착력 저하에 따른 포토 레지스트 버닝 등의 공정 불량이 유발된다.
본 발명에서는 전극 얼룩 또는 격자 얼룩, 및 포토 레지스트 버닝 등의 불량을 해소할 수 있는 정전척용 전극을 제공하는 것을 목적으로 한다.
본 발명의 또 다른 목적은 상기와 같은 전극을 포함하는 정전척을 제공하는 것을 목적으로 한다.
본 발명은 특히, 전극 구조에 트렌치부와 엠보부를 조합하여 배치함으로써 전극 얼룩이나 격자 얼룩 및 포토 레지스트 버닝 불량을 동시에 해소하는 정전척용 전극 및 상기 전극을 포함하는 정전척을 제공하는 것을 목적으로 한다.
상기와 같은 목적을 달성하기 위하여, 본 발명은 전극부를 구성하는 유전층에 트렌치부와 엠보부를 함께 갖는 정전척을 제공한다.
본 발명에 따른 정전척은 베이스 기재; 상기 베이스 기재 상에 형성된 절연층; 상기 절연층 상에 형성된 도전층; 상기 도전층 상에 형성된 유전층; 및 상기 베이스 기재, 절연층, 도전층 및 유전층을 관통하여 설치되어 냉각 가스를 주입 또는 배출시키는 냉각 가스 라인을 포함한다.
여기서, 상기 도전층은 전원장치와 연결되어 있다. 또한, 상기 유전층은 엠보부와 트렌치부로 구분되며, 상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고, 상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가진다.
본 발명의 일례에 따르면, 상기 트렌치부는 유전층 전체 면적의 2 내지 30%이다.
본 발명의 일례에 따르면, 상기 트렌치부는 최외곽부에 테두리 형태로 형성된 폐회로를 갖는다.
본 발명의 일례에 따르면, 상기 트렌치부의 폭은 유전층 전체 폭 대비 1 내지 10%이다.
본 발명의 일례에 따르면, 상기 채널의 폭은 0.1 내지 50 mm이고, 깊이는 0.01 내지 1 mm이며, 채널 사이의 간격은 10 내지 1000 mm이다.
본 발명의 일례에 따르면, 상기 엠보부는 유전층 전체 면적의 70 내지 98%이다.
본 발명의 일례에 따르면, 상기 돌기의 높이는 0.01 내지 1 mm이고, 직경은 0.1 내지 10 mm이며, 돌기 사이의 간격은 1 내지 50 mm이다.
본 발명의 일례에 따르면, 상기 냉각 가스는 He이다.
본 발명의 일례에 따르면, 상기 유전층의 재료는 세라믹이다.
본 발명의 일례에 따르면, 상기 전원장치는 DC전원을 공급할 수 있다.
본 발명의 일례에 따르면, 상기 정전척은 댐을 더 포함한다.
본 발명은 또한 도전층 및 유전층을 포함하는 정전척용 전극을 제공한다.
본 발명에 따른 정전척용 전극은 도전층; 및 상기 도전층 상에 형성된 유전층을 포함한다. 여기서, 상기 도전층은 전원장치와 연결되어 있다. 또한, 상기 유전층은 엠보부와 트렌치부로 구분되며, 상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고, 상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가진다.
상기 본 발명에 따른 정전척은 유전층이 트렌치부와 엠보부로 구분되어 형성되므로 안정적인 흡착 지지 능력을 가지면서 건식 식각의 고질적인 문제인 전극 얼룩 또는 격자 얼룩과 포토레지스트 버닝 현상에 의한 불량을 동시에 해결할 수 있다.
또한, 대형 기판을 정전척에 의해 기판의 처짐 없이 안정적으로 흡착 지지할 수 있다.
도 1은 박막 트랜지스터의 제조 공정을 도시하는 흐름도이다.
도 2는 절연막 및 반도체막 형성 단계의 세부 과정을 도시하는 흐름도이다.
도 3은 정전척의 일반적인 구조를 보여주는 단면도이다.
도 4는 본 발명의 일례에 따른 정전척의 구조를 보여주는 단면도이다.
도 5a는 종래의 트렌치형 전극의 구조의 일례를 보여주는 사시도이다.
도 5b는 종래의 트렌치형 전극의 구조의 일례를 보여주는 단면도이다.
도 6a는 종래의 엠보형 전극의 구조의 일례를 보여주는 사시도이다.
도 6b는 종래의 엠보형 전극의 구조의 일례를 보여주는 단면도이다.
도 7은 본 발명의 일례에 따른 유전층의 구조를 보여주는 사시도이다.
도 8은 본 발명의 일례에 따른 유전층의 구조를 보여주는 단면도이다.
도 9는 본 발명의 일례에 따른 유전층에서 트렌치부와 엠보부를 보여주는 단면도이다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 정전척 및 정전척용 전극에 대하여 상세히 설명한다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예만을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명의 범위를 상기 특정한 실시 형태에 대해서만으로 한정하려는 것이 아니다. 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물은 본 발명의 범위에 포함하는 것으로 이해되어야 한다.
본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성 요소에 대해서는 동일한 참조 부호를 붙이도록 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다.
도 3은 일반적인 정전척의 구성을 개략적으로 도시한 것이다. 본 발명에 따른 정전척 역시 상기 도 3과 같은 기본 구성을 갖는다.
도 3을 참조하면, 본 발명의 일례에 따른 정전척은 베이스 기재(400), 절연층(300), 도전층(200), 유전층(100), 냉각 가스 공급 장치(600) 및 전원장치(700)를 포함한다.
본 발명의 일례에 따르면, 상기 베이스 기재(400)는 평판 형태 또는 실린더 형태를 갖는다. 베이스 기재(400)는 일반적으로 흡착 대상물(예컨대 기판)에 대응하는 크기를 갖는다. 즉, 베이스 기재(400)는 반도체 소자 또는 평판표시소자를 제조하기 위한 기판의 크기와 같거나, 상기 기판의 크기보다 클 수 있다. 일례로, 베이스 기재(400)는 금속으로 형성될 수 있다. 상기 금속의 예로는 알루미늄(Al)을 포함할 수 있다. 다른 예로, 베이스 기재(400)는 그 표면에 금속 코팅층을 포함할 수 있다.
본 발명의 일례에 따르면, 상기 절연층(300)은 베이스 기재(400) 상에 형성된다. 예를 들어, 절연층은 베이스 기재(400)의 상부면 일부 영역에 형성될 수 있다. 절연층(300)은 베이스 기재(400)와 도전층(200)을 절연시키는 역할을 한다.
본 발명의 일례에 따르면, 상기 도전층(200)은 절연층(300) 상에 형성된다. 예를 들어, 도전층(200)은 절연층(300)의 상부면 일부 영역에 형성될 수 있다. 도전층(200)은 정전기력 발생을 위하여 구비된다. 도전층(200)은 상기 유전층(100) 상면에 정전기력을 발생시키며, 상기 정전기력으로 유전층(100) 상에 안착되는 기판을 정전 흡착하여 고정 및 유지하게 된다. 도전층(200)은 도전성 재질로 이루어진다. 상기 도전층(200)은 정전기력을 형성하기 위하여 외부로부터 고전압을 인가받게 되며, 고전압의 인가는 상기 전원장치(700)를 통해서 이루어진다.
한편, 상기 도전층(200)과 유전층(100)을 별도로 전극이라고도 한다, 본 발명에서 '전극'이란 상기 도전층(200)과 유전층(100)의 적층 구조를 의미한다.
본 발명의 일례에 따르면, 상기 전원장치(700)는 베이스 기재(400) 및 절연층(300)을 관통하여 도전층(200)에 전기적으로 연결된다. 상기 전원장치(700)에서는 DC전원을 공급할 수 있다.
본 발명의 일례에 따르면, 상기 냉각 가스 공급 장치(600)는 상기 베이스 기재(400), 절연층(300), 도전층(200) 및 유전층(100)을 관통하여 설치되는 냉각 가스 라인에 냉각 가스를 공급한다. 본 발명의 일례에 따르면, 냉각 가스는 He을 사용할 수 있다. 냉각 가스 라인을 통해 전달되는 냉각 가스는 유전층 상에 놓여질 흡착 대상물(예컨대 기판)을 냉각시키는 역할을 한다.
본 발명의 일례에 따르면, 상기 정전척은 댐(500)을 더 포함할 수 있다.
도 4에는 본 발명의 일 실시예에 따른 정전척의 구성이 도시되어 있다.
도 4를 참조하면, 본 발명의 일례에 따른 정전척은 베이스 기재(400), 절연층(300), 도전층(200), 유전층(100), 냉각 가스 공급 장치(600), 냉각 가스 유입 라인(610), 냉각 가스 방출 라인(620) 및 전원장치(700)를 포함한다.
본 발명의 일례에 따르면, 상기 냉각 상기 베이스 기재(400), 절연층(300), 도전층(200) 및 유전층(100)을 관통하여 상기 냉각 가스 유입 라인(610)과 냉각 가스 방출 라인(620)이 설치되어 있다. 냉각 가스 공급 장치(600)에서 발생한 냉각 가스가 상기 유입 라인(610)으로 유입되고 상기 방출 라인(620)으로 회수되는 방식으로 순환되어 유전층 상에 놓여질 흡착 대상물, 예컨대 기판을 냉각시키는 역할을 한다.
여기서, 베이스 기재(400), 절연층(300), 도전층(200), 유전층(100), 댐(500), 냉각 가스 공급 장치(600) 및 전원장치(700)에 대해서는 도 3의 설명에 기재한 내용과 동일하다.
도 4에서는 본 발명의 일례에 따른 정전척에서, 특히 유전층(100) 단면의 구조가 개시되어 있다.
본 발명의 일례에 따르면, 상기 유전층(100)은 도전층(200) 상에 형성된다. 상기 유전층(100)의 재료로는 절연재료가 가능하며, 이러한 절연재료의 일례로 세라믹 재료가 있다. 상기 유전층(100)은 엠보부와 트렌치부로 구분된다. 여기서, 상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고, 상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가진다.
본 발명의 일례에 따르면, 상기 트렌치부는 채널(121), 접촉부(123) 및 폐회로(125)를 포함한다. 상기 채널(121)은 냉각 가스의 이동 통로이고, 상기 접촉부(123)는 기판의 양쪽 말단을 지지한다. 상기 폐회로(125)는 도 7에 도시된 바와 같이 유전층의 최외곽부에 형성되어 냉각 가스의 누출을 방지한다.
본 발명의 일례에 따르면, 상기 엠보부는 돌기(122) 및 냉각 가스 유입구(601)를 포함한다(도 7 참조). 상기 돌기(122)는 기판과 유전층(100)의 접촉 면적을 최소화하여 기판을 지지하는데, 돌기가 내부에 분포되어 있으므로 기판을 지지하기에 충분하다. 돌기 이외의 부분은 냉각 가스가 순환하며, 냉각 가스 공급이 원활하게 하여 기판 온도 상승을 방지함으로써 포토 레지스트 버닝을 방지한다.
도 7 내지 도 9는 유전층(100)의 구성을 도시한 것이다.
구체적으로, 도 7은 트렌치부와 엠보부로 조합하여 배치되어 있는 유전층(100)의 사시도이고, 도 8은 도 7의 C-C' 단면도이며, 도 9는 트렌치부와 엠보부만을 표현하기 위한 개략적인 단면도이다.
도 7 내지 9를 참조하면, 상기 유전층(100)은 트렌치부(T), 엠보부(E), 채널(121), 돌기(122), 접촉부(123), 폐회로(125) 및 냉각 가스 유입구(601)를 포함한다.
본 발명의 일례에 따르면, 상기 유전층(100)은 엠보부(E)와 트렌치부(T)로 구분된다. 상기 트렌치부(T)는 유전층(100) 외곽부에 배치되며, 하나 이상의 채널(121)을 포함한다. 상기 엠보부(E)는 유전층(100) 내측부에 형성되어 있고 복수개의 돌기를 갖는다.
본 발명의 일례에 따르면, 상기 트렌치부(T)는 유전층 전체 면적의 2 내지 30% 영역을 차지하며, 상기 유전층(100)의 외곽부에 테두리 형태로 형성된 폐회로를 갖는다. 상기 트렌치부(T)의 폭은 유전층(100) 전체 폭 대비 1 내지 10%이고, 상기 채널의 폭(l2)은 0.1 내지 50 mm이고, 깊이는 0.01 내지 1 mm이며, 채널 사이의 간격(l1)은 10 내지 1000 mm이다. 이와 같이 형성된 트렌치부(T)는 유전층(100) 전체 폭 대비 좁은 폭으로 기판의 양쪽 말단에서 흡착 지지해주는 역할을 한다.
본 발명의 일례에 따르면, 상기 엠보부(E)는 유전층 전체 면적의 70 내지 98% 영역을 차지하며, 즉, 엠보부(E)는 유전층 전체 면적에서 상기 트렌치부(T)의 영역을 제외한 나머지 영역에 해당된다. 상기 돌기의 높이는 0.01 내지 1 mm이고, 직경은 0.1 내지 10 mm이며, 돌기 사이의 간격은 1 내지 50 mm이다. 이와 같이 형성된 엠보부(T)는 유전층(100) 전체 폭에서 트렌치부(T)의 폭을 뺀 대부분의 영역에서 기판과의 접촉 면적을 최소한으로 줄여주는 역할을 한다.
전술한 바와 같이, 본 발명의 일례에 따른 유전층(100)은 대부분의 영역을 접촉 면적이 최소화된 엠보부(E)로 하고 최외곽 부분을 기판 밀착력이 우수하고 폐회로가 형성된 트렌치부(T)로 구성함으로써, 냉각 가스 누출을 감소시키고 기판으로의 냉각 가스 공급을 원활하게 하며 안정적으로 기판을 흡착 지지한다. 즉, 종래의 트렌치형 전극의 단점이었던 전극 얼룩 또는 격자 얼룩을 방지하면서 기판 흡착 지지 능력을 높여 냉각 가스 누출을 감소시키고 기판으로의 냉각 가스 공급을 원할하게 함으로써 엠보형 전극의 단점이었던 포토레지스트 버닝 불량이 해소되는 결과를 나타낸다.
본 발명에 따른 정전척용 전극은 도전층(200)과 유전층(100)을 포함한다. 상기 도전층(200)은 전원장치(700)와 연결되어 있고, 상기 유전층(100)은 엠보부와 트렌치부로 구분된다. 여기서, 상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고, 상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가진다.
본 발명의 일례에 따르면, 상기 트렌치부는 채널(121), 접촉부(123) 및 폐회로(125)를 포함하고, 상기 엠보부는 돌기(122) 및 냉각 가스 유입구(601)를 포함한다.
본 발명에 따른 정전척용 전극에 있어서, 구성 요소에 대한 세부 설명은 상기에서 설명한 바와 같다.
이상에서 본 발명은 비록 한정된 실시예와 도면에 의해 설명되었으나, 본 발명은 이것에 의해 한정되지 않으며 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 본 발명의 기술사상과 아래에 기재될 특허청구범위의 균등범위 내에서 다양한 수정 및 변형이 가능함은 물론이다.
T: 트렌치부 E: 엠보부
100: 유전층 121: 채널
122: 돌기 123: 접촉부
125: 폐회로 200: 도전층
300: 절연층 400: 베이스 기재
500: 댐 600: 냉각 가스 공급 장치
601: 냉각 가스 유입구 610: 냉각 가스 유입 라인
620: 냉각 가스 방출 라인 700: 전원장치

Claims (21)

  1. 베이스 기재;
    상기 베이스 기재 상에 형성된 절연층;
    상기 절연층 상에 형성된 도전층;
    상기 도전층 상에 형성된 유전층; 및
    상기 베이스 기재, 절연층, 도전층 및 유전층을 관통하여 설치되어 있는 냉각 가스 라인을 포함하며,
    상기 도전층은 전원장치와 연결되어 있고,
    상기 유전층은 엠보(emboss)부와 트렌치(trench)부로 구분되며,
    상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고,
    상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가지는 것을 특징으로 하는 정전척.
  2. 제1항에 있어서, 상기 엠보부는 유전층 전체 면적의 70 내지 98%인 것을 특징으로 하는 정전척.
  3. 제1항에 있어서, 상기 돌기의 높이는 0.01 내지 1 mm이고, 직경은 0.1 내지 10 mm이며, 돌기 사이의 간격은 1 내지 50 mm인 것을 특징으로 하는 정전척.
  4. 제1항에 있어서, 상기 트렌치부는 유전층 전체 면적의 2 내지 30%인 것을 특징으로 하는 정전척.
  5. 제1항에 있어서, 상기 트렌치부는 유전층의 외곽부에 테두리 형태로 형성된 폐회로를 갖는 것을 특징으로 하는 정전척.
  6. 제1항에 있어서, 상기 트렌치부의 폭은 유전층 전체 폭 대비 1 내지 10%인 것을 특징으로 하는 정전척.
  7. 제1항에 있어서, 상기 채널의 폭은 0.1 내지 50 mm이고, 깊이는 0.01 내지 1 mm이며, 채널 사이의 간격은 10 내지 1000 mm인 것을 특징으로 하는 정전척.
  8. 제1항에 있어서, 상기 냉각 가스는 He인 것을 특징으로 하는 정전척.
  9. 제1항에 있어서, 상기 유전층의 재료는 세라믹인 것을 특징으로 하는 정전척.
  10. 제1항에 있어서, 상기 전원장치는 DC전원을 공급하는 것을 특징으로 하는 정전척.
  11. 도전층; 및
    상기 도전층 상에 형성된 유전층을 포함하며,
    상기 도전층은 전원장치와 연결되어 있고,
    상기 유전층은 엠보부와 트렌치부로 구분되며,
    상기 트렌치부는 유전층 외곽부에 배치되며 하나 이상의 채널이 형성되어 있고,
    상기 엠보부는 유전층 내측부에 형성되어 있고 복수개의 돌기를 가지는 것을 특징으로 하는 정전척용 전극.
  12. 제11항에 있어서, 상기 엠보부는 유전층 전체 면적의 70 내지 98%인 것을 특징으로 하는 정전척용 전극.
  13. 제11항에 있어서, 상기 돌기의 높이는 0.01 내지 1 mm이고, 직경은 0.1 내지 10 mm이며, 돌기 사이의 간격은 1 내지 50 mm인 것을 특징으로 하는 정전척용 전극.
  14. 제11항에 있어서, 상기 트렌치부는 유전층 전체 면적의 2 내지 30%인 것을 특징으로 하는 정전척용 전극.
  15. 제11항에 있어서, 상기 트렌치부는 외곽부에 테두리 형태로 형성된 폐회로를 갖는 것을 특징으로 하는 정전척용 전극.
  16. 제11항에 있어서, 상기 트렌치부의 폭은 유전층 전체 폭 대비 1 내지 10%인 것을 특징으로 하는 정전척용 전극.
  17. 제11항에 있어서, 상기 채널의 폭은 0.1 내지 50 mm이고, 깊이는 0.01 내지 1 mm이며, 채널 사이의 간격은 10 내지 1000 mm인 것을 특징으로 하는 정전척용 전극.
  18. 제11항에 있어서, 상기 냉각 가스는 헬륨(He)인 것을 특징으로 하는 정전척용 전극.
  19. 제11항에 있어서, 상기 유전층의 재료는 세라믹인 것을 특징으로 하는 정전척용 전극.
  20. 제11항에 있어서, 상기 전원장치는 DC전원을 공급하는 것을 특징으로 하는 정전척용 전극.
  21. 제1항에 있어서, 상기 정전척은 댐을 더 포함하는 것을 특징으로 하는 정전척.
KR1020110057210A 2011-06-14 2011-06-14 정전척 KR20120137986A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020110057210A KR20120137986A (ko) 2011-06-14 2011-06-14 정전척
US13/251,096 US8848334B2 (en) 2011-06-14 2011-09-30 Electrostatic chuck

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110057210A KR20120137986A (ko) 2011-06-14 2011-06-14 정전척

Publications (1)

Publication Number Publication Date
KR20120137986A true KR20120137986A (ko) 2012-12-24

Family

ID=47353488

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110057210A KR20120137986A (ko) 2011-06-14 2011-06-14 정전척

Country Status (2)

Country Link
US (1) US8848334B2 (ko)
KR (1) KR20120137986A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022010872A1 (en) * 2020-07-06 2022-01-13 Applied Materials, Inc. Electrostatic chuck with improved temperature control

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11229968B2 (en) * 2011-11-30 2022-01-25 Watlow Electric Manufacturing Company Semiconductor substrate support with multiple electrodes and method for making same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9472410B2 (en) * 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN112053991B (zh) 2014-05-21 2022-04-15 应用材料公司 热处理基座
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6520160B2 (ja) * 2015-02-02 2019-05-29 住友大阪セメント株式会社 静電チャック装置
JP6497761B2 (ja) * 2015-02-23 2019-04-10 エム キューブド テクノロジーズ,インコーポレーテッドM Cubed Technologies, Inc. 静電チャック用薄膜電極
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017092156A (ja) * 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
GB201815258D0 (en) 2018-09-19 2018-10-31 Spts Technologies Ltd A support
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11670483B2 (en) * 2019-05-01 2023-06-06 Axcelis Technologies, Inc. High power wafer cooling
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102651311B1 (ko) 2019-06-03 2024-03-27 삼성전자주식회사 마이크로폰들을 이용하여 사용자의 음성을 분석하는 전자 장치 및 모바일 장치
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230045894A (ko) * 2021-09-29 2023-04-05 삼성전자주식회사 복수의 전극을 포함하는 기판 처리 장치
CN114280829B (zh) * 2022-01-06 2022-09-06 重庆臻宝实业有限公司 一种下部电极1Pitch Emboss的形成方法
US20240258940A1 (en) * 2023-01-31 2024-08-01 Applied Materials, Inc. Electrostatic Chuck Having Extended Lifetime

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
KR20060074710A (ko) 2004-12-28 2006-07-03 주식회사 하이닉스반도체 반도체 식각 장비의 정전척
KR100962210B1 (ko) 2005-11-30 2010-06-11 주식회사 코미코 정전척
KR101403328B1 (ko) 2007-02-16 2014-06-05 엘아이지에이디피 주식회사 돌기 모양의 전극 패턴을 가지는 바이폴라 정전척 및 이를이용한 기판 처리 방법
EP2232693A2 (en) * 2007-12-20 2010-09-29 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming it
KR20100029992A (ko) 2008-09-09 2010-03-18 주식회사 하이닉스반도체 플라즈마 식각 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022010872A1 (en) * 2020-07-06 2022-01-13 Applied Materials, Inc. Electrostatic chuck with improved temperature control

Also Published As

Publication number Publication date
US8848334B2 (en) 2014-09-30
US20120320491A1 (en) 2012-12-20

Similar Documents

Publication Publication Date Title
KR20120137986A (ko) 정전척
US9580791B2 (en) Vapor deposition mask, and manufacturing method and manufacturing device for organic EL element using vapor deposition mask
US8864936B2 (en) Apparatus and method for processing substrate
US20060175772A1 (en) Substrate holding mechanism using electrostaic chuck and method of manufacturing the same
KR20120042155A (ko) 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US20060275547A1 (en) Vapor Phase Deposition System and Method
JP2004342455A (ja) フラットパネルディスプレイ製造装置
US20060274474A1 (en) Substrate Holder
TW201001530A (en) Electrode structure and substrate processing apparatus
US9234270B2 (en) Electrostatic chuck, thin film deposition apparatus including the electrostatic chuck, and method of manufacturing organic light emitting display apparatus by using the thin film deposition apparatus
JP2015214740A (ja) 蒸着装置用マスク、蒸着装置、蒸着方法、及び、有機エレクトロルミネッセンス素子の製造方法
JP7278193B2 (ja) 成膜装置
US20210276056A1 (en) Condition selectable backside gas
KR101156433B1 (ko) 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US20070258075A1 (en) Apparatus for processing a semiconductor wafer and method of forming the same
US20090056874A1 (en) Lower electrode assembly for processing substrates
KR102496166B1 (ko) 정전척을 구비한 기판처리장치
KR101517720B1 (ko) 정전척 및 이를 포함하는 플라즈마 발생장치
KR20110022513A (ko) 박막 증착 장치 및 이를 이용한 유기 발광 표시장치의 제조 방법
KR101647499B1 (ko) Lcd 또는 oled의 공정 불량 저감을 위한 개선된 구조의 가스홀이 형성된 정전척
KR101461139B1 (ko) 플라즈마 소스 및 플라즈마 에칭 장치
KR102140304B1 (ko) 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
KR20120025299A (ko) 유기 발광 표시 장치 및 이의 제조 방법
KR101479328B1 (ko) 기판 처리장치
US20240096679A1 (en) Substrate loading device and substrate loading method using the same

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application