JP7108562B2 - 処理の制御パラメータの決定方法、及び計測システム - Google Patents

処理の制御パラメータの決定方法、及び計測システム Download PDF

Info

Publication number
JP7108562B2
JP7108562B2 JP2019030494A JP2019030494A JP7108562B2 JP 7108562 B2 JP7108562 B2 JP 7108562B2 JP 2019030494 A JP2019030494 A JP 2019030494A JP 2019030494 A JP2019030494 A JP 2019030494A JP 7108562 B2 JP7108562 B2 JP 7108562B2
Authority
JP
Japan
Prior art keywords
sample
processing
output
substitute
control parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019030494A
Other languages
English (en)
Other versions
JP2020136568A (ja
Inventor
百科 中田
健史 大森
建人 臼井
優 栗原
直行 小藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2019030494A priority Critical patent/JP7108562B2/ja
Priority to KR1020190137225A priority patent/KR102336944B1/ko
Priority to TW108144456A priority patent/TWI707419B/zh
Priority to US16/716,602 priority patent/US11152237B2/en
Publication of JP2020136568A publication Critical patent/JP2020136568A/ja
Application granted granted Critical
Publication of JP7108562B2 publication Critical patent/JP7108562B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Description

本発明は、半導体プロセスの処理条件を決定するための半導体を代用する代用試料、半導体プロセスの処理条件を決定する方法、及び計測システムに関する。
半導体プロセスでは、プロセス開発により得られる適正な処理条件(制御パラメータ)を処理装置に設定することによって、所望の製品を製造できる。
近年、デバイスを構成する新材料の導入及びデバイス構造が複雑化に伴う装置の制御範囲の拡大によって、新たな制御パラメータが多数追加されている。
処理装置の性能を十分に引き出すためには、多数の制御パラメータの最適化が不可欠である。制御パラメータの最適化を実現する技術として、例えば、特許文献1に記載の技術知られている。
特許文献1には、「構造の幾何学形状を特徴付けるプロファイルパラメータによって定められる構造の形状モデルが取得される。プロファイルパラメータの値の組が取得され、これを用いて、構造から回折される光の挙動を各々が特徴付けるシミュレート回折信号の組が生成される。入力としてシミュレート回折信号の組を、予期される出力としてプロファイルパラメータの値の組を用いて、サポートベクトルマシンが訓練された後、ウェハ上に構造を製造するように製造プロセスが行われる。構造からの測定回折信号が取得され、訓練済みサポートベクトルマシンに入力される。訓練済みサポートベクトルマシンの出力として、構造のプロファイルパラメータの値が取得される。このプロファイルパラメータの値に基づいて、1つ以上のプロセスパラメータ又は製造ツールの装置設定が調整される。」ことが記載されている。
特開2009-44125号公報
近年、機械学習を利用した最適な処理条件の探索技術が注目されている。特許文献1に記載の技術及び機械学習では、大量の学習用のデータが必要となる。大量の学習用のデータを取得する方法としては、プロセス開発のノウハウ及び高い装置運用スキルを有するエンジニアが設定する方法、又は、処理試験を多数行う方法が考えられる。
しかし、エンジニアが不足しているという問題がある。さらに、処理試験に使用する試料は、実際の製品製造に使用する試料であるため高価であり、また、処理試験の結果を取得するための計測にも時間がかかるという問題がある。したがって、従来技術の学習用のデータを用いた処理の処理条件の最適化方法では、プロセス開発にかかるコストを抑えることが難しい。
本発明は、プロセス開発にかかるコストを抑えた処理の処理条件の最適化を実現するための代用試料、当該代用試料を用いて半導体プロセスの処理条件を決定する方法、及び計測システムを提供する。
本願において開示される発明の代表的な一例を示せば以下の通りである。すなわち、計算機が実行する、試料に対して行われる処理の制御パラメータの決定方法であって、前記計算機は、演算装置、前記演算装置に接続される記憶装置、及び前記演算装置に接続され、外部装置と接続するインタフェースを有し、前記記憶装置は、前記処理が行われた、製造に用いられる第1試料を計測することによって得られる第1処理出力と、前記処理が行われた、前記第1試料を模擬する第2試料を計測することによって得られる第2処理出力との間の相関関係を示す第1モデルを格納し、前記処理の制御パラメータの決定方法は、前記演算装置が、学習処理を実行することによって、前記第2試料に対して行われた前記処理の制御パラメータと、前記第2処理出力との間の相関関係を示す第2モデルを生成し、前記第2モデルを前記記憶装置に格納する第1のステップと、前記演算装置が、目標となる前記第1処理出力である目標処理出力、前記第1モデル、及び前記第2モデルに基づいて、前記第1試料に対して行われる前記処理の目標制御パラメータを算出する第2のステップと、を含んでおり、前記第2試料は、試料面からみて第1の高さに形成された第1の表面と、前記第1の高さより高い第2の高さに形成された第2の表面と、前記第1の表面に加工処理を行う粒子が、前記第1の表面と前記第2の表面との間に流入可能な複数の流入部と、を有することを特徴とする。
本発明によれば、プロセス開発にかかるコストを抑えて、最適な制御パラメータを算出できる。上記した以外の課題、構成及び効果は、以下の実施例の説明により明らかにされる。
実施例1の試料処理システムの構成の一例を示すブロック図である。 実施例1の試料処理システムを構成する計算機のハードウェア構成の一例を示す図である。 実施例1の解析システムが実行する目標処理条件の算出処理を説明するフローチャートである。 実施例1の代用試料用端末に表示されるGUIの一例を示す図である。 実施例1の代用試料用端末に表示されるGUIの一例を示す図である。 実施例1の目標処理条件の算出処理の概念を説明する図である。 実施例1の実試料の一例を示す図である。 実施例1の実試料の一例を示す図である。 実施例1の代用試料の一例を示す図である。 実施例1の代用試料の一例を示す図である。 実施例1の実試料の一例を示す図である。 実施例1の実試料の一例を示す図である。 実施例1の代用試料の一例を示す図である。 実施例1の代用試料の一例を示す図である。 実施例1の代用試料の物理量の計測方法の一例を示す図である。 実施例1の解析システムが実行する代用試料DBの生成処理の一例を示す図である。 実施例1の代用試料用端末に表示されるGUIの一例を示す図である。 実施例1の代用試料用端末に表示されるGUIの一例を示す図である。 実施例1の解析システムが実行する代用試料DBの更新処理の一例を説明するフローチャートである。 実施例1の代用試料処理出力空間の分割方法の一例を示す図である。 実施例1の代用試料用端末に表示されるGUIの一例を示す図である。 カメラ方式を用いた代用試料出力の計測方法を示す図である。 代用試料出力計測向けのカメラ方式における光学系の一例を示す図である。 代用試料出力計測向けのカメラ方式における光学系の一例を示す図である。 代用試料出力計測向けのカメラ方式における光学系及び外部光源の一例を示す図である。 代用試料出力計測向けのカメラ方式における光学系及び外部照明の一例を示す図である。 マルチ光学フィルタの一例を示す図である。 両側に開口部を持つ代用試料の一例を示す図である。 ウエハ面内分布計測を可能とする代用試料の一例を示す図である。 ウエハ面内分布計測を可能とする代用試料の一例を示す図である。 ウエハ周方向分布計測を可能とする代用試料の一例を示す図である。 ウエハ軸方向分布計測用を可能とする代用試料の一例を示す図である。 代用試料出力を複数回計測可能な代用試料の一例を示す図である。 代用試料出力を複数回計測可能な代用試料の一例を示す図である。 代用試料出力を複数回計測可能かつ小屋カバー回転機構の一例を示す図である。 代用試料出力を複数回計測可能かつ小屋カバー回転機構の一例を示す図である。 代用試料の一例を示す図である。 代用試料の一例を示す図である。 代用試料の物理量の計測方法の一例を示す図である。 代用試料の物理量を計測するための試料配置の一例を示す図である。 代用試料の物理量の計測方法の一例を示す図である。 ウエハ面内分布計測を可能とする代用試料の一例を示す図である。 ウエハ面内分布計測を可能とする代用試料の一例を示す図である。 ウエハ周方向分布計測を可能とする代用試料の一例を示す図である。 代用試料出力を複数回計測可能な代用試料の一例を示す図である。 代用試料出力を複数回計測可能な代用試料の一例を示す図である。 代用試料出力を複数回計測可能かつ小屋カバー回転機構の一例を示す図である。
以下、本発明の実施例を、図面を用いて説明する。ただし、本発明は以下に示す実施の形態の記載内容に限定して解釈されるものではない。本発明の思想ないし趣旨から逸脱しない範囲で、その具体的構成を変更し得ることは当業者であれば容易に理解される。
以下に説明する発明の構成において、同一又は類似する構成又は機能には同一の符号を付し、重複する説明は省略する。
本明細書における「第1」、「第2」、「第3」等の表記は、構成要素を識別するために付するものであり、必ずしも、数又は順序を限定するものではない。
図面等において示す各構成の位置、大きさ、形状、及び範囲等は、発明の理解を容易にするため、実際の位置、大きさ、形状、及び範囲等を表していない場合がある。したがって、本発明では、図面等に開示された位置、大きさ、形状、及び範囲等に限定されない。
まず、本明細書で使用する用語について説明する。
「実試料」は、実際の製品の製造に用いる試料である。「代用試料」は、実試料との間で幾何学構造及び物性等の相関を持つことが期待され、状態及び特性等の計測が容易な試料である。本実施例では、実試料が代用試料として用いることはない。代用試料の例として、TEG(Test Element Group)試料、及び小屋付試料等のウエハ上の構造物が含まれる。なお、本明細書において、「実試料」及び「代用試料」を区別しない場合には、「試料」と記載する。
「処理」は、実試料及び代用試料に対して行われる成膜処理、リソグラフィ処理、及びエッチング処理等の処理を表す。「処理条件」は、前述の処理を行う装置を制御するための情報を表す。具体的には、処理条件は、処理を行う装置に設定する制御パラメータを要素として含む情報である。「処理出力」は、処理中又は処理後の試料を計測することによって得られる試料の形状及び性質等を示す情報を表す。具体的には、処理出力は、計測装置によって計測された計測値を要素として含む情報である。
以上が本明細書で使用する用語の説明である。次に、試料処理システムの具体的な構成について説明する。
図1は、実施例1の試料処理システムの構成の一例を示すブロック図である。図2は、実施例1の試料処理システムを構成する計算機のハードウェア構成の一例を示す図である。
試料処理システムは、代用試料用端末10、処理装置20、計測装置30、解析システム40、及び実試料用端末50から構成される。なお、代用試料用端末10及び実試料用端末50は、一つの端末にまとめてもよい。試料処理システムに含まれる各構成は、直接又はネットワークを介して互いに接続される。
代用試料用端末10は、代用試料に対して行われる処理の処理条件を入力する装置である。代用試料用端末10は、ユーザの入力に基づいて入力処理条件100を生成し、処理装置20に入力する。後述するように、ユーザは代用試料用端末10を操作して、入力処理条件100及び目標処理出力101を入力する。入力処理条件100は、代用試料DB131を生成するための入力であり、代用試料の処理の処理条件である。目標処理出力101は、目標処理条件150を算出するための入力であり、実試料の処理出力の目標値である。なお、目標処理出力101には、実試料の処理出力に含まれる要素が満たす条件を含めることができる。
処理装置20は、処理条件に基づいて試料に対して処理を行う装置であり、処理条件入力部110及び処理部111を有する。処理装置20は、例えば、半導体のエッチング処理を行う。なお、本実施例は、処理装置20が行う処理の種別及び処理の内容に限定されない。
処理装置20は、例えば、リソグラフィ装置、製膜装置、パターン加工装置、イオン注入装置、加熱装置、及び洗浄装置等である。
リソグラフィ装置としては、露光装置、電子線描画装置、及びX線描画装置等がある。製膜装置としては、CVD、PVD、蒸着装置、スパッタリング装置、及び熱酸化装置がある。パターン加工装置としては、ウェットエッチング装置、ドライエッチング装置、電子ビーム加工装置、及びレーザ加工装置等がある。イオン注入装置としては、プラズマドーピング装置及びイオンビームドーピング装置等がある。加熱装置としては、抵抗加熱装置、ランプ加熱装置、及びレーザ加熱装置等がある。洗浄装置としては、液体洗浄装置及び超音波洗浄装置等がある。
処理条件入力部110は、代用試料用端末10又は実試料用端末50から入力された処理条件を受け付け、処理部111に出力する。なお、処理条件入力部110は、代用試料用端末10から入力処理条件100を受け付けた場合、解析システム40に入力処理条件100を送信する。
処理部111は、処理条件に基づいて試料に対して行われる処理を制御する。例えば、処理部111は、入力処理条件100に基づいて代用試料に対して行われる処理を制御する。
計測装置30は、処理装置20が処理する試料の形状及び性質等を示す値を計測する装置であり、計測部120を有する。計測部120は値の計測を制御する。
例えば、計測装置30は、試料に入射された光、レーザ、及びX線等の反射、透過、干渉、吸収、及び偏光スペクトルを計測することによって、試料の形状及び性質等を示す値を取得する。より具体的には、計測装置30は、電子顕微鏡を用いた装置、光学式モニタ、赤外光を用いた温度計測装置、ケルビンプローブフォース顕微鏡を用いた欠陥検出装置、及び電気特性を評価するプローバ装置等である。
計測装置30は、処理後の試料に関する計測値だけではなく、処理中に試料に作用するプラズマ、ガス、及び液体等の媒体、並びに処理中に発生する生成物を計測してもよい。媒体及び生成物は、試料の状態を直接的に表すものではないが、処理の状態及び処理の結果の相関を示す可能性がある物理量であるため、試料に関する情報として有用な情報となる。
例えば、計測装置30は、光スペクトル計測を用いたプラズマ発光モニタ、赤外分光計測を用いた処理室内の堆積物モニタ、質量分析器を用いた処理対象から放出された原子及び分子モニタ、並びに探針を用いた処理室内の電気特性モニタ等でもよい。
また、計測装置30は、電圧、電流、及びパワー等の電源出力値、整合器内のコンデンサ及びコイル等の可変電気素子の値、各種使用ガスの流量、装置躯体及び装置構成部品の温度、処理室内の圧力、圧力制御弁の開度、バルブ開閉状態、ガス排気速度、並びに、処理及び装置の動作タイミング及び動作時刻等を取得するセンサでもよい。
なお、図1では、計測装置30及び処理装置20は、別々の構成として記載しているが、処理装置20に計測装置30を組み込んでもよい。また、試料処理システムは、計測する値が同一又は異なる複数の計測装置30を含んでもよい。
解析システム40は、代用試料の処理条件及び処理出力の相関関係を解析し、また、目標処理出力101に基づいて、実試料に対して行われる処理の処理条件である目標処理条件150を算出する。解析システム40は、解析部130、代用試料DB131、及びモデルDB132を有する。
解析部130は、代用試料に対して行われる処理の処理条件及び代用試料の処理出力の相関関係を解析するために、機械学習及び統計解析等の学習処理を実行する。機械学習は、例えば、カーネル回帰等の回帰分析及びニューラルネットワーク等を用いた処理である。統計解析は、例えば、相関分析及び主成分分析等を用いた処理である。
解析部130は、モデルDB132及び目標処理出力101に基づいて目標処理条件150を算出する。解析部130が実行する処理の詳細は後述する。また、解析部130は、代用試料DB131及びモデルDB132を管理する。
なお、解析部130からデータベースを管理する機能を分離して記憶部としてもよい。また、解析システム40とは別の装置が代用試料DB131及びモデルDB132を保持してもよい。
代用試料DB131は、代用試料に対して行われる処理の処理条件及び代用試料の処理出力を対応づけて管理するデータベースである。代用試料DB131には、例えば、代用試料の識別情報を格納するフィールド、代用試料の種別を格納するフィールド、処理条件を格納するフィールド、及び処理出力を格納するフィールドから構成されるエントリを含む。
モデルDB132は、任意の値の相関関係の定義情報であるモデルを管理するデータベースである。モデルDB132には、処理出力-処理条件モデル140及び実試料-代用試料モデル141が含まれる。
処理出力-処理条件モデル140は、代用試料に対して行われる処理の処理条件及び代用試料の処理出力の間の相関関係を定義するモデルである。後述するように、処理出力-処理条件モデル140は、解析部130が実行する学習処理によって生成される。
実試料-代用試料モデル141は、代用試料の処理出力及び実試料の処理出力の間の相関関係を定義するモデルである。実試料-代用試料モデル141は、予め生成されているものとする。
なお、本実施例は、実試料-代用試料モデル141の生成方法に限定されない。例えば、実試料-代用試料モデル141は、幾何光学シミュレーション、マクスウェル方程式を用いた波動光学シミュレーション、ナヴィエストークス方程式を用いた流体シミュレーション、及び分子動力学シミュレーション等を用いて生成されてもよいし、また、機械学習及び統計解析に基づいて生成されてもよい。実試料-代用試料モデル141は、当該処理装置と異なる処理装置の実験データに基づいて算出されたモデルでもよい。
なお、実試料-代用試料モデル141は、処理出力に含まれる要素を軸とする空間の全領域で成立するモデルでなくてもよい。例えば、実試料の処理出力の予測値の近傍領域でのみ成立するモデルでもよい。
なお、実試料-代用試料モデル141は、解析システム40によって生成されてもよいし、また、外部システムによって生成されてもよい。解析システム40が生成する場合、解析部130は、同一の処理条件の下で取得された実試料の処理出力及び代用試料の処理出力を用いた学習処理を実行することによって実試料-代用試料モデル141を生成できる。
実試料用端末50は、実試料に対して行われる処理の処理条件を入力する装置である。実試料用端末50は、解析システム40から入力された目標処理条件150を処理装置20に入力する。
なお、代用試料用端末10、実試料用端末50、及び解析システム40は、図2に示すような計算機200を用いて実現される。計算機200は、演算装置201、主記憶装置202、副記憶装置203、及びネットワークインタフェース204を有する。
演算装置201は、主記憶装置202に格納されるプログラムを実行する。演算装置201は、例えば、プロセッサ、GPU(Graphics Processing Unit)、及びFPGA(Field Programmable Gate Array)等である。演算装置201がプログラムにしたがって処理を実行することによって、特定の機能を実現するモジュールとして動作する。
主記憶装置202は、演算装置201が実行するプログラム及びプログラムによって使用される情報を格納する。また、主記憶装置202は、プログラムが一時的に使用するワークエリアを含む。主記憶装置202は、例えば、揮発性又は不揮発性のメモリである。主記憶装置202には、例えば、解析部130を実現するプログラムが格納される。
副記憶装置203は、永続的にデータを格納する装置である。副記憶装置203は、例えば、HDD(Hard Disk Drive)及びSSD(Solid State Drive)等である。副記憶装置203には、例えば、代用試料DB131及びモデルDB132が格納される。なお、副記憶装置203に解析部130等を実現するプログラムが格納されてもよい。この場合、演算装置201は、副記憶装置203からプログラムを読み出し、主記憶装置202にロードする。
ネットワークインタフェース204は、ネットワークを介して他の装置と接続するためのインタフェースである。
なお、計算機200は、キーボード、マウス、及びタッチパネル等の入力装置、並びに、ディスプレイ等の出力装置を有してもよい。
図3は、実施例1の解析システム40が実行する目標処理条件150の算出処理を説明するフローチャートである。図4及び図5は、実施例1の代用試料用端末10に表示されるGUIの一例を示す図である。
ユーザは、代用試料用端末10に表示されるGUI400を用いて学習処理及び目標処理条件150の算出処理の実行を指示する。ここで、GUI400について説明する。
GUI400は、学習処理欄410、目標処理出力欄420、モデル欄430、及び決定ボタン440を含む。また、学習処理欄410、目標処理出力欄420、及びモデル欄430には、欄への入力が有効であるか否かを示すランプ450が含まれる。欄への入力が有効である場合、ランプ450が点灯する。これによって、ユーザは、正しい値が入力できたことを確認できる。なお、ランプ450以外にポップアップの表示及び音声の再生等を行ってもよい。
学習処理欄410は、処理出力-処理条件モデル140を生成する学習処理の設定を行うための欄であり、学習処理種別欄411を含む。なお、学習処理欄410は、学習処理に使用する代用試料DB131の登録又は指定を行う欄を含んでもよい。
学習処理種別欄411は、代用試料DB131を用いた学習処理の具体的な演算方法を選択するための欄である。本実施例の学習処理種別欄411には、演算方法を選択するチェックボックスが表示される。
目標処理出力欄420は、目標処理出力101を設定するための欄であり、目標数値欄421を含む。なお、目標処理出力欄420には、目標処理出力101に含まれる要素が満たす条件を設定する欄が含まれてもよい。
目標数値欄421は、目標処理出力101として設定する数値を入力するための欄である。本実施例では、処理出力に含まれる各要素の数値が設定される。
モデル欄430は、実試料-代用試料モデル141を設定するための欄であり、手動入力欄431及び自動入力欄432を含む。
手動入力欄431は、実試料-代用試料モデル141として定義する、実試料の処理出力及び代用試料の処理出力の間の相関関係を入力するための欄である。本実施例の手動入力欄431には、相関モデルを選択するためのチェックボックスが表示される。任意の相関モデルを指定することによって、実試料の処理出力及び代用試料の処理出力の間の相関関係を定義できる。本実施例では、処理出力に含まれる要素単位で相関関係を定義できる。
自動入力欄432は、実試料-代用試料モデル141を生成する学習処理の設定を行うための欄である。自動入力欄432は、実試料の処理出力のデータベースを入力する欄、代用試料の処理出力のデータベースを入力する欄、及び学習処理の演算手法を入力する欄を含む。なお、自動入力欄432を用いて指定する二つのデータベースには、同一の処理条件の下で取得された処理条件が格納される。
決定ボタン440は、学習処理欄410、目標処理出力欄420、及びモデル欄430のいずれかの欄に入力された値を解析システム40に送信するための操作ボタンである。
ユーザが、学習処理欄410に値を入力し、決定ボタン440を操作した場合、代用試料用端末10は、学習処理欄410に設定された値を処理装置20に送信する。この場合、解析部130は、指定された学習処理の演算方法を設定する。
ユーザが、目標処理出力欄420に値を入力し、決定ボタン440を操作した場合、代用試料用端末10は、目標処理出力欄420に設定された値を含む目標処理出力101を処理装置20に送信する。
ユーザが、モデル欄430に値を入力し、決定ボタン440を操作した場合、代用試料用端末10は、モデル欄430に設定された値を含むモデルの生成要求を解析システム40に送信する。この場合、解析部130は、実試料-代用試料モデル141を生成し、又は、実試料-代用試料モデル141を更新する。
以上がGUI400の説明である。図3の説明に戻る。
解析部130は、代用試料用端末10から目標処理出力101を受け付ける(ステップS101)。
解析部130は、代用試料DB131を用いて学習処理を実行する(ステップS102)。解析部130は、学習処理の結果を処理出力-処理条件モデル140としてモデルDB132に格納する。
なお、解析部130は、代用試料DB131に登録された全てのデータを読み出してもよいし、指定された代用試料の種別に基づいて読み出すエントリを選択してもよい。
なお、既に処理出力-処理条件モデル140が生成されている場合、解析部130は、ステップS102の処理を省略できる。
次に、解析部130は、目標処理出力101及び実試料-代用試料モデル141を用いて、目標処理出力101に対応する代用試料の処理出力を算出する(ステップS103)。
例えば、実試料-代用試料モデル141が定量的な相関関係、すなわち、関数として与えられる場合、解析部130は、当該関数に目標処理出力101を代入することによって代用試料の処理出力を算出する。
なお、代用試料の処理出力は複数でもよい。例えば、処理出力の任意の要素について範囲を指定するモデルが設定されている場合、当該範囲に含まれる要素を含む処理出力が複数算出される。
なお、実試料の処理出力及び代用試料の処理出力の間の相関関係は、定量的な相関関係ではなく、定性的な相関関係でもよい。例えば、代用試料の処理出力の要素Aと、実試料の処理出力の要素Bとの間に、「要素Bが大きいほど要素Aも大きい」という定性的な相関関係が存在する場合を考える。要素Bが取り得る最大値B’が目標処理出力101として入力された場合、解析部130は、要素Aが取り得る最大値A’を代用試料の処理出力として算出する。
次に、解析部130は、代用試料の処理出力及び処理出力-処理条件モデル140を用いて、目標処理条件150を算出する(ステップS104)。
具体的には、解析部130は、処理出力-処理条件モデル140を用いて、代用試料の処理出力が得られる可能性がある代用試料の処理条件(推定処理条件)を算出する。
例えば、処理出力-処理条件モデル140が関数として与えられる場合、解析部130は、当該関数に代用試料の処理出力を代入することによって、推定処理条件を算出する。代用試料及び実試料は同一の処理装置20を用いて処理が行われることから、推定処理条件が目標処理条件150となる。
なお、解析部130は、目標処理条件150を入力処理条件100として代用試料用端末10に送信してもよい。繰り返し同様の演算処理を実行することによって、最適な処理条件を算出でき、また、新たな処理条件を発見することができる。
なお、解析部130は、処理出力-処理条件モデル140を用いなくてもよい。例えば、解析部130は、代用試料DB131を参照して、算出された代用試料の処理出力に一致又は類似するエントリを検索する。解析部130は、検索されたエントリに含まれる代用試料の処理条件を目標処理条件150として算出する。
次に、解析部130は、目標処理条件150を含む表示情報を生成し、生成された表示情報を実試料用端末50に送信する(ステップS105)。なお、解析部130は、目標処理条件150を副記憶装置203に格納し、実試料用端末50から表示要求を受け付けた場合に目標処理条件150を読み出して、表示情報を生成してもよい。
実試料用端末50は、表示情報を受信した場合、図5に示すようなGUI500を表示する。ここで、GUI500について説明する。
GUI500は、結果表示欄510及び実行ボタン520を含む。
結果表示欄510は、目標処理条件150の算出処理の結果を表示する欄である。結果表示欄510には、目標処理出力101を格納するフィールド及び目標処理条件150を格納するフィールドから構成されるエントリが一つ以上含まれる。エントリにはラジオボタンが含まれる。目標処理出力101は画像として表示されてもよし、数値として表示されてもよい。
実行ボタン520は、処理装置20に目標処理条件150を入力するための操作ボタンである。ユーザが、結果表示欄510に含まれるエントリのラジオボタンを操作し、さらに、実行ボタン520を操作した場合、ラジオボタンが操作されたエントリの目標処理条件150が処理装置20に入力される。処理装置20は、目標処理条件150を受け付けた場合、目標処理条件150に基づいて実試料に対して処理を行う。
ここで、解析システム40が実行する演算処理について説明する。図6は、実施例1の目標処理条件150の算出処理の概念を説明する図である。ここでは、説明の簡単のために、処理出力-処理条件モデル140及び実試料-代用試料モデル141がそれぞれ関数で与えられるものとする。
図6の楕円は、それぞれ、実試料処理出力空間601、実試料処理条件空間602、代用試料処理出力空間611、及び代用試料処理条件空間612を示す。
実試料処理出力空間601及び代用試料処理出力空間611は、処理出力に含まれる要素を軸とする空間を表す。なお、実試料処理出力空間601及び代用試料処理出力空間611の次元は必ずしも一致しない。代用試料処理出力空間611の次元は、実試料処理出力空間601の次元より低くてもよい。
実試料処理条件空間602及び代用試料処理条件空間612は、処理条件に含まれる要素を軸とする空間を示す。実試料処理条件空間602及び代用試料処理条件空間612は同一の次元の空間であり、二つの空間は同一視できる。
解析システム40は、実試料処理出力空間601及び代用試料処理出力空間611の間の写像関係(相関関係)を示す実試料-代用試料モデル141を保持する。
解析システム40は、ステップS103において、代用試料処理出力空間611及び代用試料処理条件空間612の間の写像関係(相関関係)を示す処理出力-処理条件モデル140を生成する。
解析システム40は、ステップS104において、実試料処理出力空間601の任意の元を入力として受け付ける。
解析システム40は、ステップS105において、実試料-代用試料モデル141を用いて、実試料処理出力空間601の元を代用試料処理条件空間612に写像する。代用試料処理条件空間612の元が代用試料の処理出力となる。
解析システム40は、処理出力-処理条件モデル140を用いて、代用試料処理条件空間612の元を代用試料処理条件空間612に写像する。実試料処理条件空間602は、代用試料処理条件空間612と同一視できることから、代用試料処理条件空間612の元は、実試料処理条件空間602の元と見なすことができる。したがって、解析システム40は、当該元を目標処理条件150として出力する。
次に、実試料、代用試料、及び実試料-代用試料モデル141の具体例を説明する。ここでは、プラズマエッチング処理を行う試料を例に説明するが、エッチング処理に用いるエッチャントは気体ではなく液体でもよい。
まず、実試料の処理出力に含まれる全要素と、代用試料の処理出力に含まれる全要素との間に定量的な相関関係が定義できる場合について説明する。図7A及び図7Bは、実施例1の実試料の一例を示す図である。図8A及び図8Bは、実施例1の代用試料の一例を示す図である。
図7Aは、トレンチ構造を形成するためのエッチング処理を行う実試料のマスク付パターンを示す。図7Bは、実試料をAB点線で切断した断面(YZ平面)をX軸の正方向に見た断面図を示す。
図8Aは、図7に示す実資料のマスク部と同一素材で一部が制膜され、その他の部分がトレンチ部と同一素材で制膜されたウエハを示す。図8Bは、代用試料をAB点線で切断した断面(YZ平面)をX軸の正方向に見た断面図を示す。なお、実試料のマスク部と同一素材のチップ又は実試料のマスク部と同一素材を製膜したウエハを切断したチップを基盤となるウエハ上に固定した試料を代用試料として用いることもできる。
図7Bに示すマスク部及びトレンチ部の上方の点線は、処理前のマスク部及びトレンチ部の位置を示す。また、図8Bに示すマスク部及び被エッチ膜の上方の点線は、処理前のマスク部及び被エッチ膜の位置を示す。
実試料の形状を特徴づける物理量には、マスク部のエッチングレート及びトレンチ部のエッチングレートがある。また、代用試料の形状を特徴づける物理量には、マスク部のエッチングレート及びトレンチ部のエッチングレートがある。
以下の説明では、実試料のマスク部のエッチングレート及びトレンチ部のエッチングレートをそれぞれm1及びs1と定義し、また、代用試料のマスク部のエッチングレート及びトレンチ部のエッチングレートをそれぞれm2及びs2と定義する。また、m1及びs1が実試料の処理出力に含まれ、また、m2及びs2が代用試料の処理出力に含まれるものとする。
プラズマエッチング処理において、m1及びs1を目標処理出力101に調節するための目標処理条件150の算出方法を説明する。なお、m1及びs1が満たす条件として式(1)に示す条件が設定されているものとする。
Figure 0007108562000001
実試料が図7Aに示すように微細パターンである場合、回折光の確認及び実効的複素屈折率の計測は困難であるため、光学計測器を用いたm1及びs1の計測も困難である。そのため、実試料の断面情報を計測する必要がある。実試料の断面情報を計測するためには、処理装置20から実試料を取り出し、さらに、断面情報を計測するための加工が必要である。したがって、学習用のデータを取得するには時間がかかり、また、高額になる。
一方、代用試料は、図8Bに示すようにウエハ及びチップの被エッチ膜の下に下地膜が存在するため、光の干渉を利用してm2及びs2を計測できる。処理装置20に内蔵された膜厚測定機器を用いた場合、圧膜を計測するための、処理装置20から代用試料の取り出し、及び代用試料の加工が必要ない。したがって、実試料から学習用のデータを取得するよりも、高速かつ低額で学習用のデータを取得できる。
なお、処理装置20に計測装置30が内蔵されていない場合でも、スタンドアロンの光学測定が可能であるため、代用試料の加工が必要ない。
図7Aに示す実試料及び図8Aに示す代用試料の実試料-代用試料モデル141としては以下のようなものが考えられる。
実試料及び代用試料が同一素材で構成されているため、処理条件が同一の場合、式(2)及び式(3)に示すような数式を実試料-代用試料モデル141として定義できる。
Figure 0007108562000002
Figure 0007108562000003
ここで、式(1)を満たす目標処理出力101として、m1=1nm/min、s1=100nm/minを解析システム40に入力した場合、解析システム40は、式(2)及び式(3)に示すような実試料-代用試料モデル141から、例えば、代用試料の処理出力としてm2=1nm/min、s2=100nm/minを算出する。
なお、マスク部と同一素材のチップを用いた代用試料を例に説明したが、これに限定されない。例えば、マスク部と類似した加工特性を示す素材、又はマスク部の加工形状と相関を持つ素材も適用可能である。
マスク部と類似した加工特性を示す素材を適用した代用試料の場合には、式(2)及び式(3)に示すような実試料-代用試料モデル141を用いることができる。また、マスク部の加工形状と相関を持つ素材であって、当該相関が既知である場合、当該相関を実試料-代用試料モデル141として用いることができる。前述の相関が既知でない場合、実験データを用いた学習処理から求めた実試料-代用試料モデル141を設定できる。
次に、実試料の処理出力に含まれる一部の要素と、代用試料の処理出力に含まれる一部の要素との間に定量的な相関関係が定義できる場合について説明する。図9A及び図9Bは、実施例1の実試料の一例を示す図である。図10A及び図10Bは、実施例1の代用試料の一例を示す図である。図11は、実施例1の代用試料の物理量の計測方法の一例を示す図である。
図9Aは、トレンチ構造を形成するためのエッチング処理を行う実試料のマスク付パターンを示す。図9Bは、実試料をAB点線で切断した断面(YZ平面)をX軸の正方向に見た断面図を示す。
図10Aは、ウエハ上に屋根が設置された代用試料(小屋付試料)を示す。屋根の上部は光を透過する透明な素材で構成されており、屋根は、3側面において壁で支持されて小屋が形成されている。すなわち、ウエハと屋根の間は1面が開放している隙間部を形成し、他の3面が壁によって離隔されているこのため、図10Aに示す代用試料は、隙間部を形成する1面からエッチャント(エッチング粒子)が小屋内(屋根の下)の空間に流入可能となっている片側開口サンプルである。代用試料の被エッチ膜は、実試料のトレンチ部と同一素材であるものとする。図10Bは、代用試料をAB点線で切断した断面(XZ平面)をY軸の負方向に見た断面図を示す。代用試料は、図10Bに示すように空隙構造となっている。また、図10Bに示す点線は処理前の被エッチ膜の位置を示す。
実試料の形状を特徴づける物理量には、トレンチ部の頂点部分の幅、トレンチ部の中央部分の幅、及びトレンチ部の底面部分の幅、並びに実試料の深さがある。代用試料の形状を特徴づける物理量には、小屋外部のエッチング量、小屋内部のエッチング量、及び小屋内部の減衰の長さがある。
以下の説明では、実試料のトレンチ部の頂点部分の幅、トレンチ部の中央部分の幅、トレンチ部の底面部分の幅、及び実試料の深さを、それぞれ、w1、w2、w3、及びhと定義する。また、代用試料の小屋外部のエッチング量、小屋内部のエッチング量、及び小屋内部のエッチング量の減衰の長さを、それぞれ、o、i、及びdと定義する。また、w1、w2、w3、及びhが実試料の処理出力に含まれ、また、o、i、及びdが代用試料の処理出力に含まれるものとする。
プラズマエッチング処理において、w1、w2、w3、及びhを目標処理出力101に調節するための目標処理条件150の算出方法を説明する。なお、w1、w2、w3、及びhが満たす条件として、w1、w2、及びw3はほぼ等しく、かつ、hが最大、という条件が設定されるものとする。
図7A及に示す実資料と同様に、図9Aに示す実試料は、光学計測器を用いてw1、w2、w3、及びhを計測するのは難しく、また、学習用のデータを取得するには時間がかかり、また、高額になる。
一方、図11に示すように、代用試料の小屋は透明であるため、光の干渉を用いた膜厚測定等により、任意の計測位置から形状を表す物理量を計測できる。具体的には、計測装置30は、光源1101から光を照射し、被エッチ膜及び下地膜からの反射光を検出器1102を用いて検出する。このように、処理装置20からの代用試料の取り出し、及び代用試料の加工を行うことなく処理出力を取得できる。したがって、実試料から学習用のデータを取得するよりも、高速かつ低額で学習用のデータを取得できる。
なお、o、i、及びdの計測は、代用試料のY軸に平行な小屋開口中央部の近傍で行うことが望ましい。ただし、小屋の両端から内側に十分離れた部分におけるX軸方向のエッチング量の分布が均一な場合には、任意の位置でo、i、及びdを計測してもよい。
図9Aに示す実試料及び図10Aに示す代用試料の実試料-代用試料モデル141としては以下のようなものが考えられる。
dが大きい場合、小屋の内側のエッチングレートは減衰しにくくなるため、dの増大に伴って小屋の内側では均一なエッチング量に近づく。一方、dが小さい場合、小屋の内側のエッチングレートは直ちに減衰するため、小屋の開口部分の周辺は、他の部分よりエッチング量が増加する。
図9B及び図10Bに示すように、実試料及び代用試料は類似する溝構造を有する。したがって、上記の代用試料の性質は、実試料の性質と以下のような関係が存在する。実試料のiが大きい場合、実試料の水平方向のエッチングレートも大きくなると考えられるため、w1、w2、及びw3の差が増加する傾向になることが予想される。代用試料のdが小さい場合、マスク部直下の被エッチ膜のみにエッチング反応が進むため、w1はw2及びw3より増加する傾向になることが予測される。したがって、実試料のw1、w2、及びw3と、代用試料のd及びiとの間の上記のような性質を実試料-代用試料モデル141として定義する。
また、実試料のh及び代用試料のoは、障害物(マスク)が存在しない被エッチ膜に対してエッチングが行われることから、式(4)に示すような数式を実試料-代用試料モデル141として定義できる。
Figure 0007108562000004
上記のように、実試料の処理出力及び代用試料の処理出力の一部の要素間に定量的な関係が定義されない実試料-代用試料モデル141であっても、本実施例を適用できる。
例えば、解析システム40は、hの値を含む目標処理出力101が入力された場合、式(4)を満たす代用試料の処理出力を検索する。解析システム40は、上記の性質(実試料-代用試料モデル141)に基づいて、検索された代用試料の処理出力の中から、oが大きく、iが小さく、かつ、dが大きい代用試料の処理出力を検索する。さらに、解析システム40は、検索された代用試料の処理出力及び処理出力-処理条件モデル140を用いて、目標処理条件150を算出する。以上のような演算処理を実行することによって、深い垂直形状の実試料を得るための処理条件を算出できる。
なお、透明な屋根を有する代用試料を例に説明したが、これに限定されない。例えば、不透明な屋根を有する代用試料を用いることができる。この場合、代用試料を装置から取り出し、小屋全体又は光計測時の光路として使用する空間にあたる小屋の一部を取り外すことで光干渉膜厚を測定できる。したがって、実試料を用いる場合よりも高速かつ低額で学習用のデータを取得できる。
なお、実試料のトレンチ部と同一の素材で成膜されたウエハを代用試料として用いたがこれに限定されない。例えば、トレンチ部と類似した加工特性を示す素材、又はトレンチ部の加工形状と相関を持つ素材も適用可能である。
次に、代用試料DB131の生成方法について説明する。図12は、実施例1の解析システム40が実行する代用試料DB131の生成処理の一例を示す図である。図13及び図14は、実施例1の代用試料用端末10に表示されるGUIの一例を示す図である。
代用試料用端末10を使用するユーザは、図13に示すGUI1300を用いて代用試料DB131を生成するための初期設定を行う。ここで、GUI1300について説明する。
GUI1300は、DB基準欄1301、入力処理条件欄1302、実行ボタン1303、及びランプ1304を含む。
DB基準欄1301は、構築する代用試料DB131の基準を設定するための欄であり、入力されたデータの総数及び平均変動係数に対する基準を設定する欄を含む。ここで、平均変動係数は、代用試料処理出力の各変数に対して変動係数を算出し、全変数の平均をとったものとする。
入力処理条件欄1302は、入力処理条件100を設定するための欄である。なお、具体的な値を入力する代わりに、入力処理条件100を自動的に生成する手段を選択する欄を設けてもよい。例えば、実験計画法、ランダムな選択方法、又は後述する疎領域に基づく選択方法等を選択できる。複数の選択手段を組み合わせてもよい。
実行ボタン1303は、代用試料DB131の生成処理の実行を指示するための操作ボタンである。
ランプ1304は、DB基準欄1301又は入力処理条件欄1302への入力が有効であるか否かを示す。なお、ランプ1304以外にポップアップ表示及び音声の再生等を行ってもよい。なお、入力処理条件欄1302にのみ有効な値が入力された場合にもランプ1304が点灯するものとする。
ユーザが各欄に値を設定し、実行ボタン1303を操作した場合、代用試料用端末10は、入力処理条件100を処理装置20に送信し、また、解析システム40に代用試料DB131の生成要求を送信する。生成要求には、データの総数、平均変動係数、及び入力処理条件100等が含まれる。
解析部130は、代用試料DB131の生成要求を受信した場合、以下で説明する代用試料DB131の生成処理を開始する。
まず、解析部130は、受信した生成要求に含まれる情報を取得する(ステップS201)。このとき、解析部130は、生成要求に含まれるデータの総数及び平均変動係数を、代用試料DB131の基準として保持する。また、解析部130は、生成要求に含まれる入力処理条件100を一時的に保持する。
次に、解析部130は、処理装置20から代用試料の処理出力を取得する(ステップS202)。なお、当該処理出力は、入力処理条件100に基づいて行われた処理の処理出力である。
次に、解析部130は、代用試料DB131にデータを登録する(ステップS203)。具体的には、解析部130は、代用試料の処理条件及び処理出力を対応づけて代用試料DB131に登録する。
次に、解析部130は、代用試料DB131が基準を満たしているか否かを判定する(ステップS204)。具体的には、以下のような演算処理が実行される。
解析部130は、データの総数及び平均変動係数を0に設定する。
解析部130は、代用試料DB131を参照し、登録されているエントリの数をデータの総数として保持する。
解析部130は、代用試料DB131を参照して、代用試料処理出力空間611における処理出力に対する平均変動係数を算出する。解析部130は、算出された平均変動係数の値を保持する。
解析部130は、データの総数が指定された数より大きく、かつ、平均変動係数が指定された数値より大きいか否かを判定する。前述の条件を満たす場合、解析部130は、代用試料DB131が基準を満たしていると判定する。以上がステップS204の処理の説明である。
代用試料DB131が基準を満たしていないと判定された場合、解析部130は、ステップS201に戻り、同様の演算処理を実行する。このとき、解析部130は、代用試料用端末10に新たな処理条件の入力を促す表示を行う。
代用試料DB131が基準を満たしていると判定された場合、解析部130は、代用試料DB131の生成処理を終了する。このとき、解析部130は、図14に示すようなGUI1400を表示するための表示情報を生成し、代用試料用端末10に表示情報を送信する。ここで、図14に示すGUI1400について説明する。
GUI1400は、結果表示欄1401、終了ボタン1402、及び再実行ボタン1403を含む。
結果表示欄1401は、生成された代用試料DB131に関する情報を表示する欄である。図14では、データの総数及び平均変動係数が表示される。なお、代用試料DB131に登録されたエントリの詳細を表示してもよい。
終了ボタン1402は、代用試料DB131の生成処理を終了するための操作ボタンである。ユーザが終了ボタン1402を操作した場合、GUI1400が閉じられる。なお、解析部130は、終了ボタン1402が操作された場合に、代用試料DB131の生成処理を終了するようにしてもよい。
再実行ボタン1403は、再度、代用試料DB131の生成処理を実行させるための操作ボタンである。ユーザが再実行ボタン1403を操作した場合、GUI1300が表示される。
なお、代用試料DB131の基準としては以下のような基準も採用できる。代用試料DB131に目標とする代用試料の処理出力と同一である場合、又は、代用試料処理出力空間611において目標とする代用試料の処理出力と距離が近い処理出力が存在する場合、代用試料DB131が基準を満たしていると判定する。この基準を採用することによって、目標処理条件150の算出精度が高い代用試料DB131を生成できる。
図15は、実施例1の解析システム40が実行する代用試料DB131の更新処理の一例を説明するフローチャートである。図16は、実施例1の代用試料処理出力空間611の分割方法の一例を示す図である。図17は、実施例1の代用試料用端末10に表示されるGUIの一例を示す図である。
代用試料用端末10を使用するユーザは、図17に示すGUI1700を用いて代用試料DB131を更新するための設定を行う。ここで、GUI1700について説明する。
GUI1700は、DB基準欄1701、疎領域欄1702、学習処理種別欄1703、決定ボタン1704、及びランプ1705を含む。
DB基準欄1701は、代用試料DB131の基準を設定するための欄であり、入力されたデータの総数及び平均変動係数を設定する欄を含む。
疎領域欄1702は、入力処理条件100の選択基準となる疎領域を設定するための欄であり、代用試料処理出力空間611の分割数、分割領域に含まれるデータの数、及び追加する入力処理条件100の上限数を設定する欄を含む。分割領域に含まれるデータの数は、分割領域が疎領域であるか否かを判定するための指標として用いられる。
学習処理種別欄1703は、代用試料DB131を用いた学習処理の具体的な演算方法を選択するための欄である。本実施例の学習処理種別欄1703には、演算方法を選択するチェックボックスが表示される。
決定ボタン1704は、各欄の入力を登録するための操作ボタンである。
ランプ1705は、DB基準欄1701、疎領域欄1702、及び学習処理種別欄1703への入力が有効であるか否かを示す。なお、ランプ1705以外にポップアップ表示及び音声の再生等を行ってもよい。
ユーザが各欄に値を設定し、決定ボタン1704を操作した場合、代用試料用端末10は、設定情報を処理装置20に送信する。
解析部130は、代用試料DB131の生成処理が終了した場合、設定情報を受信した場合、又はユーザから更新指示を受信した場合、以下で説明する代用試料DB131の更新処理を実行する。なお、解析部130は、周期的に、代用試料DB131の更新処理を実行してもよい。
まず、解析部130は、疎領域欄1702に設定された値に基づいて、代用試料処理出力空間611を複数の分割領域に分割する(ステップS301)。例えば、代用試料処理出力空間611が二次元の場合、図16に示すように代用試料処理出力空間611が分割される。
次に、解析部130は、疎領域欄1702に設定された値に基づいて、分割領域の中から疎領域を特定する(ステップS302)。
具体的には、解析部130は、分割領域内に含まれる処理出力の数を基準に疎領域を特定する。例えば、図16に示す例では、代用試料処理出力空間611に含まれる分割領域のうち、処理出力を表す点が存在しない斜線を施した分割領域が疎領域として特定される。
なお、疎領域の数が多い場合、解析部130は、一部の疎領域を選択してもよい。選択方法としては、ランダムに選択する方法、又は目標とする代用試料の処理出力が含まれる分割領域の近傍に存在する分割領域を選択する方法等が考えられる。後者の選択方法を採用した場合、目標処理条件150の予測精度を向上できる可能性がある。
また、別の方法としては、解析部130は、処理出力の数が少ない順に所定の数の分割領域を疎領域として特定する。
なお、疎領域の特定基準及び特定方法は一例であってこれに限定されない。
次に、解析部130は、疎領域から任意の処理出力を決定する(ステップS303)。例えば、疎領域からランダムに決定する方法、又は、疎領域内の相対的な位置から決定する方法が考えられる。
次に、解析部130は、学習処理種別欄1703に設定された値及び代用試料DB131に基づいて、学習処理を実行し(ステップS304)、処理出力-処理条件モデル140を生成する。
なお、既存の処理出力-処理条件モデル140が存在する場合、ステップS304の処理を省略してもよい。
なお、解析部130は、生成された処理出力-処理条件モデル140の精度を求めて、当該精度が閾値より小さい場合、再度学習処理を実行するようにしてもよい。例えば、解析部130は、交差検証を行って、検証誤差が閾値より大きいか否かを判定することによって、処理出力-処理条件モデル140の精度を評価する。
次に、解析部130は、ステップS303において決定された処理出力及び処理出力-処理条件モデル140に基づいて、入力処理条件100を算出する(ステップS305)。
次に、解析部130は、入力処理条件100を処理装置20に入力する(ステップS306)。
次に、解析部130は、処理装置20から代用試料の処理出力を取得する(ステップS307)。
次に、解析部130は、代用試料DB131を更新する(ステップS308)。具体的には、解析部130は、入力処理条件100及び受信した代用試料の処理出力を対応づけて代用試料DB131に登録する。
次に、解析部130は、DB基準欄1701に設定された値に基づいて、代用試料DB131が基準を満たしているか否かを判定する(ステップS309)。ステップS309の基準は、ステップS205の基準と同様の基準を適用できる。
代用試料DB131が基準を満たしていないと判定された場合、解析部130は、ステップS301に戻り、同様の演算処理を実行する。
代用試料DB131が基準を満たしていると判定された場合、解析部130は、代用試料DB131の更新処理を終了する。
以上で説明したように、本実施例によれば、解析システム40は、実試料から学習用のデータを取得し、学習を行う必要がない。すなわち、解析システム40は、実試料の目標処理出力101から実試料の目標処理条件150を算出できる。本実施例では、実試料より安価かつ計測が容易にできる代用試料を用いて学習用のデータを収集し、取得したデータを用いて学習処理を実行することによって高速かつ低額で実試料の処理条件を求めることができる。すなわち、プロセス開発のコストを抑えて、高精度の処理条件を求めることができる。
さらに、代用試料の処理出力に含まれる要素の数は、実試料の処理出力に含まれる要素の数以下でもよいため、計測時間及び解析時間等を短くできる。
次に、本発明の他の実施形態を述べる。
まず、図1に示した解析システム40内の実試料-代用試料モデルについて補足すると、同じ処理条件に対する実試料出力と代用試料出力のDBがある場合は、そのDBをモデルDB132に保存し、解析部130に入力して解析部130の持つ解析手法により相関を学習することができる。この結果をモデルDB132に保存することで、実試料-代用試料関係モデルを保存することができる。
また、実試料-代用試料関係モデルが、定量的な関係ではなく定性的な対応関係である場合でも、目標代用試料出力を決定することは可能である。例えば、代用試料出力の変数Aと、実試料出力の変数Bに対し、Bが大きいほどAも大きいという定性的な実試料-代用試料関係モデルがある場合を考える。また、Bのとりうる最大値であるB*を目標実試料出力とする場合を考える。この際、目標実試料出力に対応する代用試料出力は、Aのとりうる最大値A*であると決定することができる。
そして、目標の実試料出力を与える処理条件を出力において、求められた目標の代用試料出力を与える処理条件となる解を、解析で得られた代用試料に対する試料出力―処理条件モデルを用いて推定する。また、試料出力―処理条件モデルを用いずに、求められた目標の代用試料出力に最も近い代用試料出力を持つデータを、モデルDB132に保存された代用試料データベースから参照し、そのデータが持つ処理条件を解として出力してもよい。以上より、実試料の数が限られており、実試料を用いた条件探索が十分に行えない場合において、代用試料DB、及び事前に判明している実試料-代用試料関係モデルを用いることで、目標の処理条件を探索することができる。
また、図15にて説明した追加処理条件決定手順において、全疎領域の中から、得られる目標代用試料出力の座標が含まれる疎領域あるいはその座標近傍の疎領域を指定することも可能である。このように疎領域を指定することで、目標代用試料出力付近のデータを集中的に増加させ、目標の実試料処理条件の予測精度が向上させることができる。また、DBの基準の一例を説明する。得られる目標代用試料出力のデータ点やその近傍データがDBに含まれている場合に、基準を満たしていると判定することができる。この基準により、目標代用試料出力付近のデータを含むDBを構築することができる。
また、図8A、図8B、図10A、及び図10Bでは、代用試料をプラズマに暴露した際の被エッチ膜の加工特性を用いて説明を行った。代用試料は、小屋の開口部近傍における媒体の特性を計測することができる。その方法は、小屋内外の各対象膜が加工された様子、すなわち小屋外部のエッチング量o、小屋内部のエッチング量i、小屋内部のエッチング量の減衰の特徴的長さd、又は小屋の奥から開口部に向かう被エッチング膜の厚さの減衰の特徴的長さdを計測することである。
したがって、処理室内又は小屋開口部近傍における媒体は、プラズマ以外にも、腐食ガス、ラジカルを含むガス、加工対象膜と反応するミスト、エアロゾル等がある。
また、媒体がプラズマの場合には、代用試料の表面にはシースが形成されるため、プラズマ中の正イオンが代用試料表面へ入射する際には、ウエハに対して垂直方向に加速されて入射する。したがって、小屋の外では、プラズマからの正イオン、ラジカル及びプラズマ生成用の原料ガスが入射する。それに対して、小屋内の空隙には、正イオンの入射は抑制され、プラズマ生成用の原料ガス及びラジカルのみが拡散する。そのため、小屋の内外のエッチング量を計測することで、プラズマの特性を計測することができる。
ただし、代用試料の表面に沿う形でシースは形成されるため、屋根(小屋カバー)の開
口部周辺では、シースが歪むため注意が必要である。このシースの歪みについては、図24を用いて後述する。なお、屋根は本願明細書中において小屋カバーとも称する。
図24は、撮像素子2610から、レンズ2620、小屋カバー2700、及びウエハ2000に渡る破線は膜厚計測に用いる干渉光の光路の概要である。ウエハ2000の表面上に破線で描かれた円の部分が観測領域となる。レンズ2620の焦点距離、及び撮像素子2610の面積を変更することで、観測領域の面積を変更することが可能である。
観測領域を、小屋カバー以上に広くすることで、小屋カバー以外の場所における被エッチ膜の厚さを計測することができる。
また、ウエハ2000上には小屋カバーを複数設置することが可能であり、それにより小屋カバー内部及び小屋カバー外部の被エッチ膜の厚について、ウエハ面内での分布を計測することができる。
ウエハ2000の表面には、図8B及び図10Bと同様に、下地膜及び被エッチ膜が積層されている。
被エッチ膜へ光を照射することで、被エッチ膜から放射される干渉光を計測することで、被エッチ膜の厚さを計測することができる。
被エッチ膜へ照射する光には、処理室の外部に光源を設置する方法、及び処理室2001の内部で発生する光を用いることができる。処理室内で発生する光には、プラズマ処理中に発生するプラズマ光を用いることができる。なお、外部の光源を用いる方法については、図21及び図22にて説明する。
光源としてプラズマ光等の広帯域光を用いる場合、干渉光より膜厚を計測するためには、分光計測をする必要がある。光学フィルタ2630により、カメラへ入射する波長を限定することで、分光計測ができる。光学フィルタ2630としてバンドパスフィルタ、又はローパスフィルタ及びハイパスフィルタを組み合わせることで、カメラへ入射する光の帯域を限定することができる。
レンズ2620の変更や、光学フィルタ2630から撮像素子2610の間にレンズを追加することで、より広角での計測を可能とすることで、観測領域を拡大することが可能である。観測領域を広くするほど、より広い範囲での分布計測が可能となる。
ただし、広角での計測では、光学フィルタ2630を干渉光が通過する際に、干渉光の光路の中心軸から光路が離れるにつれて、光学フィルタ2630に対する入射角度が減少する。多層膜が積層されたバンドパスフィルタは、入射角が90°から減少するにつれ通過光の波長がシフトし、さらに透過効率が低下するため、注意が必要である。観測領域内の各所からの干渉光が光学フィルタ2630を通過する際の角度をあらかじめ見積もり、光学フィルタ2630の透過波長の入射角度依存性を用いて、実際の透過波長を計算することで、高精度かつ広い観測領域における被エッチ膜の厚さを計測することができる。
また、広角での計測では、被エッチ膜へ入射する光源からの光についても、被エッチ膜に対して斜めに入射するため、入射角度によって、被エッチ膜内の光路が変化する。そのため、観測領域の各所からの干渉光強度も、被エッチ膜に対する光源からの光の入射角度依存性を持つ。したがって、被エッチ膜への光が入射した際に発生する干渉光強度の角度依存性を見積もり、計測対象となる波長の光の干渉強度を計算することで、高精度かつ広い観測領域における被エッチ膜の厚さを計測することができる。
上記の光学フィルタ2630に対する光の入射角度依存性、及び被エッチ膜に対する光の入射角度依存性を見積もり、それを使用する代わりに、被エッチ膜の膜厚変化時の実際の干渉光強度分布を計測し、その際の各計測位置における干渉光強度の経時変化データを、データベースとして使用することで、被エッチ膜の厚さを計測する方法がある。干渉光の計測位置及び計測時間に対して、実際の膜厚を紐付けておくことで、データベース取得時と同一膜種の被エッチ膜の膜厚を計測した際の干渉光とデータベースを比較することで、被エッチ膜の膜厚分布を高精度に計測することができる。
撮像素子2610の各素子に入射する光を分光することができるハイパースペクトルカメラを使用することで、光学フィルタ2630を使用する必要がなくなる。また、光源として広帯域光を用いることで、多波長に渡る分光計測結果を取得することができるため、膜厚計測の精度が向上できる。
また、被エッチ膜、及びその前後の積層膜又は空間の屈折率と消失係数の関係から、干渉光を計測可能な波長は限定される場合があるが、複数の波長を計測することで、膜厚計測可能な波長を使用することができる。
なお、波長を簡易に選択して干渉膜を計測する方法としては、フィルターホイール(フィルターチェンジャー)に透過波長の異なるフィルタをセットしておき、フィルタを選択することが可能である。
A-A’と平行となる、すなわち小屋カバーの開口部から奥へ向かう軸方向をX軸とすると、小屋カバー内の被エッチ膜の膜厚分布のX軸方向分布を計測することで、開口部近傍における、被エッチ膜を加工するプラズマ等の媒体の特性を評価することができる。
さらに、小屋カバー開口部近傍の被エッチ膜を加工するプラズマ等の特性が均一な場合においては、小屋の両サイドから離れた位置では、任意の位置で、被エッチ膜厚のX軸方向分布の絶対値は同様の値となる。即ち、小屋の中央部において、任意の位置において軸方向分布を計測することができる。
また、小屋カバーの空隙がある範囲内であれば任意の位置で、X軸方向分布の計測及び特性評価が可能である。したがって、1軸を計測すれば十分な場合には、ラインスキャンタイプのカメラを使用することが可能である。
上記のカメラを用いた方式では、撮像素子の画素数を上限として、観測領域内において非常に多点での代用試料出力を取得することができる。
図19では、レンズ2620から撮像素子2610までの光路をテレセントリックにするレンズ2620を用いている。光学フィルタ2630をテレセントリック光路部に設置することで、干渉光の光学フィルタ2630への入射角度を垂直にすることができる。これにより、光学フィルタ2630へ干渉光が斜めに入射した際に発生する透過波長のシフト及び減衰を回避することができる。加えて、撮像素子と同様のサイズとなる小さな光学フィルタ2630を使用することができる。
図20では、レンズ2620からウエハへ向かう光路をテレセントリックにするレンズ2620を用いている。光学フィルタ2630をテレセントリック光路部に設置することで、干渉光の光学フィルタ2630への入射角度を垂直にすることができる。これにより、光学フィルタ2630へ干渉光が斜めに入射した際に発生する透過波長のシフト及び減衰を回避することができる。なお、レンズ2620は、カメラ内に搭載されているレンズに追加レンズを追加した構成とすることができる。また、カメラ内部のレンズを目的の光路を得るためのレンズに交換する方法、又は追加レンズのみを使用して目的の光路を得ることができる。
図21では、レンズ2620の前後の光路をテレセントリックにするレンズ2620を用いている。また、レンズ2620からウエハへ向かう光路にハーフミラー640を設置し、ハーフミラー2640へ光源2650からの光を入射させている。この構成により、光源2650からの光はハーフミラー2640からウエハへ垂直に向かい観測領域において干渉光を発生させる。観測領域からの干渉光は再びハーフミラー2640へ戻り、ハーフミラー2640を透過した光をカメラで計測することができる。
なお、図21の方式では、光源の光と干渉光の光路が、カメラ2600からウエハ2000の間で同軸となっているため、レンズ2620からウエハ2000の光路を広角にした場合には、光路が広角になるほどカメラで計測可能な干渉光、及び観測範囲が大幅に減少することに注意が必要である。
ハーフミラー2640の位置はレンズ2620から撮像素子側に設置することもできる。その場合には、撮像素子と同様のサイズとなる小さなハーフミラー2640を使用することができる。
光源2650の波長帯域、及びハーフミラー2640の透過と反射の波長帯域は、光学フィルタ2630の透過帯域と同程度、又は広くする必要がある。また、前述したハイパースペクトルカメラ等を用いることで、多波長の同時分光計測を行う場合には、光源2650を広帯域光源とすることが望ましい。加えて、ハーフミラーの透過と反射の波長帯域は、光源2650の帯域と同程度、又は広くすることが望ましい。
光源2650としては、LED、Xeランプ、ハロゲンランプ、重水素ランプ、蛍光灯、レーザを用いることができる。ただし、レーザ等の狭帯域光源を用いる場合には、光学フィルタ2630、ハーフミラー2640の透過波長とレーザの波長域を合わせる必要がある。
光源2650からの光はレンズ、ミラー等を用いて、テレセントリック光としてハーフミラー2640へ入射させることが望ましい。また、光源2650を多数の光源で構成することで、光量増加及び波長帯域の拡大ができる。
図22では、光源として照明2651を用いている。照明2651は多数の光源で構成されたもの、又は面発光型の光源であり、ウエハ2000全体へ光を照射することができる。
照明2651の中央部には、カメラ2600へ干渉光を導入するための孔、又は窓が設けられている。照明2651からの光は、多数の光源又は発光面より、ウエハへ向かって等方的に放射される。そのため、観測領域全体からの干渉光をカメラ2600へ導入できる。さらに、レンズ2620を変更することで、観測領域のサイズを変更した場合にも、観測領域全体からの干渉光を計測することが可能となる。図22中の矢印、それに続く破線及び実線は、照明2651からの放射光、及びカメラ2600へ導入される干渉光の光路の例である。光路及び光学フィルタ2630については、図18及び図19の構成を使用できる。
図23は、複数の波長を選択的に透過させるマルチ光学フィルタ2631である。透過波長の異なる光学フィルタ2630を複数並べることで、複数の波長を選択的に透過させることができる。前述した通り、小屋カバーの開口部から奥に向かう軸方向分布の計測は、小屋カバー内部において任意の位置で計測が可能である。したがって、マルチ光学フィルタ2631の同一波長のみを透過させる方向の軸をX軸とすると、マルチ光学フィルタ2631及び小屋カバー内部のX軸を同方向とすることで、複数の波長を用いた計測が可能である。
図21、図20、及び図18のように、レンズ2620によってウエハ2000へ向かう光路を拡大する場合には、大きなフィルタを設置することができるため、より多数の透過波長をもったマルチ光学フィルタ2631を設置することができる。ただし、前述した通り、光路が広角になる場合には、フィルタ及びウエハに対する光の入射角度を考慮する必要がある。
図24は、図18の小屋カバーの両端を解放し、中央部に仕切りを設けた小屋カバー2700である。これにより、左右2か所の開口部近傍における、被エッチ膜を加工するプラズマ等の媒体の特性を評価することができる。
また、小屋内部の減衰の特徴的長さdが、小屋開口部から仕切りまでの長さより短い場合には、仕切りを無くしても良い。
プラズマに代用試料を暴露する場合には、小屋の存在によって発生するシースの歪みの影響を考慮する必要がある。シースはプラズマ密度及びウエハへ印加されるバイアス出力に依存する厚さdsを持っており、小屋の形状に沿って図24の破線に示したようにシースが形成される。このシースは小屋カバーに沿って歪んでいるため、小屋の開口部近傍では、小屋カバー下の被エッチ膜に向かって、正イオンが斜めに加速入射する。
したがって、正イオンが入射する小屋の開口部近傍と、正イオンの入射が無い小屋の内部では、被エッチ膜を加工する現象が異なる。
したがって、小屋内部のエッチング量i、小屋内部のエッチング量の減衰の特徴的長さdは、小屋の開口部近傍と、小屋の内部に分けた値を計測することが望ましい。
小屋の開口部近傍と小屋の内部の位置としては、小屋開口部からX軸方向に、少なくとも小屋カバー内の空隙の高さの2倍進んだ位置とすることが望ましい。開口部近傍の被エッチ膜の軸方向分布が複雑になり、減衰の特徴的長さの計測が困難な場合でも、小屋の内部の計測値を取得することができる。
図25は、ウエハ全体を覆う小屋カバー2700である。小屋の開口部を小屋カバー上部の孔として複数設けることで、被エッチ膜を加工するプラズマ等の媒体の特性について、ウエハ面内の分布を取得することが可能である。図26では、小屋内部に開口部から一定の半径をもった空隙を持つように、小屋カバーによって空隙が仕切られており、これによって、各開口部付近の媒体の特性を取得することができる。
また、小屋カバーの上部に孔を設けることによって、前述したシースの歪みによる影響を抑制することが可能となる。図26では、孔の周辺すべてが小屋カバーとなっているため、孔の直径を小さくすることで、シースの歪みを抑制することができる。孔の直径をシース厚さの2倍未満とすることで、破線で示したように、孔の左右から中央に向かってシースが歪むものの中央部でシースが重ね合わさることで、シースの歪みが緩和される。孔の直径をシース厚さの1倍以下とすることで、さらにシースの歪みを抑制できる。
小屋内部の減衰の特徴的長さdが、小屋開口部から仕切りまでの長さより短い場合には、小屋を支える数点の支柱を残すか、又は小屋カバーの最外周部分を支えとして残し、それ以外の部分の仕切りを無くしても良い。
図26は、図25にて説明した小屋カバーの最外周部分の仕切りを残した小屋カバー2700である。
図27は、小屋カバー内の空隙、小屋カバー毎の仕切り部分を放射状とすることで、被エッチ膜を加工するプラズマ等の媒体の特性の周方向分布を計測できる小屋カバー2700である。
図27では、開口部を直線形状としてあるが、これを円弧形状とすることでより正確な周方向分布を計測することができる。
また、開口部の半径方向の位置を変更することで、所望の位置の周方向分布を計測することができる。
さらに、円周形状の仕切り及び、各空隙に開口部を設けることで、複数の径方向位置での周方向分布を計測することができる。
図28は、X軸方向に空隙を設けた小屋カバー2700である。X軸に対して垂直な方向をY軸方向とすると、図28の構成を用いることで、被エッチ膜を加工するプラズマ等の媒体の特性のY軸方向分布を計測することができる。
また、図28では、開口部の幅を広げることで、開口部分となる、すなわち小屋外部のエッチング量o、を取得することができる。また、開口部の幅を広げることで、開口部における、被エッチ膜を加工するプラズマ等の媒体による被エッチ膜の加工量を取得することができる。
開口部分の被エッチ膜の加工特性に対する、小屋カバーと周辺媒体との反応の影響を抑制する必要があるため、開口部部分の大きさは、少なくとも小屋カバー以上とする必要がある。
開口部分すなわち小屋外部における、プラズマによる被エッチ量を計測する場合には、小屋カバーの形状に沿って形成されるシースの歪みの影響を避けるため、少なくともシース厚さの3倍以上とする必要がある。
開口部分の被エッチ膜の加工特性に対する、小屋カバーと周辺媒体との反応の影響を、さらに抑制するためには、開口部部分の大きさは、小屋カバーの2倍以上、又はシース厚さの10倍以上、さらには1cm2以上とすることが望ましい。
上記にて説明した開口部分は、図25、図26、図27の一部に設けることできる。また、図25、図26、図27に図示した開口部のサイズを拡大することで、開口部の特性を計測することができる。
図29は、ウエハ全体を覆う小屋カバーに、空隙と開口部をひとつずつ設けた小屋カバー2700である。これらの空隙及び開口部を持つ部分を計測部2701とする。
まず、小屋カバー2700と計測部2701を一体として構成した場合について説明する。
小屋カバー内部の大半の領域は、被エッチ膜と小屋カバーの接触を避けるため空隙を持っている。その一方で、計測部と小屋カバーの間に仕切りを設けることで、計測部以外の小屋カバー内の空隙における被エッチ膜の加工を防いでいる。
上記構成により、この代用試料を計測で使用した後に、小屋カバー2700を回転させることで、計測部を被エッチ膜が使用されていない部分へ移動することで、再度計測が可能となる。したがって、被エッチ膜付きのウエハ1枚を使用して、複数回の計測ができる。
小屋カバー2700の回転時には、計測部2701を囲う仕切りの底面と被エッチ膜が接触することになる。この接触による被エッチ膜表面へのダメージを避けるためには、仕切りを回転させる際に、いったん小屋カバーを持ち上げてから回転させればよい。
小屋カバーをスライドさせながら回転させる場合には、仕切りを小屋カバーの上面に固定、又は上面から延長し、仕切りが被エッチ膜へ接触しない長さとすることができる。ただし、この場合には計測部2701の周辺において、仕切りの外側部分へもわずかに被エッチ膜が加工される場合があるため、繰り返し計測をできる回数が減少することに注意が必要である。仕切りの外部部分の加工をできるだけ抑制するためには、仕切り直下における仕切りと被エッチ膜の空間をできるだけ小さくすることが望ましい。また、仕切り下面に被エッチ膜との接触部となる微小部分又はドットを設け、被エッチ膜との接触面積を限定することで、仕切りが被エッチ膜へ接触することを起因とする計測結果への擾乱を抑制することができる。
また、仕切り下面に低摩擦材料又は摩擦を低減するコーティングを施すことで、接触部分による被エッチ膜へのダメージを抑制することができる。低摩擦材料やコーティングのサイズを小さくすることで、媒体との反応を抑制することが可能である。ただし、媒体及び仕切り下面に施された材質の組合せによっては、計測結果への擾乱が大きくなる可能性があるため注意が必要である。
次に、小屋カバー2700と計測部2701を分離可能とした構成について説明する。仕切りの中央部分において、小屋カバー2700と計測部2701を分離した構成とすることで、計測部2701の開口部の面積、形状、位置、個数、さらには、空隙の奥行、幅の異なる計測部2701と交換することができる。
図29の代用試料を減圧下で使用する場合には、計測部2701以外の小屋カバー内の空隙に気体が残存することで、処理室内へ残存気体が放出された場合には、処理室内に所望のプラズマ等の媒質を生成した際における、目的となる被エッチ膜の加工特性が計測できない。また、残存気体による圧力が発生し、それにより代用試料自体が破壊される可能性がある。これを防ぐために、小屋カバー2700の一部に気抜孔2702を設けている。
ただし、気抜孔の周辺の被エッチ膜は、計測中において加工が進むため、その部分は計測部として使うことができなくなる。したがって、気抜孔の面積は数+mm2以下とすることが望ましい。
また、気抜孔2702を小屋カバー2700と計測部2701との仕切り部に設け、計測部の空隙と、計測部以外の空隙を接続することで、開口部より残存気体を排気することができる。
図30は、図29の開口部と計測部の位置を別々に設けた小屋カバー2700である。開口部の長さと、小屋カバー下部の空隙のX軸方向長さの合計がウエハ半径を超える場合において、図30の構成が使用できる。また、開口部の径方向分布が計測できる。さらに、小屋内部の減衰の特徴的長さdが長い場合においても計測ができる。
図31は、図29において説明した小屋カバーの回転を、自動で行うことができる小屋カバー2700及び回転機構である。図31では、小屋カバーは、小屋カバー2700と外周支持部2703(又は回転ガイド部と称す)の2つから構成される。小屋カバーの最外周部の空隙側には、歯車が設けてあり、これを歯車等の回転動力伝達部品2710を用いて駆動することで、小屋カバーが回転できる。
回転機構としては、上記の歯車部分を摩擦係数が大きい材料又は部品として、回転動力伝達部品2710を用いて小屋カバーを回転させることもできる。
回転動力伝達部品2710は、回転制御システム2711によって、その回転量、回転速度、回転開始及び停止のタイミングが制御される。回転制御システム2711は、回転制御基板、メモリ、演算装置、電源を備えている。また、電源を2次電池として、これを充電するための接点、又は無線給電用コイルを備えることができる。さらに、メモリ内部に保存された制御基板の制御プログラムの書き換え、回転制御時に取得した、回転量、回転速度、回転開始及び停止タイミング等のログ情報取得、回転開始及び停止信号を受信するための、無線通信部を備えることができる。
回転制御システム2711及び回転動力伝達部品2710は、外周支持部2703の延長部分に固定されており、これにより、小屋カバー2700のみを回転させることができる。
なお、回転制御システム2711を使用せずに、小屋位置口開閉部品2712は手動で回転させてもよい。その場合には、回転動力伝達部品2710の中央部をドライバー等を用いて小屋カバー2700を回転させることができる。また、回転動力伝達部品2710の中央部につまみを設けておくことで、これを手動で回すことで小屋カバー2700を回転させることができる。
なお、外周支持部2703を、図29及び図30の小屋カバー2700の外周に設けることで、小屋カバー2700の回転をスムーズにすることができる。
図32は、小屋位置口開閉部品2712を回転させることで、小屋カバーに複数設けられた計測用の空隙の開口部を開閉することで、複数回の計測を可能とする小屋カバー2700及び回転機構である。
回転動力伝達部品2710及び回転制御システム2711を使用することで、小屋位置口開閉部品2712が回転する。また、回転制御システム2711を使用せずに、小屋位置口開閉部品2712は手動で回転させてもよい。回転動力伝達部品2710による小屋位置口開閉部品2712の回転機構には、歯車又は摩擦係数が大きい材料若しくは部品を用いて、小屋カバー2700を回転させることができる。
なお、図32の構成では、計測部分の両側の仕切りに孔を設け各空隙を接続することで、小屋開口部が開放されている計測部の空隙より、各空隙内部の残存気体を排気することができる。また、いずれかの空隙に気抜孔を設け、さらに計測部分の両側の仕切りに孔を設け各空隙を接続することで、各空隙内部の残存気体を気抜孔より排気してもよい。
なお、上記までの説明では、主に試料に溝又は孔の加工、試料表面の研削、試料表面に積層された膜の研削を用いて説明を行ったが、試料上に膜や構造物を堆積させる場合にも、堆積膜の厚さや堆積膜構造の情報を試料出力及び代用試料出力とすることで、同様の効果を得ることができる。
代用試料の他の例を説明する。図10で示した代用試料は、一つの側面のみが開口して一つの隙間部が形成された小屋構造を有するサンプル(片側開口サンプル)であったが、図33Aに示すような、二つの側面が開口して二つの隙間部が形成された小屋構造を有するサンプル(両側開口サンプル)を代用試料として使用することも可能である。このため、図10Aに示す代用試料では、隙間部を形成する1面からエッチャントがウエハと屋根との間に形成される小屋内の空間に流入可能となっているが、図33Aに示す代用試料では、隙間部を形成する2面からエッチャント(エッチング粒子)が小屋内の空間に流入可能となっている。また、図33Bに、図33Aに示す代用試料の加工処理後の典型的な断面図を示す。
図10で説明した片側開口サンプルは、処理の進展に伴い、被エッチ膜の膜厚が薄くなり、隙間部に近い位置の残膜の膜厚分布が急峻になる。急峻になった膜厚に対して光学による膜厚測定を行う場合、照射される光のスポット径の中で光路差のある光が混ざり合ったり、反射角度がずれた反射光が受光器に戻ってこないことで、正確な測定が困難となる。したがって、代用試料に対する処理時間や処理回数が増加すると、膜厚測定の精度が低下し、処理出力の取得が困難になる可能性がある。
一方、両側開口サンプルをエッチング処理すると、複数の隙間部からエッチャントが小屋内の空間に入り込むため、複数方向から流入したエッチャントによる処理の対称的な重畳により、図33Bのように中心での被エッチ膜は空間的に均一な処理が進展すると期待される。
図34に、両側開口サンプルにおける代用試料処理出力の第1の算出方法を示す。両側開口サンプルの試料内部での位置xにおける被エッチ膜のエッチング量Cxは、図10の片側開口サンプルでの処理結果の値i及びdと、位置xとに依存して、ある関数Fで決まる。関数Fは、ラジカル粒子の拡散方程式やサンプルの形状、及び幾何学的対称性によって決められる関数である。一つの両側開口サンプルを用意して加工処理し、内部の二箇所の位置x1及びx2にてエッチング量Cx1、Cx2を計測して取得し、数式(5)に示す連立方程式を解くことによって、i及びdを算出することができる。つまり、数式(5)ではCx1、Cx2、x1及びx2が既知であるため、二つの変数i及びdに対する連立方程式を解くことができる。ただし、この方程式が非正則になる場合は、より多くの位置xにおけるエッチング量を取得し、複数の連立方程式を立式することでi及びdを算出することができる。また、i及びdの算出精度を向上させるために、多くの位置xにおけるエッチング量のデータに基づいてi及びdを算出してもよい。以上により、両側開口サンプルにおいても、片側開口サンプルと同様の代用試料処理出力を取得することができることが示された。
Figure 0007108562000005
両側開口サンプルの中心付近では、片側開口サンプルよりも平坦な膜厚が実現されるため、上記の算出方法において計測の位置xをサンプルの中心付近にとれば、より長時間ないし多数の回数の代用試料処理出力の取得が可能となる。
図35に、両側開口サンプルにおける代用試料処理出力の第2の算出方法を示す。両側開口サンプルの屋根の長さをLとすると、中心での被エッチ膜のエッチング量Cは、図10の片側開口サンプルでの値i及びdと、長さLとに依存して、ある関数Gで決まる。関数Gは、ラジカル粒子の拡散方程式やサンプルの形状、及び幾何学的対称性によって決められる関数である。従って、図35Aのように大きさの異なる二つの両側開口サンプル(二つの開口部の間の屋根の長さL1、L2)を用意して加工処理し、それぞれの内部の中央部にてエッチング量C1、C2を計測して取得し、数式(6)に示す連立方程式を解くことによって、i及びdを算出することができる。つまり数式(6)ではC1、C2、L1及びL2が既知であるため、二つの変数i及びdに対する連立方程式を解くことができる。ただし、この方程式が非正則になる場合は、より多くの長さが異なるサンプルにおける中央部でのエッチング量を取得し、複数の連立方程式を立式することでi及びdを算出することができる。また、i及びdの算出精度を向上させるために、多くの長さが異なるサンプルにおける中央部でのエッチング量のデータに基づいてi及びdを算出してもよい。
Figure 0007108562000006
両側開口サンプルの中央部では、膜厚の変化が少なく、平坦な膜厚が実現されるため、残膜の消失まで代用試料処理出力の取得が可能となる。これにより、片側開口サンプルに比べ、より長時間ないし多数回の代用試料処理出力の取得が可能となる。
図33Aに示す両側開口サンプルでも、図10の片側開口サンプルと同じように、屋根を光が透過できる透明な材質にすれば、図34及び図35Bに示すように、処理中のリアルタイムな干渉膜厚測定が可能となる。具体的には、計測装置30は、光源1101から光を照射し、被エッチ膜及び下地膜からの反射光を検出器1102を用いて検出する。このように、処理装置20からの代用試料の取り出し、及び代用試料の加工を行うことなく処理出力の情報を取得できる。
このような両側開口サンプルの効果として、上記第2の算出方法における代用試料処理出力の取得効率の向上について具体的に説明する。前述のように、両側開口サンプルでは中央部で空間的に均一な処理が進展すると期待されるため、残膜が消失するまで試料を取り出すことなく処理出力の連続的な取得が可能となる。したがって、以下の理由で図10のサンプルよりも代用試料DB131を高速及び安価に取得できる。例えば、被エッチ膜の厚さが400nm、屋根の長さが2cmの片側開口サンプル及び両側開口サンプルを考える。基準となる処理条件では、片側開口サンプルにおいて入り口で10nm/min、中央部で4nm/minのエッチングレートが実現するとする。ここで、入り口と中央部における被エッチ膜の残膜差が30nmを超えた時に干渉膜厚計での計測が困難になると仮定すると、片側開口サンプルでは、30÷(10―4)=5minの時間まで計測が可能となる。一方で、両側開口サンプルでは、入り口では片側開口サンプルと同じ10nm/min、中央部では処理の重畳効果により片側開口サンプルのおよそ二倍の4×2=8nm/minのエッチングレートとなる。中央部の残膜は概ね平坦であるため、残幕が消失するまでの400÷8=50minの時間まで計測が可能となる。つまり、この例では、両側開口サンプルは片側開口サンプルのおよそ10倍のデータ取得回数が期待される。
なお、図22では、片側開口サンプルの被エッチ膜の厚さの計測方法を示すが、両側開口サンプルでも同様に被エッチ膜の厚さを計測方法できる。
図36に示すように、図25のサンプルで各空隙の上部の小屋カバー屋根にもう一つの穴を設け、各空隙に二つずつの穴を有するサンプルを、代用試料としてもよい。この場合、二つの穴の中間点の直下の被エッチ膜では、両側開口サンプルと同様に平坦な残膜が実現するため、データ取得回数の増加が期待される。この二つの穴の位置は、図示したように、小屋カバー屋根の中央部と中央部からずれた位置でもよいが、二つの穴を共に小屋カバー屋根の中央部からずれた位置(例えば直径の3分の1の位置)に設けてもよい。
さらに、図37、図38、図39、図40及び図41に示すように、図26、図27、図29、図30、及び図31に示すサンプルにもう一つずつ開口部(穴)を設けることで同様の効果を得ることができる。なお、図38、図39、図40及び図41に示す場合、二つの直線形状の開口部(穴)の長さは同じでも、異なっても(例えば、外周側が長く、内周側が短い)よい。開口部の長さが異なる場合、流入するエッチャントの量が異なるので、厳密には二つの開口部がもたらすエッチングレートが異なるが、開口部の中央を結ぶ直線の付近では開口部の端部から流入するエッチャントの影響は少なく、その影響を考慮せずに計算してもよい。
特に、図29に示す片側開口サンプルにおいて、小屋カバー2700の端部に一つの開口部が設けられるが、図39に示す両側開口サンプルにおいて、小屋カバー2700の中央部に二つの開口部が設けられる。同様に、図40、図41に示す両側開口サンプルでも、小屋カバー2700の中央部に二つの開口部が設けられる。
図36、図37、図38に示す形態では、二つの開口部(穴)を組にして配置したが、三つの開口部(穴)を組にして配置してもよい。この場合、三つの式を含む連立方程式を解くことになる。さらに、四つ以上の開口部(穴)を組にして設けてもよい。
また、図36、図37、図38に示す形態では、組となる二つの開口部(穴)の間隔はいずれの箇所でも同じであるが、組となる二つの開口部(穴)の間隔を変えてもよい。間隔が異なる開口部(穴)を配置することによって、図35に示す第2の算出方法を一つのサンプルで実現できる。
ここまで、半導体ウエハをエッチング加工する実施例について説明したが、本発明は、半導体ウエハの表面を除去する加工の他、化学蒸着法(CVD)など半導体ウエハの表面に層を形成する加工にも適用できる。
以上に説明したように、本発明の実施例の代用試料は、試料面(下地膜の表面)からみて第1の高さに形成された第1の表面(被エッチ膜の表面)と、第1の高さより高い第2の高さに形成された第2の表面(屋根、小屋カバー)と、前記第1の表面に加工処理を行う粒子(例えば、エッチング処理を行うエッチャント、化学蒸着法(CVD)における原料ガス)が、第1の表面と第2の表面との間に流入可能な複数の流入部(開口部、穴など)とを有するので、エッチング処理の進展に伴い被エッチ膜の膜厚が薄くなっても、複数の流入部から流入したエッチング粒子による加工処理が重畳される中央部の膜厚変化が少ない箇所で膜厚を測定できるので、長時間かつ多数回の処理に使用できる。このため、多くのデータを低コストで取得でき、試料に対して行われる処理の制御パラメータの決定コストを低減できる。
また、第1の表面と第2の表面とは、少なくとも2方向に配置された壁で連結されており、流入部は、第1の表面と第2の表面(ウエハ)との間に形成される空間と外部とを連通する隙間としたので、エッチング粒子による加工処理が重畳される中央部の膜厚変化が少ない箇所を形成でき、膜厚を正確に測定できる。
また、流入部は、第2の表面に形成され、第1の表面と第2の表面との間に形成される空間と外部とを連通する穴としたので、効率よくデータを取得できる。
また、処理が重畳された領域のうち、一つの試料内で少なくとも2箇所以上の部分領域が計測装置30によって計測されるので、一つのサンプルでデータを取得でき、取り扱いが容易となる。
また、処理が重畳された領域のうち、流入部の間の距離が異なる複数の試料の各々で少なくとも1箇所以上の部分領域が計測装置30によって計測されるので、一つのサンプルでの計測回数を増加でき、データ取得効率を向上できる。
また、本発明の実施例の処理の制御パラメータの決定方法は、前記演算装置が、学習処理を実行することによって、前記第2試料に対して行われた前記処理の制御パラメータと、前記第2処理出力との間の相関関係を示す第2モデルを生成し、前記第2モデルを前記記憶装置に格納する第1のステップと、前記演算装置が、目標となる前記第1処理出力である目標処理出力、前記第1モデル、及び前記第2モデルに基づいて、前記第1試料に対して行われる前記処理の目標制御パラメータを算出する第2のステップと、を含むので、プロセス開発にかかるコストを抑えて、試料に対して行われる処理の制御パラメータを決定できる。
また、第1のステップでは、データベースを用いた学習処理を実行することによって第2モデルを生成するので、高速かつ低額で実試料の処理条件を求めることができる。すなわち、プロセス開発のコストを抑えて、高精度の処理条件を求めることができる。
また、第2のステップは、第1モデルに基づいて、目標処理出力に対応する第2処理出力を算出するステップと、第2モデルに基づいて、目標処理出力に対応する第2処理出力が得られる可能性がある制御パラメータである推定制御パラメータを算出するステップと、推定制御パラメータを目標制御パラメータとして出力するステップとを含むので、より高精度の処理条件を求めることができる。
また、データベースを参照して、複数の要素の各々を軸とする空間における第2処理出力の分布を解析するステップと、解析の結果に基づいて、追加対象の第2処理出力を決定するステップと、追加対象の第2処理出力及び前記第2モデルを用いて、第2試料に対して行われる前記処理の新規制御パラメータを算出するステップと、新規制御パラメータを前記処理装置に入力するステップと、新規制御パラメータに基づいて処理を行った処理装置から新規第2処理出力を取得するステップと、新規制御パラメータ及び新規第2処理出力を対応づけてデータベースに登録するステップとを含むので、目標代用試料出力付近のデータを集中的に増加させ、目標の実試料処理条件の予測精度が向上できる。
なお、本発明は上記した実施例に限定されるものではなく、様々な変形例が含まれる。また、例えば、上記した実施例は本発明を分かりやすく説明するために構成を詳細に説明したものであり、必ずしも説明した全ての構成を備えるものに限定されるものではない。また、各実施例の構成の一部について、他の構成に追加、削除、置換することが可能である。
また、上記の各構成、機能、処理部、処理手段等は、それらの一部又は全部を、例えば集積回路で設計する等によりハードウェアで実現してもよい。また、本発明は、実施例の機能を実現するソフトウェアのプログラムコードによっても実現できる。この場合、プログラムコードを記録した記憶媒体をコンピュータに提供し、そのコンピュータが備えるプロセッサが記憶媒体に格納されたプログラムコードを読み出す。この場合、記憶媒体から読み出されたプログラムコード自体が前述した実施例の機能を実現することになり、そのプログラムコード自体、及びそれを記憶した記憶媒体は本発明を構成することになる。このようなプログラムコードを供給するための記憶媒体としては、例えば、フレキシブルディスク、CD-ROM、DVD-ROM、ハードディスク、SSD(Solid State Drive)、光ディスク、光磁気ディスク、CD-R、磁気テープ、不揮発性のメモリカード、ROMなどが用いられる。
また、本実施例に記載の機能を実現するプログラムコードは、例えば、アセンブラ、C/C++、perl、Shell、PHP、Java(登録商標)等の広範囲のプログラム又はスクリプト言語で実装できる。
さらに、実施例の機能を実現するソフトウェアのプログラムコードを、ネットワークを介して配信することによって、それをコンピュータのハードディスクやメモリ等の記憶手段又はCD-RW、CD-R等の記憶媒体に格納し、コンピュータが備えるプロセッサが当該記憶手段や当該記憶媒体に格納されたプログラムコードを読み出して実行するようにしてもよい。
上述の実施例において、制御線や情報線は、説明上必要と考えられるものを示しており、製品上必ずしも全ての制御線や情報線を示しているとは限らない。全ての構成が相互に接続されていてもよい。
10 代用試料用端末
20 処理装置
30 計測装置
40 解析システム
50 実試料用端末
100 入力処理条件
101 目標処理出力
110 処理条件入力部
111 処理部
120 計測部
130 解析部
131 代用試料DB
132 モデルDB
140 処理条件モデル
141 代用試料モデル
150 目標処理条件
200 計算機
201 演算装置
202 主記憶装置
203 副記憶装置
204 ネットワークインタフェース
601 実試料処理出力空間
602 実試料処理条件空間
611 代用試料処理出力空間
612 代用試料処理条件空間
1101 光源
1102 検出器
2000 ウエハ
2001 処理室
2600 カメラ
2610 撮像素子
2620 レンズ
2630 光学フィルタ
2631 マルチ光学フィルタ
2640 ハーフミラー
2650 光源
2651 照明
2700 小屋カバー
2701 計測部
2702 気抜孔
2703 外周支持部
2710 回転動力伝達部
2711 回転制御システム
2712 小屋開口部開閉部品

Claims (8)

  1. 計算機が実行する、試料に対して行われる処理の制御パラメータの決定方法であって、
    前記計算機は、演算装置、前記演算装置に接続される記憶装置、及び前記演算装置に接続され、外部装置と接続するインタフェースを有し、
    前記記憶装置は、前記処理が行われた、製造に用いられる第1試料を計測することによって得られる第1処理出力と、前記処理が行われた、前記第1試料を模擬する第2試料を計測することによって得られる第2処理出力との間の相関関係を示す第1モデルを格納し、
    前記処理の制御パラメータの決定方法は、
    前記演算装置が、学習処理を実行することによって、前記第2試料に対して行われた前記処理の制御パラメータと、前記第2処理出力との間の相関関係を示す第2モデルを生成し、前記第2モデルを前記記憶装置に格納する第1のステップと、
    前記演算装置が、目標となる前記第1処理出力である目標処理出力、前記第1モデル、及び前記第2モデルに基づいて、前記第1試料に対して行われる前記処理の目標制御パラメータを算出する第2のステップと、を含んでおり、
    前記第2試料は、
    試料面からみて第1の高さに形成された第1の表面と、
    前記第1の高さより高い第2の高さに形成された第2の表面と、
    前記第1の表面に加工処理を行う粒子が、前記第1の表面と前記第2の表面との間に流入可能な複数の流入部と、を有することを特徴とする処理の制御パラメータの決定方法。
  2. 請求項1に記載の処理の制御パラメータの決定方法であって、
    前記流入部は、前記第1の表面と前記第2の表面との間に形成される空間と外部とを連通する隙間、又は、前記第2の表面に形成され、前記第1の表面と前記第2の表面との間に形成される空間と外部とを連通する穴であることを特徴とする処理の制御パラメータの決定方法。
  3. 請求項1に記載の処理の制御パラメータの決定方法であって、
    前記処理が重畳された領域のうち、一つの前記第2試料内で少なくとも2箇所以上の部分領域を計測して、前記第2処理出力を得ることを特徴とする処理の制御パラメータの決定方法。
  4. 請求項1に記載の処理の制御パラメータの決定方法であって、
    前記第2試料は、前記流入部の間の距離が異なる複数の第2試料を含み、
    前記処理が重畳された領域のうち、前記複数の第2試料の各々で少なくとも1箇所以上の部分を計測して、前記第2処理出力を得ることを特徴とする処理の制御パラメータの決定方法。
  5. 請求項1に記載の処理の制御パラメータの決定方法であって、
    前記演算装置は、前記第2試料に対して行われた前記処理の制御パラメータ及び前記第2処理出力が対応づけられたデータを格納するデータベースにアクセス可能であり、
    前記第1のステップでは、前記演算装置が、前記データベースを用いた学習処理を実行することによって前記第2モデルを生成することを特徴とする処理の制御パラメータの決定方法。
  6. 請求項5に記載の処理の制御パラメータの決定方法であって、
    前記第2のステップは、
    前記演算装置が、前記第1モデルに基づいて、前記目標処理出力に対応する前記第2処理出力を算出するステップと、
    前記演算装置が、前記第2モデルに基づいて、前記目標処理出力に対応する第2処理出力が得られる可能性がある制御パラメータである推定制御パラメータを算出するステップと、
    前記演算装置が、前記推定制御パラメータを前記目標制御パラメータとして出力するステップと、を含むことを特徴とする処理の制御パラメータの決定方法。
  7. 請求項5に記載の処理の制御パラメータの決定方法であって、
    前記計算機には、前記処理を行う処理装置が接続され、
    前記第2処理出力は、複数の要素の計測値を含み、
    前記処理の制御パラメータの決定方法は、
    前記演算装置が、前記データベースを参照して、前記複数の要素の各々を軸とする空間における前記第2処理出力の分布を解析するステップと、
    前記演算装置が、前記解析の結果に基づいて、追加対象の第2処理出力を決定するステップと、
    前記演算装置が、前記追加対象の第2処理出力及び前記第2モデルを用いて、前記第2試料に対して行われる前記処理の新規制御パラメータを算出するステップと、
    前記演算装置が、前記新規制御パラメータを前記処理装置に入力するステップと、
    前記演算装置が、前記新規制御パラメータに基づいて前記処理を行った前記処理装置から新規第2処理出力を取得するステップと、
    前記演算装置が、前記新規制御パラメータ及び前記新規第2処理出力を対応づけて前記データベースに登録するステップと、を含むことを特徴とする処理の制御パラメータの決定方法。
  8. 試料に対して行われる処理を実施する処理装置と、
    前記試料に対して行われる処理の結果を計測する計測装置と、
    試料に対して行われる処理の制御パラメータを決定する計算機と、を備えるシステムであって、
    前記計算機は、
    前記処理が行われた、製造に用いられる第1試料を計測することによって得られる第1処理出力と、前記処理が行われた、前記第1試料より計測が容易な第2試料を計測することによって得られる第2処理出力との間の相関関係を示す第1モデル、及び前記第2試料に対して行われた前記処理の制御パラメータと、前記第2処理出力との間の相関関係を示す第2モデルを格納する記憶部と、
    目標となる前記第1処理出力である目標処理出力、前記第1モデル、及び前記第2モデルに基づいて、前記第1試料に対して行われる前記処理の目標制御パラメータを算出する解析部と、を有し、
    前記試料は、
    試料面からみて第1の高さに形成された第1の表面と、
    前記第1の高さより高い第2の高さに形成された第2の表面と、
    前記第1の表面に加工処理を行う粒子が、前記第1の表面と前記第2の表面との間に流入可能な複数の流入部と、を有することを特徴とするシステム。
JP2019030494A 2019-02-22 2019-02-22 処理の制御パラメータの決定方法、及び計測システム Active JP7108562B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019030494A JP7108562B2 (ja) 2019-02-22 2019-02-22 処理の制御パラメータの決定方法、及び計測システム
KR1020190137225A KR102336944B1 (ko) 2019-02-22 2019-10-31 대용 시료, 처리의 제어 파라미터의 결정 방법, 및 계측 시스템
TW108144456A TWI707419B (zh) 2019-02-22 2019-12-05 代用試料、處理之控制參數之決定方法及測量系統
US16/716,602 US11152237B2 (en) 2019-02-22 2019-12-17 Substitute sample, method for determining control parameter of processing, and measurement system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019030494A JP7108562B2 (ja) 2019-02-22 2019-02-22 処理の制御パラメータの決定方法、及び計測システム

Publications (2)

Publication Number Publication Date
JP2020136568A JP2020136568A (ja) 2020-08-31
JP7108562B2 true JP7108562B2 (ja) 2022-07-28

Family

ID=72142711

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019030494A Active JP7108562B2 (ja) 2019-02-22 2019-02-22 処理の制御パラメータの決定方法、及び計測システム

Country Status (4)

Country Link
US (1) US11152237B2 (ja)
JP (1) JP7108562B2 (ja)
KR (1) KR102336944B1 (ja)
TW (1) TWI707419B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022172532A1 (ja) * 2021-02-09 2022-08-18 浜松ホトニクス株式会社 膜厚測定装置及び膜厚測定方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016119A (ja) 2000-06-28 2002-01-18 Hitachi Ltd 半導体装置製造方法及び半導体洗浄評価方法
JP2007173334A (ja) 2005-12-20 2007-07-05 Renesas Technology Corp 半導体熱処理装置
JP2008153334A (ja) 2006-12-15 2008-07-03 Nippon Telegr & Teleph Corp <Ntt> テスト方法及びテスト基板
JP2008218709A (ja) 2007-03-05 2008-09-18 Tokyo Electron Ltd 処理システム、処理方法、及び、プログラム
JP2009043900A (ja) 2007-08-08 2009-02-26 Nuflare Technology Inc 膜厚測定方法、気相成長方法および膜厚測定装置
JP2017539078A (ja) 2014-10-14 2017-12-28 ケーエルエー−テンカー コーポレイション 製造プロセスラインに沿ったウェハの放射線および温度暴露を測定するための方法およびシステム
WO2018153866A1 (en) 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
JP2001188816A (ja) * 1999-12-28 2001-07-10 Toshiba Corp 回路シミュレーション装置、回路シミュレーション方法、回路シミュレーションプログラムを格納したコンピュータ読取り可能な記録媒体および回路製造方法
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2009044125A (ja) 2007-04-12 2009-02-26 Tokyo Electron Ltd サポートベクトルマシンを用いて制御ツールを制御する方法
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
JP2013097267A (ja) * 2011-11-02 2013-05-20 Toshiba Corp 設計データの補正方法、設計データの補正方法のプログラムを含む記憶媒体、及び、フォトマスクの製造方法
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10769320B2 (en) * 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
JP6310866B2 (ja) * 2015-01-30 2018-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法並びに解析方法
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US11029610B2 (en) * 2017-09-28 2021-06-08 Asml Netherlands B.V. Lithographic method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016119A (ja) 2000-06-28 2002-01-18 Hitachi Ltd 半導体装置製造方法及び半導体洗浄評価方法
JP2007173334A (ja) 2005-12-20 2007-07-05 Renesas Technology Corp 半導体熱処理装置
JP2008153334A (ja) 2006-12-15 2008-07-03 Nippon Telegr & Teleph Corp <Ntt> テスト方法及びテスト基板
JP2008218709A (ja) 2007-03-05 2008-09-18 Tokyo Electron Ltd 処理システム、処理方法、及び、プログラム
JP2009043900A (ja) 2007-08-08 2009-02-26 Nuflare Technology Inc 膜厚測定方法、気相成長方法および膜厚測定装置
JP2017539078A (ja) 2014-10-14 2017-12-28 ケーエルエー−テンカー コーポレイション 製造プロセスラインに沿ったウェハの放射線および温度暴露を測定するための方法およびシステム
WO2018153866A1 (en) 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning

Also Published As

Publication number Publication date
TWI707419B (zh) 2020-10-11
JP2020136568A (ja) 2020-08-31
KR20200102906A (ko) 2020-09-01
US20200273732A1 (en) 2020-08-27
US11152237B2 (en) 2021-10-19
KR102336944B1 (ko) 2021-12-09
TW202032685A (zh) 2020-09-01

Similar Documents

Publication Publication Date Title
JP6959831B2 (ja) 計算機、処理の制御パラメータの決定方法、代用試料、計測システム、及び計測方法
KR102557599B1 (ko) 기울어진 디바이스 설계를 위한 계측 타겟 설계
US9091942B2 (en) Scatterometry measurement of line edge roughness in the bright field
JP2013534044A (ja) 基板上の構造の測定
CN111954928B (zh) 基于形状计量的晶片位置评分
JP2008020452A (ja) 光学計測システムに係る選択された変数の最適化
KR102152301B1 (ko) 메트롤로지 데이터로부터의 통계적 계층 재구성
KR102206347B1 (ko) 시스템 및 처리 조건의 결정 방법
US20230205076A1 (en) Resist and etch modeling
JP2008153661A (ja) 光計測を用いた半導体製造プロセスのプロセスパラメータの測定方法
JP7108562B2 (ja) 処理の制御パラメータの決定方法、及び計測システム
TWI741361B (zh) 提供經訓練網路及判定實體系統之特性
US11287782B2 (en) Computer, method for determining processing control parameter, substitute sample, measurement system, and measurement method
TWI805876B (zh) 用於大量生產程序監視之寬鬆耦合檢查及計量系統
US10732520B1 (en) Measurement library optimization in semiconductor metrology
TWI734307B (zh) 用於對準信號之雜訊校正
TWI837123B (zh) 光阻及蝕刻模型建立
TW202347041A (zh) 使用機器學習自光學度量衡資料產生掃描式電子顯微鏡品質度量衡資料的系統及方法
KR20230173191A (ko) 에칭 파라미터들을 측정하기 위한 다중 반사 측정법
TW202410192A (zh) 光阻及蝕刻模型建立
US20090153882A1 (en) Measuring Dimensional Parameters of Structures

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210312

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220712

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220715

R150 Certificate of patent or registration of utility model

Ref document number: 7108562

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150