TW202410192A - 光阻及蝕刻模型建立 - Google Patents

光阻及蝕刻模型建立 Download PDF

Info

Publication number
TW202410192A
TW202410192A TW112142655A TW112142655A TW202410192A TW 202410192 A TW202410192 A TW 202410192A TW 112142655 A TW112142655 A TW 112142655A TW 112142655 A TW112142655 A TW 112142655A TW 202410192 A TW202410192 A TW 202410192A
Authority
TW
Taiwan
Prior art keywords
inspection
post
etch
model
transfer function
Prior art date
Application number
TW112142655A
Other languages
English (en)
Other versions
TWI846635B (zh
Inventor
沙拉維納布里恩 斯里拉曼
大衛 M 萊德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202410192A publication Critical patent/TW202410192A/zh
Application granted granted Critical
Publication of TWI846635B publication Critical patent/TWI846635B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

電腦實施方法以及電腦程式產品具有指令用於生成將微影光罩上之節段與藉由使用這樣的節段的蝕刻及光微影操作所產生之特徵部相關聯的一轉移函數。這樣的方法可以下列部件為特徵:(a)接收產生自一或多個第一測試基板之顯影後檢驗的檢測結果,在該等第一測試基板上已塗佈光阻並使用一組設計布局節段加以圖案化;(b)接收產生自一或多個第二測試基板之蝕刻後檢驗的檢測結果,該等第二測試基板係在將光阻塗佈並使用該組設計布局節段圖案化之後受蝕刻;以及(c)將該組設計布局節段與相對應的顯影後檢驗的檢測結果以及相對應的蝕刻後檢驗的檢測結果一同使用以生成該轉移函數。

Description

光阻及蝕刻模型建立
本發明相關於生成以及/或者使用製程模擬模型以及/或者轉移函數。
諸如電漿輔助蝕刻製程的半導體裝置製造操作的性能對於半導體裝置處理流程的成功通常是重要的。然而,蝕刻製程以及/或者與其相關的工具(例如蝕刻反應器、微影遮罩等等)的優化或調整可證實是技術困難且耗時的,通常涉及技術人員來手動調節蝕刻製程參數或工具元件設計以生成所欲之目標特徵部輪廓。儘管在開發自動化程序以決定遮罩設計以及負責所欲之蝕刻輪廓的製程參數方面已有些進展,仍留有許多進步空間。
此處所包含之背景及情境描述僅提供用於一般性呈現本揭露之背景的目的。本揭露的大部分呈現發明人的工作成果,且僅因為這樣的成果背景章節描述或於此處其他地方作為背景呈現並不表示承認其為先前技術。
本揭露的某些實施態樣涉及方法,用於生成將微影光罩上之節段與藉由使用這樣的節段的蝕刻及光微影操作所產生之特徵部相關聯的一轉移函數。在某些實施例中,該方法可以下列操作為特徵:(a)接收一組設計布局節段;(b)從一計算光阻模型決定光阻特徵部輪廓,其中將該組設計布局節段作為輸入提供至該計算光阻模型;(c)從一計算蝕刻模型決定蝕刻特徵部輪廓,其中將該光阻特徵部輪廓作為輸入提供至該計算蝕刻模型;以及(d)使用該組設計布局節段以及相對應的蝕刻特徵部輪廓生成該轉移函數。某些該等方法額外包含應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局。
在某些實施例中,該設計布局段係以GDS格式提供的片段或線規。在某些實施例中,該光阻特徵部輪廓以及/或者該蝕刻特徵部輪廓係以三維形式提供。
在某些實施例中,該等方法額外包含藉由使用已進行光微影之晶圓的檢測結果優化該計算光阻模型,其中,該光微影係使用來自設計片段資料庫之一或多個片段執行於該晶圓上。在某些這樣的實施例中,該計算光阻模型的該優化步驟在(b)之前進行。在某些實施例中,該等方法額外包含藉由使用已進行光微影及蝕刻之晶圓的檢測結果優化該計算蝕刻模型,其中,該光微影係使用來自設計片段資料庫之一或多個片段執行於該晶圓上。在某些這樣的實施例中,該計算蝕刻模型的該優化步驟在(c)之前進行。
在某些情況下,該等方法額外包含下列操作:(e)多次運行該計算蝕刻模型,每次使用一不同組的製程條件;以及(f)從在(e)期間產生之計算蝕刻模型的輸出,識別用於在一蝕刻腔室之操作期間使用的一選定組的製程條件。
本揭露的某些實施態樣涉及電腦程式產品,該電腦程式產品包含一非暫時電腦可讀媒介,在其上提供電腦可執行之指令,用於使一計算系統生成將微影光罩上之節段與藉由使用該段的蝕刻及光微影操作所產生之特徵部相關聯的一轉移函數。該電腦可執行之指令可包含用於如下之指令:(a)接收一組設計布局節段;(b)從一計算光阻模型決定光阻特徵部輪廓,其中將該組設計布局節段作為輸入提供至該計算光阻模型;(c)從一計算蝕刻模型決定蝕刻特徵部輪廓,其中將該光阻特徵部輪廓作為輸入提供至該計算蝕刻模型;以及(d)使用該組設計布局節段以及相對應的蝕刻特徵部輪廓生成該轉移函數。在某些實施方式中,該電腦可執行之指令額外包含用於應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局的指令。
針對某些電腦程式產品,該設計布局節段係以GDS格式提供的片段或線規。針對某些電腦程式產品,該光阻特徵部輪廓以及/或者該蝕刻特徵部輪廓係以三維形式提供。
在某些實施方式中,該電腦可執行之指令額外包含指令用於:藉由使用已進行光微影之晶圓的檢測結果優化該計算光阻模型,其中,該光微影係使用來自設計片段資料庫之一或多個片段執行於該晶圓上。作為一示例,可安排用以優化該計算光阻模型的指令以在(b)的指令之前執行。在某些實施方式中, 該電腦可執行之指令額外包含指令用於:藉由使用已進行光微影及蝕刻之晶圓的檢測結果優化該計算蝕刻模型,其中,該光微影係使用來自設計片段資料庫之一或多個片段執行於該晶圓上。作為一示例,可安排用以優化該計算蝕刻模型的指令以在(c)中的指令之前進行。
在某些實施方式中,該電腦可執行之指令額外包含用於如下之指令:(e)多次運行該計算蝕刻模型,每次使用一不同組的製程條件;以及(f)從在(e)期間產生之計算蝕刻模型的輸出,識別用於在一蝕刻腔室之操作期間使用的一選定組的製程條件。
本揭露更進一步的實施態樣涉及方法,用於生成將微影光罩上之節段與藉由使用該等節段的蝕刻及光微影操作所產生之特徵部相關聯的一轉移函數。這樣的方法可以下列部件為特徵:(a)接收產生自一或多個第一測試基板之顯影後檢驗的檢測結果,在該等第一測試基板上已塗佈光阻並使用一組設計布局節段加以圖案化;(b)接收產生自一或多個第二測試基板之蝕刻後檢驗的檢測結果,該等第二測試基板係在將光阻塗佈並使用該組設計布局節段圖案化之後受蝕刻;以及(c)將該組設計布局節段與相對應的顯影後檢驗的檢測結果以及相對應的蝕刻後檢驗的檢測結果一同使用以生成該轉移函數。在某些實施例中,生成該轉移函數之步驟涉及多次運行該計算光阻模型以提供一組預測的顯影後光阻輪廓。
在某些情況下,該等方法額外包含使用該顯影後檢驗的檢測結果校正一計算光阻模型。在某些情況下,該等方法額外包含使用該蝕刻後檢驗之檢測結果校正一計算蝕刻模型。在某些示例中,生成該轉移函數之步驟涉及多次運行該計算蝕刻模型以提供一組預測的蝕刻特徵部輪廓。
在某些實施例中,該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果係以三維形式提供。在某些實施例中,使用CD-SEM將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為x-y外廓提供。在某些實施例中,使用TEM或CD-SAXS技術將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為x-z輪廓提供。
在某些實施例中,該組設計布局節段包含以GDS格式提供的片段或線規。在某些情況下,該等方法額外包含應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局。
本揭露之某些其他實施態樣涉及電腦程式產品,包含一非暫時電腦可讀媒介,在其上提供電腦可執行之指令,用於使一計算系統生成將微影光罩上之節段與藉由使用該等節段的蝕刻及光微影操作所產生之特徵部相關聯的一轉移函數。該電腦可執行之指令可包含用於如下之指令:(a)接收產生自一或多個第一測試基板之顯影後檢驗的檢測結果,在該等第一測試基板上已塗佈光阻並使用一組設計布局節段加以圖案化;(b)接收產生自一或多個第二測試基板之蝕刻後檢驗的檢測結果,該等第二測試基板係在將光阻塗佈並使用該組設計布局節段圖案化之後受蝕刻;以及(c)將該組設計布局節段與相對應的顯影後檢驗的檢測結果以及相對應的蝕刻後檢驗的檢測結果一同使用以生成該轉移函數。在某些實施方式中,該電腦可執行之指令額外包含用於應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局的指令。
在某些實施方式中,該電腦可執行之指令額外包含用於使用該顯影後檢驗的檢測結果校正一計算光阻模型的指令。在某些例子中,用於生成轉移函數的指令包含:用於多次運行該計算光阻模型以提供一組預測的顯影後光阻輪廓的指令。在某些實施方式中,該電腦可執行之指令額外包含:用於使用該蝕刻後檢驗之檢測結果校正一計算蝕刻模型的指令。在某些例子中,用於生成該轉移函數的指令包含多次運行該計算蝕刻模型以提供一組預測的蝕刻特徵部輪廓的指令。
針對某些電腦程式產品,該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果係以三維形式提供。針對某些電腦程式產品,將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為CD-SEM所產生之影像的x-y外廓加以提供。針對某些電腦程式產品,將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為TEM或CD-SAXS所產生之影像的x-z輪廓加以提供。針對某些電腦程式產品,該組設計布局節段包含以GDS格式提供的片段或線規。
本揭露的這些及其他特徵將在下方參考相關圖式以更多細節呈現。
製程與模型
圖1提供用於生成轉移函數的一示例系統及相關方法、或是在接近修正(proximity correction)中使用這樣的函數的工具,以同時考量微影以及諸如蝕刻操作的某些其他半導體裝置製造操作兩者。將其他半導體裝置製造操作執行於具有圖案化光阻(或由其所產生的遮罩圖案)的一基板上,以選擇性地蝕刻或者以其他方式處理基板的區域。舉例而言,受蝕刻特徵部的輪廓強烈取決於形成用於蝕刻製程之遮罩的光阻特徵部的輪廓。例如,參看圖5B中的光阻與蝕刻輪廓。再者,已發現蝕刻模型的預測能力(以及其物理蝕刻處理本身)強烈受到提供做為蝕刻模型輸入的顯影後光阻特徵部的輪廓影響。
該系統可能必須校正或優化光阻模型以及/或者裝置製造操作模型(例如蝕刻模型),以生成恰當的轉移函數。儘管此處之描述使用蝕刻作為裝置製造操作及相關模型的示例,但本揭露延伸至諸如疊晶生長、選擇性沉積等等的其他裝置製造操作。再者,儘管描述著重在光阻顯影以產生表面圖案,但本揭露亦延伸至其他圖案化生成技術。一般來說,本揭露涉及兩個或以上的順序半導體裝置製造操作的共同優化。在各種實施例中,產生考量了在橫跨兩個或以上的連續的製造操作的圖案之傳播的轉移函數。
在圖1中,在一或多個展示晶圓上執行某些物理操作以及檢測。這些操作描繪於該圖的上部。各種處理或軟體操作對下列之組合加以執行:(i)來自資料庫的線規(gauge)或片段(clip)、以及(ii)產生自檢測的資料。該軟體/處理操作以模組呈現於該圖下部。
在特定實施例中,在圖1中所說明的系統及方法係用以設計用於在積體電路晶片之生產中使用的一或多個半導體裝置製造操作的微影光罩。其他應用包含設計改善的微影條件以及/或者針對諸如蝕刻製程的裝置製造操作的改善之製程窗口。仍有其他應用包含設計改善的微影儀器以及/或者改善的諸如蝕刻器的裝置製造設備。
設計片段資料庫定義
在圖1中,設計片段資料庫在操作103定義。片段或線規係可代表設計布局的小部分的幾何特徵或節段。儘管全晶片布局具有全積體電路之一層的所有節段,但是一片段或線規係可能在整個全晶片布局中重複出現的一小的離散節段。片段或線規的例子為諸如L型結構、E型結構等等的小多邊形結構。圖3A呈現線規家族的示例,而圖3B的左圖表示一線規的示例。設計片段資料庫為不同片段或線規的集合,其共同表現一般發生在全晶片設計布局中或為了某些不同目的而選擇出的一系列的不同節段。
在某些實施例中,設計片段資料庫定義包含對以下功能中的一或多個而言有用的節段:(1)優化光阻模型、(2)優化蝕刻模型、以及(3)生成用以生產考量微影及蝕刻變化兩者之轉移函數的節段以及相關的外廓之集合。
設計片段資料庫可包含預料一系列的節段幾何圖形的片段,該等幾何圖形可發生在用於一或多種積體電路晶片的一或多種光罩中。通常,將預期這些節段存在於製程的光罩中,該製程使用了針對給定製造操作之微影條件及蝕刻條件。最後,所得之資料庫可重複使用於包括相同或相似條件及遮罩幾何圖形的其他製程。
曝光展示晶圓
提供一或多個展示晶圓以生成實驗性結果,該等實驗性結果可用以校正或優化用於生產製程之光阻模型以及/或者蝕刻模型。展示晶圓可首先以光阻塗覆(一般而言如同用於生產的),接著暴露於輻射圖案,例如透過微影光罩或藉由電子束。參見操作105。以某些節段將微影光罩圖案化,該等節段構成來自操作103的設計片段資料庫。將微影製程條件(例如焦點以及曝光)加以紀錄或者以其他方式使之可用於諸如光阻模型之優化或調整的後續計算操作。儘管本揭露就光微影描述微影以及光阻曝光,該概念可直接轉換到電子束微影以及在半導體裝置製造操作中用於定義圖案的光阻曝光的其他類型。
在該一或多個展示晶圓在105中曝光之後,它們在預期用於生產製程中的條件(有時稱為微影製程窗口)下顯影。如在本領域中所了解,在該等展示晶圓上的裸露光阻係受化學性轉化以允許暴露於輻射(例如UV光或電子束)之光阻部分或是未暴露於輻射之部分的選擇性移除。已顯影的光阻在暴露或未暴露的部分受移除之後存在。
術語「展示晶圓」應解讀為包含任何非生產晶圓或具有適合以例如檢測的方式加以測定之特徵部的其他基板。可能為晶圓的一小部分的一試樣係展示晶圓的一個範例。除了試樣以及具有特徵部的其他非標準形式的基板,展示晶圓可為諸如200 mm晶圓、300 mm晶圓、450 mm晶圓的標準尺寸晶圓。
ADI檢測與特徵化
如在圖1中所說明,該一或多個已曝光展示晶圓經受顯影後檢驗(ADI)檢測以及特徵化。參見操作107及109。 ADI檢測的例子包含臨界尺寸掃描電子顯微鏡(CDSEM)以及光學臨界尺寸(OCD)檢測。其他例子包含穿透電子顯微鏡(TEM)以及臨界尺寸小角度X光散射儀(CDSAXS)。這些檢測可於x-y平面執行,該x-y平面係自頂向下觀察晶圓以及/或者從遮罩的制高點觀察;或是在x-z平面執行,其被視為顯示深寬比及特徵部輪廓之晶圓特徵部的剖面。圖5A及5B中說明所顯影光阻之特徵部的x-z輪廓的例子。圖5A中說明各種光阻特徵部輪廓503。在某些實施例中,選擇一或多種檢測以蒐集在全部三個維度中的資訊。
在某些實施例中,所顯影光阻首先以一材料加以塗覆,該材料不在光阻中顯著地改變特徵部尺寸並且允許TEM或其他檢測方式擷取該特徵部。圖4顯示以材料之保形層(在淺灰光阻特徵部上的深灰層)塗覆的所顯影光阻輪廓的x-z平面TEM 顯微圖。所顯影光阻的輪廓顯示為輪廓403(按照該深灰材料及淺灰光阻之介面的重點線)。可設置軟體程序以從以保形層塗覆之所顯影光阻的剖面顯微圖定義輪廓403。在某些實施例中,在成像前以氧化或氮化材料保形地塗覆所顯影光阻。這樣的氧化或氮化材料以及其成像的例子在於2018年6月21日提交之美國專利申請案第16/015,096號,發明名稱為「Protective Coating on Photoresist for Photoresist Metrology」中加以描述,該文獻以引用的方式整體併入本文。
操作109的直接輸出係影像以及/或者資料,其以一或多個展示晶圓之光阻中的所顯影特徵部的二維(x-y以及/或者x-z)以及/或者三維輪廓為特徵。操作107及109的目標係提供在光阻中之所顯影特徵部的輪廓(例如在二垂直平面中的二維外廓或完整三維表徵),該輪廓相應於在設計片段資料庫中的片段。換句話說,該檢測及特徵化提供在微影光罩上之節段(一般直接符合資料庫中之片段或線規的幾何圖形)與藉由使用在微影光罩上的片段之曝光或顯影操作所產生之光阻特徵部之輪廓之間的一對一對應的資訊。利用此資訊,可校正或優化一光阻模型,該光阻模型對使用微影光罩節段所產生之光阻輪廓加以預測。此外或替代地,由檢測所得之x-y光阻圖案外廓或全三維表徵可用於產生一轉移函數,如下方所更完整地描述的。
值得注意的是,在積體電路晶片製造過程中微影條件可在層與層之間變化。因此,該等展示晶圓可被暴露於不同微影條件以提供用於優化光阻模型以及/或者生成轉移函數的各種示例。當然,針對各實驗執行ADI檢測與特徵化,其共同跨度一系列的光罩節段以及/或者微影製程條件。
蝕刻展示晶圓
將使用微影光罩顯影的一或多個展示晶圓加以蝕刻,該微影光罩具有相應於在設計片段資料庫之片段或線規的節段。參見操作111。蝕刻條件係那些用於生產製程的條件(或者至少模擬使用生產製程所產生的特徵部輪廓)。這些受蝕刻的展示晶圓可與在操作109中用於ADI檢測之展示晶圓相同或不同。值得注意的是,在操作107中的ADI檢測製程可呈現不適合用於後續蝕刻製程的光阻,在這樣的情況,在蝕刻操作111中使用如操作105加以曝光但不經受ADI檢測的新展示晶圓。
有時候該蝕刻條件統稱為製程窗口,且可將其以諸如蝕刻反應器壓力、基座溫度、電漿產生條件、處理氣體條件(組成、流率、分壓等)等等的各種化學以及/或者物理參數加以定義。記錄這些蝕刻製程條件並使之可用於諸如蝕刻模型之優化或調整的後續計算操作。
展示晶圓可由受蝕刻之材料或材料堆疊所製成,或表現如該材料或堆疊,以由生產製程加以蝕刻。以這種方式,實驗性蝕刻結果提供將要模型化之蝕刻製程的準確表示。
AEI檢測以及特徵化
該一或多個受蝕刻的展示晶圓(來自操作111)經受蝕刻後檢驗(AEI)檢測以及特徵化。參看操作113以及115。AEI檢測的示例包含臨界尺寸掃瞄電子顯微鏡(CDSEM)以及光學臨界尺寸(OCD)檢測。其他例子包含穿透電子顯微鏡(TEM)。如同ADI檢測及特徵化,這些檢測的任何者可於x-y平面或是x-z平面執行。在某些實施例中,選擇一或多種檢測以蒐集在全部三個維度中的資訊。
操作115的直接輸出係影像以及/或者資料,其以一或多個展示晶圓中所蝕刻特徵部的二維(x-y以及/或者x-z)以及/或者三維輪廓為特徵。這些操作113及115的目標係提供在展示晶圓中受蝕刻之材料或材料堆疊中所蝕刻特徵部的輪廓(例如在二垂直平面中的二維外廓或完整三維表徵)。這些受蝕刻特徵部相應於在設計片段資料庫中的片段。換句話說,該檢測及特徵化提供微影光罩上之節段(其一般直接符合資料庫中之片段或線規的幾何圖形)與藉由使用在微影光罩上的該節段而產生之蝕刻圖案所產生的受蝕刻特徵部之輪廓之間的一對一對應資訊。利用此資訊,可校正或優化一蝕刻模型,其對使用了微影光罩節段所產生之蝕刻輪廓加以預測。此外或替代地,由檢測所得之受蝕刻特徵部的x-y外廓可用於開發轉移函數,如下方所更完整地描述的。
如所提及的,給定製程的所顯影光阻特徵部輪廓影響在後續蝕刻製程中所生成之蝕刻特徵部輪廓。圖5C說明所顯影光阻特徵部(513)以及後續使用了具有特徵部513之所顯影光阻所產生的受蝕刻特徵部(515)的示例x-y外廓。
值得注意的是,在積體電路晶片製造過程中蝕刻條件可在層與層之間變化。因此,可將該等展示晶圓暴露於不同蝕刻製程窗口以提供用於優化蝕刻模型以及/或者生成轉移函數的各種示例。當然,針對各實驗執行AEI檢測與特徵化,共同橫跨一範圍之光罩節段以及/或者蝕刻製程窗口。在積體電路晶片中AEI可在層與層之間變化。
在圖1上部部分(虛線框上方)的所有區塊係用以生成資料(選用性地包含影像)。這樣的資料可饋送至用於生成一轉移函數的程序中,該轉移函數表示了將微影光罩上的一或多個節段轉換至印製且在基板中接續地蝕刻的特徵部的外廓或三維表徵。這樣的資料可替代地或另外地用於校正或優化一光阻模型以及一蝕刻模型。
生成轉移函數
在虛線矩形內的區塊係軟體模組以及程序的集合,其可用於生成一轉移函數,該轉移函數考量由微影及蝕刻兩者(或更一般地,任兩個將給定圖案轉移的連續裝置製造操作)所引入之變化。該轉移函數可顯示微影製程以及後續蝕刻製程如何將微影光罩(倍縮光罩(reticle))節段的外廓轉移至由該微影以及蝕刻製程所得之特徵部的外廓或三維表徵。
在某些實施例中,如以虛線框中之元件所表示的,半導體裝置生產商利用用於生成轉移函數的軟體工具117,以開發用於其特定蝕刻以及微影製程其中一者的微影光罩。在某些實施例中,半導體製造設備(諸如微影設備以及/或者蝕刻設備)的供應商利用用於生成轉移函數的該軟體工具(接近修正工具)。
光阻模型
在軟體117之內是一光阻模型119,該光阻模型119選用性地需要校正或優化。在某些實施例中,光阻模型119係一焦點曝光矩陣(FEM)模型。其可以諸如迴歸模型或是類神經網路(例如卷積類神經網路)的各種形式加以實施。對於諸如焦點以及曝光的給定之一組微影條件,其接收一或多個微影光罩節段以及輸出所顯影光阻的一預測輪廓(例如三維輪廓、厚度、側壁角度、基腳、圓角等等),該所顯影光阻係在該組微影條件下顯影並且經由一或多個光罩節段加以曝光。合適的光阻模型化軟體的示例包含可從由加利福尼亞州米爾皮塔斯市的KLA-Tencor取得的Prolith™系列模擬器、以及可從由加利福尼亞州伯靈格姆市的Panoramic Technology Inc取得的Hyperlith™。
在某些實施例中,該光阻模型輸出顯影之光阻特徵部的三維表徵。在某些實施例中,該光阻模型輸出在x-y或者x-z平面上顯影之光阻特徵部的二維表徵。在某些實施例中,該光阻模型輸出二個二維表徵,一個在x-y平面上而其他在x-z平面上。
優化光阻模型
在沒有校正或優化的情況下,光阻模型119可能合適於預測顯影的光阻輪廓。舉例而言,該光阻模型119可能已針對將用於生產的該組微影條件以及光罩節段加以預校正。然而,假設該光阻模型119需要優化,至少針對條件下的製程優化,則在ADI特徵化109所生成之資料可用以迭代地校正該模型。這反映在一優化模組121以及與光阻模型119的交互作用中。優化模組121接收:(i)表示來自109的ADI檢測結果的資料、以及(ii)由光阻模型119所預測之顯影後光阻輪廓。在某些實施例中,優化模組121接收多對檢測結果以及預測的光阻輪廓,每對針對不同微影光罩節段(如同由資料庫103中的一組片段或線規所提供的)。將由光阻模型119所預測之輪廓與測定的ADI結果之間的差異用以調節在光阻模型中的浮動參數或者以其他方式調整該模型以改善其預測能力。一般來說,這是一種迭代方法,其中對受調整的光阻模型提供來自該資料庫103的新一組的片段或線規,接著該調整後的光阻模型預測產生之光阻輪廓,接著將該預測的結果光阻輪廓與經由資料109的對應的ADI檢測結果相比較。此程序迭代地持續直到模型參數或其他可調特徵收斂。如下所述,用於優化光阻模型的程序可與用於優化蝕刻輪廓的程序具有許多相似處。舉例而言,可利用資料縮減與成本函數優化程序。
將光阻輪廓匯入蝕刻模型
在光阻模型119被優化了的情況下,其可用以可靠地預測顯影後光阻輪廓。因此,光阻模型119可用於開發轉移函數的下一階段:優化一蝕刻模型125。將片段或線規的新子集合提供至優化後的光阻模型以開始此製程,該優化後的光阻模型預測針對如在操作123(「匯入光阻輪廓」)所指出的那些片段或線規的光阻輪廓。
如在圖2的簡化示例中所說明的,一光阻輪廓可相較於更加幾何精確的光罩節段205(例如用於工具117的一線規或片段)而言具有圓形或粗糙的外廓203。
蝕刻模型
選用性地需要校正或優化的蝕刻模型125可能以諸如迴歸模型、查表、支援向量機、或例如卷積類神經網路的類神經網路的各種形式實施。基本上,針對諸如蝕刻反應器溫度、壓力、蝕刻劑組成、流率、電漿條件等的一給定組的蝕刻條件,蝕刻模型125接收由例如該光阻輪廓(例如在123處所接收的)所定義之初始布局(待蝕刻之基板的預蝕刻表面拓樸),以及輸出在該組蝕刻條件下蝕刻的基板特徵部的預測蝕刻輪廓(例如二維或三維輪廓)。蝕刻模型125係蝕刻輪廓模型的示例。
在某些實施例中,蝕刻模型125係如可從北卡羅來納州卡瑞鎮的Coventor, Inc.(Lam Research Company 子公司)取得的SEMulator3D™的行為模型。在某些實施方式中,行為模型利用製程抽象化以預測由一或多個半導體裝置製造操作所產生之特徵部的結構細節。行為模式的例子呈現在由Lorenz等人於2008年11月25日提交之美國專利第9,015,016號、以及由Greiner等人於2015年1月26日提交之美國專利第9,659,126號,其個別全部內容通過引用於此納入。
在某些實施例中,蝕刻模型125係表面動力模型(SKM)或相似模型,其試圖藉由化學反應速率常數以及/或者其他蝕刻製程的機械特性將蝕刻製程特徵化。SKMs模型的示例包含M. Kushner及其合作者的特定模型、以及Cooperberg 及其合作者的某些模型。前者描述於,例如:Y. Zhang, “Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation,” Chapter 3, dissertation, University of Michigan (2015);以及後者描述於:Cooperberg, Vahedi, and Gottscho, “Semiempirical profile simulation of aluminum etching in a Cl 2/BCl 3plasma,” J. Vac. Sci. Technol. A 20(5), 1536 (2002),這兩篇文獻都通過引用整體併入本文。M. Kushner及其合作者的蝕刻輪廓模型的額外描述可在下列文獻找到:J. Vac. Sci. Technol. A 15(4), 1913 (1997)、J. Vac. Sci. Technol. B 16(4), 2102 (1998)、J. Vac. Sci. Technol. A 16(6), 3274 (1998)、J. Vac. Sci. Technol. A 19(2), 524 (2001)、J. Vac. Sci. Technol. A 22(4), 1242 (2004)、J. Appl. Phys. 97, 023307 (2005),每篇文獻亦均通過引用整體併入本文。
在某些實施例中,蝕刻模型輸出蝕刻之特徵部的三維表徵。在某些實施例中,蝕刻模型輸出該蝕刻之特徵部在x-y或是x-z平面的二維表徵。在某些實施例中,蝕刻模型輸出兩個二維表徵,一個在x-y平面而其他在x-z平面。
如所提及的,蝕刻模型的預測能力取決於用以執行該蝕刻製程之顯影後光阻輪廓的表徵的精確度。這在圖5B及5C中說明,儘管5C顯示實際物理蝕刻製程的檢測結果。
優化蝕刻模型
在某些實施例中,在沒有校正或優化時,蝕刻模型125合適於預測蝕刻輪廓。然而,在許多情況下,其並不合適且因此需要優化。校正資料可來自各種來源,但在某些實施例中其包含:(a)展示晶圓的測定結果,該展示晶圓係使用產生該蝕刻模型的製程(生產製程)加以蝕刻;以及(b)將產自生產光微影製程的輸入光阻布局。如圖1中所描繪,蝕刻模型125可接收用以在111蝕刻展示晶圓的製程條件。蝕刻模型125亦可接收由優化版本的光阻模型119所產生之光阻輪廓123。光阻輪廓應相應於在111用以蝕刻展示晶圓的片段或線規。替代地,輸入光阻輪廓可來自ADI測定與特徵化(107以及/或者109)。蝕刻模型125使用這些輸入來預測蝕刻輪廓。
在所示之實施例中,優化模組127接收(i)在AEI特徵化115中所生成之資料、以及(ii)由蝕刻模型125所預測之蝕刻輪廓,以校正蝕刻模型125。在某些實施例中,優化模組127接收多對檢測結果以及預測之蝕刻輪廓,每對用於不同微影光罩節段(如同由資料庫103中的一組片段或線規所提供的)。將由蝕刻模型125所預測之輪廓與測定的AEI結果115之間的差異用以調節在蝕刻模型中的浮動參數或者以其他方式調整該模型以改善其預測能力。一般來說,這是一種迭代方法,其中對調整的蝕刻模型提供新一組的輸入光阻輪廓(由優化的光阻模型119從來自資料庫103的片段或線規所預測),接著該調整後的蝕刻模型預測結果蝕刻輪廓,接著將該預測的結果蝕刻輪廓與相對應的經由資料115之AEI檢測結果相比較。此程序迭代地持續直到模型參數或其他可調特徵收斂。
使用優化的光阻及蝕刻模型以生成轉移函數
當光阻模型119以及蝕刻模型125的可靠版本存在──經由,例如,如上述之優化處理的驗證──它們可用以產生可靠地預測之蝕刻的特徵部的外廓或三維表徵,該蝕刻的特徵部係藉由使用由光阻模型119模型化之光微影製程以及由蝕刻模型125模型化之後續蝕刻製程的組合加以產生。任何給定的片段或線規可提供至光阻模型119,該光阻模型119針對給定的一組光微影條件輸出一光阻輪廓,該光阻輪廓可接著作為一輸入提供至蝕刻模型125。接著,蝕刻模型125處理光阻輪廓以及蝕刻條件以輸出針對該片段或線規的蝕刻輪廓。
在某些實施例中,使用表示全晶片光罩布局中之複數節段的一組片段或線規,如上所述地協同工作的優化的光阻模型119以及優化的蝕刻模型125生成對應於該片段或線規的一系列蝕刻外廓。因此,各片段或線規具有相對應的蝕刻外廓,該蝕刻外廓被預測將由已模型化之光微影製程及蝕刻製程(例如,用以在生產積體電路晶片中製造一層的製程)產出。示例蝕刻之特徵部外廓207顯示圖2中光罩節段205以及光阻特徵部外廓203的情境。
外廓生成模組129反映外廓的生成。值得注意的是,光阻模型119以及蝕刻模型125可生成三維輪廓,該三維輪廓包含從上方觀察之在平行於晶圓表面或積體電路晶片表面之平面上(或在該表面上)的x與y維度、以及在正交於晶圓表面或積體電路表面之方向上的一z維度。在某些情境下,一外廓係僅包含x及y維度的的二維表徵。值得注意的是,只有x與y維度用於經由下線(tapeout)所提供並實施於微影光罩的設計布局。該外廓生成模組129接收從優化版本的蝕刻模型125輸出的三維蝕刻輪廓並且輸出相關於用作為光阻模型119之輸入的片段或線規的二維(x-y)的外廓。在某些實施例中,外廓係藉由指明蝕刻輪廓中z方向高度或是藉由指明待蝕刻之堆疊的材料加以生成。在某些實施例中,該外廓生成模組129輸出蝕刻之特徵部的全三維表徵。
在某些實施例中,亦經由檢測提供外廓。因此,舉例而言,將在操作107/109以及/或者113/115中所產生x-y外廓(範例參見圖5C)與在外廓生成模組或操作129中的模型模擬的x-y蝕刻外廓結合使用。
最後,系統117(該系統117可能以一套軟體模組實施)以單獨的方式或與檢測結果結合的方式產生一組光罩節段(來自資料庫103的選定之一組片段或線規)以及使用預期的生產光微影製程及蝕刻製程而產生之特徵部的相對應三維表徵或外廓。利用這些配對之光罩節段與結果蝕刻外廓,精簡模型化模組131產出一轉移函數。如所解釋的,轉移函數將光罩節段的外廓與由使用了該光罩節段的半導體裝置製造操作所產生之特徵部的三維表徵或外廓相關聯,以產生相對應的蝕刻特徵部。舉例而言,精簡模型化模組131識別一組光罩節段與相對應組的蝕刻之特徵部外廓(使用該光阻模型以及該蝕刻模型加以產生)之間的關係中的模式,以定義該轉移函數。模組131藉由各種協定中的任何者生成該轉移函數。在一示例中,其使用諸如卷積類神經網路或遞迴類神經網路的機器學習系統。該轉移函數本身可能係包含類神經網路、查表、迴歸模型、隨機森林模型、半經驗關係等等的各種關係或模型之任意者的形式。
在某些實施例中,精簡模型化模組131亦使用關於蝕刻製程的物理以及/或者化學的資訊以開發該轉移函數。舉例而言,模組131可考慮電漿成分通量(例如離子、自由基、以及/或者沉積物種)、可見性內核(visibility kernel)(進入特徵部內部)等等。無論x-y外廓(或全三維表徵)是單獨使用或是與蝕刻製程的物理/化學特徵結合使用,模組131生成該轉移函數。
可利用該轉移函數的反函數(輸出將產生特定蝕刻特徵部外廓之光罩節段的函數)以提供接近修正。可使用精簡模型化模組131或另一種軟體生成該反轉移函數。無論它是怎麼生成的,該反轉移函數可用於諸如顯示於圖1中之全晶片OPC(光學接近修正)工具133的全晶片接近修正工具。如本領域所知,使用全晶片OPC工具以設計用於所欲之設計布局的已接近修正的微影光罩,其可經由積體電路設計的全晶片層的獲准之電子CAD檔提供。全晶片OPC工具的例子包含可從由荷蘭的費爾德霍溫市的ASML Brion取得的Tachyon™ SMO、以及可從由加利福尼亞州弗里蒙特市的Mentor Graphics取得的Calibre™。
在其他實施例中,可利用此處所描述之製程以產生轉移函數以供光微影以及除了蝕刻外的後續半導體製造操作。這樣的其他半導體製造操作的例子包含在圖案化的表面上沉積,其中包含在具有兩種或以上不同材料的表面上的選擇性沉積。
術語
片段或線規係全晶片布局的節段。該片段或線規可從一測試遮罩或片段測試遮罩(亦即,僅包含感興趣的多邊形的一個)生成,且可以GDS格式(例如GDSII格式)提供。GDS係包含所謂的多邊形之設計布局的標準格式的一個例子:有些包括GDSII「圖形資料庫系統」以及OASIS(開放原圖交換標準)。線規檔案讀取器可讀取測試遮罩(如同在某些光學接近修正情境下習知的)以查看待模型化之感興趣的節段的位置。這些係在一設計片段資料庫中提供的片段或線規。線規可包含在X/Y中的座標、標籤協定、如繪於布局中的CD/間距設計等等。片段或線規係以二維、平行於積體電路晶片或微影光罩的平面加以提供。
如此處所使用之「特徵部」係在基板表面上之非平面結構,一般是在半導體裝置製造操作中受修飾的表面。特徵部的例子包含:溝槽、介層窗、墊、柱、圓頂等等。特徵部可由光阻顯影、遮罩定義、微影蝕刻、微影沉積、磊晶生長、鑲嵌沉積等等加以創造。特徵部一般具有深寬比(深度或高度對寬度的比率)。特徵部深寬比的例子包含:至少約1:0.5、至少約1:1、至少約2:1、至少約5:1、至少約10:1、或更高的深寬比。在某些實施例中,一特徵部具有在約10 nm到500 nm之間的寬度尺寸(其可能係臨界尺寸),例如在約25 nm與約300 nm之間。特徵部輪廓可能在特徵部開口處逐漸變窄以及/或者包含懸伸部。凹入輪廓係從特徵部的底部或內部往特徵部開口變窄的一種例子。
在此處的各種情境中,特徵部輪廓意指該特徵部的三維表徵,而特徵部的外廓意指特徵部的二維表徵──通常是從上到下面對積體電路晶片的平面加以觀察。
生產製程係微影圖案化製程、蝕刻製程、或用以生產商用積體電路晶片的其他半導體裝置製造操作。生產製程係用於積體電路製造設施。積體電路供應商(例如Intel或Qualcomm)提供積體電路的設計,並且該設計係藉由在製造設備中執行生產製程,轉譯成商用積體電路晶片。利用多個生產製程以製造積體電路晶片的每一層。
轉移函數──一種函數,該函數將微影光罩的一或多個節段的外廓轉換成對應於該一或多個節段並且由半導體裝置生產操作(例如光微影或蝕刻或其組合)生產的一或多個特徵部的外廓以及/或者三維表徵,該半導體裝置生產操作試圖將該一或多個節段的形狀轉移至待處理之基板。光阻節段的外廓通常係具有尖銳頂點以及將相鄰頂點連接之直線的多邊形。由諸如光微影以及/或者蝕刻的半導體裝置製造操作所生產之相對應特徵部的外廓,一般來說在頂點係更加渾圓的,且在該渾圓頂點間的線段是鋸齒狀的。如此處所使用,術語轉移函數廣義應用在轉移函數以及轉移函數的反函數兩者。應了解的是,取決於使用者或應用的觀點,任何轉移函數亦可視為反轉移函數。
術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、以及「部分製造的積體電路」可互換使用。發明所屬領域中的通常知識者了解,術語「部分製造的積體電路」在積體電路製造之任何或許多階段期間可意指半導體晶圓。用於半導體裝置工業的晶圓或基板一般具有200 mm、或300 mm、或450 mm的直徑。此實施方式章節係假設實施例實行於一晶圓上。然而,本揭露並不限於此。工件可具有各種形狀、尺寸、以及材料。除了半導體晶圓以外,可利用所揭露之實施例的其他工件包含各種製品,諸如印刷電路板、磁記錄媒體、磁記錄感測器、反射鏡、光學部件、微機械裝置等等。
如此處所使用之「半導體裝置製造操作」係在半導體裝置的製造期間執行的一單元操作。一般來說,所有製造製程包含多個半導體裝置製造操作,每一個在其自身的半導體製造工具中執行,該半導體製造工具諸如電漿反應器、電鍍槽、化學機械平坦化工具、濕蝕刻工具等等。半導體裝置製造操作的類型包含:消去處理,諸如蝕刻製程或平坦化製程;以及材料添加處理,諸如沉積製程。在蝕刻製程的情境下,基板蝕刻製程包含蝕刻遮罩層的製程,或者更一般地,將先前沉積在基板表面以及/或者以其他方式駐留在基板表面上的任何材料層加以蝕刻的製程。這樣的蝕刻製程可將基板中的疊層蝕刻。
在此處使用之「半導體裝置製造操作之結果」係經受半導體製造操作之基板的特徵。這樣的結果的一個例子為在經過半導體製造操作後之基板的幾何輪廓。該輪廓係在空間中一組點,表示了一特徵部或一組特徵部的位置。舉例來說,該輪廓可能係已蝕刻特徵部的輪廓、已沉積特徵部的輪廓、已平坦化特徵部的輪廓等等。在另一示例中,半導體製造操作的結果係由入射電磁輻射與諸如已蝕刻特徵部、已沉積特徵部、或已平坦化特徵部的一或多個基板特徵部的交互作用所產生之信號。在這樣的例子中,該結果可為,例如,一反射信號,該反射信號可包含作為波長以及/或者極化態之函數的反射強度。該結果亦可係橢圓偏振信號。在另一示例中,半導體製造操作的結果係諸如光學臨界尺寸(「OCD」)輪廓參數的一組輪廓參數,其呈現特徵部之幾何圖形的特徵,該特徵部諸如已蝕刻特徵部、已沉積特徵部、或已平坦化特徵部。這樣的輪廓參數可表徵特徵部的整體特徵,諸如其平均臨界尺寸、其側壁角度、其深度等等。
可在半導體製造操作期間的多個時間點或一個時間點得到半導體製造操作的結果。若是該結果只在一個時間點提供,則該時間點可能在半導體製造操作完成的時間點。
如此處所使用之「半導體裝置製造操作的計算預測結果」係諸如藉由計算模型(例如用於所考慮之裝置製造操作的製程模擬模型)而計算地產生的半導體裝置製造操作之預測結果。在某些實施例中,計算處理計算出由幾何輪廓座標表示的預測特徵部輪廓。在其他情況下,該計算處理計算出由電磁輻射與預測特徵輪廓交互作用所產生的預測光學回饋。在其他情況下,該計算處理計算出特徵部輪廓的預測幾何輪廓參數(例如呈現所計算蝕刻輪廓幾何圖形之特徵的一組OCD輪廓參數),如同由半導體裝置製造操作所產生的。在某些實施例中,特徵部輪廓、光學響應、以及/或者輪廓參數係作為時間函數(在該時間期間發生半導體裝置製造操作)加以計算。在某些實施例中,該計算處理預測在表示半導體基板之特徵部輪廓的網格點處的局部反應速率,以預測半導體裝置製造操作的結果。這導致了從在計算開始時使用的初始輪廓偏離的基板/特徵部輪廓。
在計算處理計算出預測的光學響應的情況下,其可藉由模擬電磁輻射從該計算的蝕刻輪廓的反射來計算一反射光譜或一橢圓偏振響應。可藉由使用,例如,嚴格耦合波分析(「RCWA」)模擬或有限差分時域(「FDTD」)模擬,產生該反射光譜或該橢圓偏振響應。
在某些實施例中,計算處理產生基板特徵部之幾何輪廓或輪廓參數的一時間序列。在某些實施例中,計算處理產生計算之反射光譜或橢圓偏振響應的一時間序列,所計算的該反射光譜或橢圓偏振響應係藉由模擬在不同時間電磁輻射從計算之基板特徵部輪廓的反射所產生。該時間序列可在半導體裝置製造操作的不同期間產生。可提供半導體裝置製造操作的計算之預測結果用於基板消去處理以及/或者基板添加處理。
此處所使用之「檢測結果」意指至少部分藉由測定經處理之基板的特徵部所產生之結果。該測定可能在反應腔室中進行半導體裝置製造操作的時候或者在進行之後執行,該反應腔室係在該組固定的製程參數值的狀況下操作。在某些實施例中,經處理之基板特徵部的測定步驟產生輪廓座標。在這樣的實施例中,經處理之基板特徵部的測定步驟可包含在已蝕刻基板上執行顯微術(例如SEM、TEM、STEM、REM、AFM)或光學檢測。當使用光學檢測時,該系統可藉由從測定的光學檢測信號計算輪廓座標以獲得此等座標。在某些實施例中,檢測結果係藉由將測定的特徵部輪廓座標轉換成一組幾何輪廓參數所產生,該組幾何輪廓參數呈現出在經處理的基板中的該特徵部之幾何圖形的特徵(例如,臨界尺寸、側壁角度、深度等等)。在某些實施例中,檢測結果係藉由在經處理之基板上執行反射量測、圓頂散射測量、角分解散射測量、小角度X光散射、以及/或者橢圓偏振所產生。在某些實施例中,檢測結果係特定製程的端點檢測。可 原位確定的該端點檢測可藉由各種光學技術加以測定。
在某些實施例中,提供檢測結果作為基板特徵部的測定幾何輪廓、反射率或橢圓偏振資料、或輪廓參數的一時間序列。在半導體裝置製造操作的不同期間產生這些測定的檢測結果。
此處所使用之「製程模擬模型」係預測半導體裝置製造操作之結果的計算模型。換句話說,其輸出該結果。如同所解釋的,結果的示例包含特徵部輪廓( 例如,特徵部的詳細笛卡兒坐標)、呈現一特徵部之特徵的輪廓參數(例如,臨界尺寸、側壁角、深度等等)、以及/或者若是使用光學檢測以探測特徵部時所產生之反射率/橢圓偏振資料。該結果係基於在模擬的半導體裝置製造操作期間所產生或調整之特徵部。可在半導體裝置製造操作期間的一或多個時間點預測該結果。
對製程模擬模型的輸入包含一或多個製程參數值,該一或多個製程參數值呈現半導體裝置製造操作之特徵。時常,用作為輸入的製程參數係反應器條件,諸如溫度(基座、噴淋頭等等)、電漿條件(密度、電位、功率等等)、處理氣體條件(諸如成分分壓、流率、壓力等的組成)等等。一般來說,製程模擬模型亦接收初始輪廓基板,該初始輪廓基板表示緊接在藉由受模型化之半導體裝置製造操作加以處理之前的基板表面的輪廓。在一簡單的例子中,該初始輪廓僅是一平坦表面。更一般地說,初始輪廓具有諸如遮罩或光阻特徵部的特徵部。
有時候,製程模擬模型模擬諸如基板蝕刻製程或平坦化製程的消去處理。在各種實施例中,製程模擬模型係如此處所描述之蝕刻輪廓模型。有時候,製程模擬模型模擬諸如基板沉積製程(例如化學氣相沉積、物理氣相沉積、原子層沉積等)的添加處理。在某些實施例中,處理模擬模型係如上所述之光阻模型。
此處所使用之「設置的製程模擬模型」描述以一個或浮動的製程模型參數設置的製程模擬模型。當如此設置時,並且在接收輸入製程參數以及基板初始輪廓之後,可執行製程模擬模型以預測半導體裝置製造操作之結果。
如此處所使用之「製程參數」係一參數,該參數呈現在半導體裝置製造操作期間發生在反應腔室或光微影儀器中(經常在由該操作所改質之基板表面上)之製程的特徵。一般來說,需要許多這樣的製程參數以獨特地呈現該製程之特徵。某些製程參數呈現相對容易控制以及/或者測定之製程實施態樣的特徵。這樣的製程參數的例子包含:溫度(基座、噴淋頭之溫度等等)、電漿條件(電漿密度、電漿電位、施加的功率等等)、處理氣體條件(諸如成分分壓、流率、壓力等的組成)、以及可調整之腔室幾何參數,諸如基座與噴淋頭之間之間距。其他製程參數呈現不可直接控制以及/或者不容易測定之製程實施態樣的特徵。這樣的製程參數的例子包含:局部條件,諸如在基板表面上的一位置的電漿密度、方向、或能量;以及機械特性,諸如反應速率常數、反應物以及/或者產物之黏附係數、反應物擴散常數、產物擴散常數、光色散性質、以及其組合。製程參數的值係用作製程模擬模型的輸入或設置。該值可為純量、向量、矩陣、張量等等。
此處所使用之「固定製程模型參數」係製程模擬模型所需之製程參數,但是在用以改善製程模擬模型之性能的優化製程期間,該製程參數的值為固定的。換句話說,在優化製程的期間,固定製程模型參數的值不改變。這與浮動製程模型參數是相異的,該浮動製程模型參數的值在優化執行期間改變。在某些實施例中,固定製程模型參數係可直接控制的以及/或者容易測定的。例子包含:在反應腔室中的溫度、一或多個應用的射頻頻率或反應腔室中的電漿條件、在反應腔室中的一或多種處理氣體條件、在反應腔室中的壓力、或是其任何組合。然而,固定製程模型參數可替代地為局部或機械參數。有時候為了在此處所描述之模型優化製程的方便性,固定製程模型參數的值或一組這樣的值以符號 µ 表示。
如此處所使用之「浮動製程模型參數」係製程模擬模型所需之製程參數,但是在操作製程的期間,該製程參數的值浮動(改變、調整等等)。浮動製程模型參數值從初始值或種值到最終值的迭代調整係模型優化製程的目標。若是優化程序成功,以浮動製程模型參數最終值設置的製程模擬模型提供比以浮動製程模型參數初始值設置的製程模擬模型更佳的預測能力。
在某些實施例中,浮動製程模型參數表示經歷該半導體裝置製造操作之基板的特徵。一般性示例包含難以測定在反應器中的局部條件以及/或者在該半導體裝置製造操作期間的反應機械性質。在某些例子中,該特性係反應速率常數、反應物以及/或者產物之黏附係數、反應物擴散常數、產物擴散常數、局部電漿條件(例如在該基板表面的離子通量、離子方向、自由基通量等等)、光色散性質、或其任何組合。然而,浮動製程模型參數並不限於這樣的參數。可能更典型地用作固定製程模型參數的參數亦可被使用或是用作該等浮動製程模型參數或作為其一部分。這樣的非機械參數的例子包含:反應腔室中的溫度、反應腔室中的一或多種RF條件、反應腔室中的一或多種處理氣體、反應腔室中的壓力、應用的電漿條件、或其任何組合。在某些實施例中,浮動製程模型參數包含針對給定製程的更加整體聚焦的參數,該參數由製程模擬模型所表示。這樣的浮動製程模型參數的例子包含:縱向蝕刻率、側向蝕刻率、垂直蝕刻深度、蝕刻選擇性、縱向沉積率、濺射率的電漿角相依性、以及濺射率的電漿能量相依性,全部係用於給定半導體裝置製造操作的給定材料。浮動製程模型參數的其他例子包含:離子入口的傾斜角、離子入口的扭角、蝕刻以及/或者沉積的可見度(例如特徵部內部)、角分布(有時稱為來源標準差(source sigma))、黏附係數(有時稱為等向性比(isotropic ratio))、最大濺射率角(sputter maximum yield angle)、濺射率、以及每晶體方向的蝕刻率,再一次地,全部係用於給定半導體裝置製造操作的給定材料。
在各種實施例中,浮動製程模型參數組合任意二個或以上的製程模型參數,該等製程模型參數呈現經歷該半導體裝置製造操作之基板的特徵。該組合可能係參數個別值的乘積或和,該等參數個別值可基於該個別參數對該模型之預測能力的相對重要性或是基於其他因素加以加權。有時候,在組合之前先將該等個別參數中的某些或全部的值歸一化。在某些實施例中,將該個別值以向量形式的獨立貢獻加以提供。在一示例中,參數組合可以係離子密度以及與表面上之材料的反應速率。在不考慮任何其他因素的情況下,移除的機率將與離子密度、反應速率、基板材料密度、以及原始輪廓的表面面積的乘積成比例。因此,離子密度與反應速率不可單獨地決定,但是其乘積可以。在某些情況下,浮動製程模型參數並不具有對裝置製造操作的特定物理以及/或者化學處理的已知關聯。當優化行為製程模擬模型時,這樣的浮動製程模型參數可以是適當的。
在迭代優化製程期間,在任何迭代,浮動製程模型參數的值被認為是浮動製程模型參數的「當前值」。在先前迭代期間該參數的值可被稱為該浮動製程模型參數的先前值,而在連續迭代期間的參數值可被稱為浮動製程模型參數的連續值。從一個迭代到下一個迭代之浮動製程模型參數值的調整有時稱為該浮動製程模型參數之當前值的更新。在迭代優化製程的最後,該浮動製程模型參數的值稱為該浮動製程模型參數的最終值。
「優化」諸如如此處所描述之光阻模型或蝕刻模型的製程模擬模型,係用以改善製程模擬模型的能力以預測該模型設計以模擬之半導體裝置製造操作的結果。時常在此處之探討中,一優化程序藉由迭代地調整一或多個浮動製程模型參數的當前值將製程模擬模型優化。在優化期間,在預測結果與實驗決定之結果均針對相同半導體裝置製造操作而生成的情況下,製程模擬模型的該計算預測結果(其使用了該(等)浮動製程模行參數的該(等)當前值)可與該實驗決定之結果(例如一測定結果)相比較。該比較步驟提供反映出預測/模擬結果與實驗決定之結果之間的差異(或一致), 之大小的成本值。該優化程序使用該成本值以至少:(i)確定該(等)浮動製程模型參數值的該(等)值是否收斂、以及(ii)若是該(等)值不收斂,決定如何針對下一迭代調整該(等)浮動製程模型參數的該(等)當前值。在某些實施例中,該製程不只使用當前迭代的成本值,還使用歷史迭代的全部或某些之先前成本值,以求全域最適值。
如此處所使用的,將製程模擬模型的計算預測結果的值與實驗決定之結果(例如檢測結果)的值「比較」,表示將兩個結果的一或多個特徵值或指數相比較。該比較步驟提供用於優化製程的一或多個成本值。差(成本值)的例子包含在多維度結果空間中的L1及L2範數、歐氏距離、以及馬哈朗諾比斯距離。作為使用具有多個特徵值或指數之結果的例子,該比較步驟可藉由萃取描述差異的多個指數加以完成。作為示例,這些指數可以係在一特徵部之多個高度的臨界尺寸(CD)差、製程終點差(例如在一蝕刻製程終點的差)、給定材料之厚度差、或是在一完整頻譜中的頻譜差。這些指數構成用於優化的成本函數;該函數亦可係它們的組合,針對每一者有各自的權重因子。該成本函數有時候在此處稱為「差」,其應該較簡單數學運算A減B而言更為廣泛地解釋。
如此處所使用,當以浮動製程模型參數值設置的一製程模擬模型對適用的應用適當地執行時,該浮動製程模型參數值「收斂」。各種收斂判別準則在本領域中為已知的且可加以應用。在下方描述它們之中的某些。一般來說,成本值在一優化程序中的每個迭代中評估。在單一迭代期間所產生之成本值可在與來自其他迭代之成本值分別或結合的狀況下評估。這樣的評估允許該優化程序執行一收斂查核。若是該一或多個成本值指出該浮動製程模型參數的當前值提供可接受地執行以及/或者不再顯著地改善的製程模擬模型,則該優化程序終止該製程並且認定該浮動製程模型參數的當前值為最終值。該優化程序已收斂。因此,在某些實施例中,該收斂方法決定參數估計(成本函數)的誤差何時無法再改善。這允許了貝氏圖以解決問題。該收斂查核可搜尋在該成本值中的局部或全域最小值(或最大值,取決於該成本值的結構)。
蝕刻輪廓模型
蝕刻輪廓模型(EPM)如此處所描述之蝕刻模型的一種。它們從一組輸入蝕刻反應參數(自變數)計算一理論決定的蝕刻輪廓,該組輸入蝕刻反應參數表徵了諸如若干隱含的物理以及化學蝕刻製程及反應機制的蝕刻反應的某些特徵。這些製程可模組化成時間及網格中位置的函數,該函數表示了受蝕刻的特徵部及其周遭。輸入參數的例子包含:諸如離子通量的電漿參數、以及諸如特定化學反應發生之機率的化學反應參數。其他例子包含:待蝕刻之基板的特性(例如厚度及材料的逐層描述)、用於待蝕刻之一或多個特徵部的初始遮罩布局、處理腔室條件等等。這樣的參數可從各種來源取得,包含其他模型,該其他模型從一般反應器設置以及諸如壓力、基板溫度、電漿源參數(例如功率、頻率、供至電漿源的工作週期)、反應物及其流率的製程條件來計算該等參數。在某些實施例中,這樣的模型可以為EPM的部分。
EPM將這樣的參數視為自變數(在此處所描述之優化程序的背景中,該自變數可為固定的以及/或者浮動的)並且功能性地生成蝕刻輪廓作為反應變數。換句話說,一組自變數係用作模型輸入的參數,而反應變數係由該模型所計算出的蝕刻輪廓特徵值。該等EPM可利用反應參數與蝕刻輪廓之間的一或多種關係。該等關係可包含,例如,係數、權重、以及/或者其他模型參數(以及反應參數以及/或者其他模型參數的線性函數、二次或更高階的多項式函數等等),該等關係以定義的方式應用於自變數以生成與蝕刻輪廓相關的反應變數。這樣的權重、係數等可代表一或多種上述的反應參數。在某些實施例中,這些模型參數係在此處所描述之優化技術期間受調整或調變的浮動製程模型參數值。在某些實施例中,某些反應參數係待優化的模型參數,而其他則是用作固定製程模型參數。舉例而言,在某些實施例中,化學反應參數可能係可優化的浮動製程模型參數,而電漿參數可能係固定製程模型參數。
如所解釋的,某些EPM利用基本反應機械參數且可能被視為潛在化學性質與物理性質的基礎,因此該實驗製程工程師一般而言無法控制這些量。在該蝕刻輪廓模型中,這些變數可在網格的每個位置且在由定義的時間步驟所分隔的多個時間中加以應用。在某些實施方式中,該網格解析度可在大約幾埃與大約一微米之間變化。在使用時間相依模型化的某些實施方式中,該時間步驟可在大約1e-15與1e-10秒之間變化。在某些實施例中,該優化使用兩種機械自變數:(1)局部電漿參數、以及(2)局部化學反應參數。這些參數在該等參數可改變位置函數的意義上來說係「局部的」,在某些情況下可改變達到網格之解析度。電漿參數的例子包含局部電漿特性,例如諸如離子、自由基、光子、電子、激發物種、沉積物種的粒子的能量與通量以及其能量與角分布等。化學及物理化學反應參數的例子包含:速率常數(例如在特定時間特定化學反應將發生的機率)、黏附係數、蝕刻能檻、參考能量、定義濺射率的能量指數、角度產率函數(angular yield function)及其參數等。再者,參數化的化學反應可包含反應,其中,反應物包含待蝕刻之材料以及蝕刻劑。應了解的是,除了直接蝕刻基板的反應之外,化學反應參數亦可包含各種類型的反應。這樣的反應的例子包含副反應,其包含:寄生反應、沉積反應、副產物的反應等等。這些的任何者可影響整體蝕刻速率。亦應了解的是,模型可能需要除了上述電漿及化學反應輸入參數以外的其他輸入參數。這樣的其他參數的例子包含:在反應部位的溫度、分壓或反應物等。在某些情況下,這些以及/或者其他非機械參數可輸入到輸出某些機械參數的模組中。在某些實施例中,模型不使用(至少不直接地使用)機械參數。
EPM模型變數的初始(未優化的)值、以及在優化期間固定的變數(例如在某些實施例中的電漿參數)可從諸如文獻、由其他計算模組或模型的計算等等的各種來源取得。在某些實施例中,獨立輸入變數(諸如電漿參數)可藉由使用模型決定,例如,在電漿參數的例子中,係來自蝕刻腔室電漿模型。這樣的模型可從製程工程師可控制(例如藉由調整旋鈕)的各種製程參數而計算可應用之輸入EPM參數──例如諸如壓力、流率、電漿功率、晶圓溫度、ICP線圈電流、偏壓/功率、脈衝頻率、脈衝工作循環等等的腔室環境參數。
EPM 可採用許多不同形式中的任何者。最終,它們提供自變數與反應變數之間的關係。該關係可為線性的或非線性的。在某些實施例中,EPM 係本領域中稱為基於單元的蒙特卡羅表面反應模型。這些模型,以各種形式,運作以模擬在半導體晶圓製造情境下晶圓特徵部隨時間的拓樸演進。該等模型以由電漿模型或在晶圓上任意徑向位置的實驗診斷所產生之能量及角分布來發射擬粒子(pseudo-particle)。該擬粒子係加以統計地加權以表示自由基和離子到該表面的通量。該等模型針對在表面上導致蝕刻、濺射、混合、以及沉積的各種表面反應機制,以預測輪廓演進。在蒙特卡羅整合期間,追蹤各種離子與中性擬粒子在一晶圓特徵部內的軌跡,直到它們反應或是離開計算區域。EPM 具有預測在各種材料上的蝕刻、剝除、原子層蝕刻、電離金屬物理氣相沉積、以及電漿輔助化學氣象沉積的先進功能。
在某些實施例中,EPM利用在二或三維度的直線網目,該網目具有夠細緻的解析度以充分地處理/模型化晶圓特徵部的尺寸(儘管原則上該網目(無論是2D或3D)亦可使用非直線座標)。該網目可被視為在二或三維度的網格點的陣列。其亦可被視為表示2D局部面積、或3D體積的單元陣列,其與各網格點相關聯(或以該各網格點為中心)。在網目內的每個單元可表示不同固體材料或材料混合物。將2D或3D網目選作為模型化的基礎可取決於待模型化之基板特徵的類別/類型。舉例而言,2D網目可用以模型化一長溝槽特徵部(例如在多晶矽基板中),在該溝槽的末端之幾何圖形不太相關於沿著遠離其末端大部分溝槽長度而發生的反應製程的假設下,2D網目刻劃該溝槽之剖面形狀(意即,以此剖面2D模型為目的,假設溝槽是無限的,對於遠離其末端的一溝槽特徵部再次為一合理假設)。另一方面,其對於使用3D網目模型化一圓形介層窗特徵部(穿透矽通孔(TSV))來說是可能恰當的(由於該特徵部的x、y水平尺寸係彼此相同的)。
網目間距可從例如亞奈米(例如從1埃)上至幾微米(例如10微米)。一般來說,每個網目單元被賦予材料特性,例如:光阻、多晶矽、氣相蝕刻劑、或電漿(例如在未被特徵部佔用的空間區域中),在輪廓演進期間該材料特性可改變。固相物種可以在一計算單元中的材料特性表示;氣相物種可以計算擬粒子表示。以這種方式,在反應蝕刻製程中晶圓特徵部的幾何圖形/拓樸隨時間衍變之時,網目提供基板特徵部以及周遭氣體環境(例如電漿)的合理細節表徵(例如為了計算目的)。
前面的某些描述,聚焦在製程模擬模型,諸如表面動態模型,其利用了半導體裝置製造操作的機械表徵。這樣的模型在於2016年2月8日提交之美國專利申請案公開號第20170228482號、以及於2016年6月21日提交之美國專利申請案公開號第20170363950號中更詳細描述,其兩者以引用的方式整體併入本文。然而,某些實施例使用很不同的模型以表示半導體裝置製造操作。在某些情況下,模型並不使用(至少不直接地使用)試圖解釋在半導體裝置製造操作中的潛在化學或物理性質的機械參數。舉例來說,行為模型可利用製程抽象化以預測由一或多個半導體裝置製造操作所產生之特徵部的結構細節。行為模型的一個例子是來自Coventor(Lam Research Company子公司)的SEMulator3D™。行為模型的例子在於美國專利第9,015,016號以及美國專利第9,659,126號中呈現,其兩者均先前通過引用結合。
在各種實施例中,此處描述之製程模擬模型以三維的方式將一特徵部模型化。在某些情況下,此處所描述之製程模擬模型預測半導體裝置製造操作的影響,該影響不只是對於一個特徵部,更是遍及一設計布局中一個區域的一組特徵部(例如在大型多設備區域)。
儘管前面的描述聚焦在蝕刻模型,本揭露亦涉及諸如用於預測在基板上平坦化處理或沉積處理的影響之模型的其他模型。
實驗與輪廓測定
為了優化諸如光阻模型以及/或者蝕刻模型的製程模擬模型,可執行各種實驗以便──如實驗所允許的那般精確地──確定由在如各種製程參數組所指定之各種製程條件下執行之實際製程所產生的實際輪廓。因此,例如,某人指定一組製程參數(諸如蝕刻劑流率、電漿功率、溫度、壓力等等)的第一組值,相應地設置腔室儀器,將蝕刻劑流入該腔室、擊發電漿等等,並且接著處理第一半導體基板步驟以產生第一輪廓。接著指定相同製程參數組的第二組值,處理第二基板以產生第二輪廓等等。
製程參數的各種組合可用以適當地呈現廣大或集中的製程空間,以優化該製程模擬模型。接著使用製程參數的相同組合以藉由製程模擬模型計算諸如機械參數的(自變數)輸入參數,以提供可與實驗結果相比較之輪廓輸出(反應變數)。因為實驗可以是昂貴且費時的,所以可利用技術以用減少需要進行的實驗數量的方式設計實驗,以提供優化製程模擬模型的穩健訓練組。諸如實驗設計(DOE)的技術可用於此目的。一般來說,這樣的技術決定在各種實驗中要使用哪一組製程參數。它們藉由考量製程參數、隨機化等等之間的統計交互作用來選擇製程參數的組合。作為示例,DOE可辨認涵蓋圍繞已最終確定的製程中心點的有限範圍之參數的少量實驗。
在某些方法中,研究員將在模型優化製程的早期執行所有實驗並且在該優化程序迭代中只使用那些實驗直到收斂。或者,實驗設計者可針對優化的早期迭代進行某些實驗以及隨著優化進行在之後執行額外實驗。該優化製程可通知實驗設計者待評估的特定參數以及因此針對後續的迭代待執行的特定實驗。
一或多個 原位或離線檢測工具可用以測定實驗產生的輪廓,該輪廓係由這些實驗製程操作而得。可在製程結束時、在製程期間進行測定、或者在製程期間一或多個時間進行測定。當在製程結束時進行測定時,測定方法可是破壞性的;當在蝕刻製程期間的區間進行時,測定方法通常將是非破壞性的(所以不會中斷蝕刻)。適當的檢測技術的例子包含但不限於: 原位反射量測術、OCD、剖面SEM、以及其他上面所提及的。值得注意的是,檢測工具可直接測定特徵部輪廓,例如在SEM的情況下(其中該實驗基本上係將特徵部蝕刻輪廓進行成像);或者其可直接決定特徵部蝕刻輪廓,例如在OCD測定的情況下(其中,完成某些後處理以從真實測定資料中回推(back-out)特徵部蝕刻輪廓)。檢測技術可以它們在哪裡執行以及它們對樣品做甚麼加以分類;分類包含: 原位、離線非破壞性、以及破壞性檢測。舉例而言, 原位檢測包含:反射量測術以及橢圓偏振技術;舉例而言,離線非破壞性檢測包含:單波長以及寬頻OCD檢測或散射測量、圓頂散射術、CD-SAXS 、以及CD-SEM(由上而下SEM);以及舉例而言,破壞性檢測包含:X-SEM、STEM、以及TEM。
在任何事件中,實驗及檢測程序的結果係一組測定輪廓,每個通常包含一系列座標的一系列值或代表如上所述之特徵部輪廓的形狀的一組網格值。接著將該輪廓用作為訓練、優化、以及改善如此處所描述之計算蝕刻輪廓模型的輸入。
反射量測術以及橢圓偏振技術頻譜分析以及模型化工具
當使用製程模擬模型以產生特徵部輪廓值時,從幾何圖形產生的光學參數可使用諸如RCWA方法或類似技術的光學模型程序加以模型化或預測。
RCWA只是一種可用以描述從諸如光柵的周期性結構反射(繞射、散射)、或是穿透過這樣的光柵之輻射的特性的方法。RCWA主要由Moharam及Gaylord所開發並在科學文獻中描述。參見例如:M. G. Moharam and T. K. Gaylord “Rigorous coupled-wave analysis of planar-grating diffraction” J. Opt Soc of America, Vol. 71, Issue 7, pp. 811-818 (1981),其通過引用整體併入本文。RCWA計算各種繞射階數(零階以及更高階)的強度及偏振特性。可提供結果的其他光學模型化方法包含但不限於:C方法、模態方法、瑞立近似法、EFIE(電場積分方程式)、以及Cf-FFT(共軛梯度─快速傅立葉轉換)。
RCWA係一種計算電磁學中的半分析方法,其經常用於解決來自週期性介電材料的散射。這是一種傅立葉空間方法,因此設備以及場表示為空間諧波的總和。該方法係基於弗洛蓋定理,週期微分方程式的解可用弗洛蓋函數擴展(或者有時候稱為塊波(Block wave),尤其是在固態物理中)。將一裝置分割為在z方向上各自均勻的層。對於有諸如沿z軸漸變之介質電容率的性質的彎曲裝置而言,需要階梯近似。在各層的電磁模式係加以計算並且分析傳播穿過該等層。藉由使用像是散射矩陣的技術在層與層之間的每個介面匹配邊界條件以解決整體問題。為了對由入射平面波的波向量所決定之在週期介電媒介中的電磁模式求解,馬克斯威爾方程式(偏微分的形式)以及邊界條件由弗洛蓋函數擴展,並且轉變為無限大代數方程式。取決於所需之準確度與收斂速度,隨著高階弗洛蓋函數的截斷,該無限大線性方程式變得有限且因此可由電腦求解。
另一種計算地產生光學參數方法係藉由使用有限差分時域(FDTD)方法,該光學參數係由光束與基板特徵部交互作用而產生(或者是可由此產生)。這是一種用於模型化電動力學的數值分析技術。這是基於網格的有限差分方法用於尋找時間相依的偏微分形式之馬克斯威爾方程式的近似解。該方程式在時間及空間偏導數上離散化。所得之有限差分方程式以跳位的方式求解:在給定的時刻對在體積空間中的電場向量分量求解,接著在下一個時刻對在相同空間體積中的磁場向量分量求解,並且重複此過程直到計算出所需之暫態或穩態電磁場。
收斂查核
浮動製程模型參數優化程序可能係迭代非線性優化程序──例如,其優化誤差度量或成本值,其通常是輸入參數的非線性函數──並且,因此,可使用在所屬領域已知用於非線性優化的各種技術。參見文獻,例如:Biggs, M.C., “Constrained Minimization Using Recursive Quadratic Programming,” Towards Global Optimization (L.C.W. Dixon and G.P. Szergo, eds.), North-Holland, pp 341–349, (1975); Conn, N.R., N.I.M. Gould, and Ph.L. Toint, “Trust-Region Methods,” MPS/SIAM Series on Optimization, SIAM and MPS (2000); Moré, J.J. and D.C. Sorensen, “Computing a Trust Region Step,” SIAM Journal on Scientific and Statistical Computing, Vol. 3, pp 553–572, (1983); Byrd, R.H., R.B. Schnabel, and G.A. Shultz, “Approximate Solution of the Trust Region Problem by Minimization over Two-Dimensional Subspaces,” Mathematical Programming, Vol. 40, pp 247–263 (1988); Dennis, J.E., Jr., “Nonlinear least-squares,” State of the Art in Numerical Analysis ed. D. Jacobs, Academic Press, pp 269–312 (1977); Moré, J.J., “The Levenberg-Marquardt Algorithm: Implementation and Theory,” Numerical Analysis, ed. G. A. Watson, Lecture Notes in Mathematics 630, Springer Verlag, pp 105–116 (1977); Powell, M.J.D., “A Fast Algorithm for Nonlinearly Constrained Optimization Calculations,” Numerical Analysis, G.A.Watson ed., Lecture Notes in Mathematics, Springer Verlag, Vol. 630 (1978),上述每個全部內容通過引用於此納入。
一般而言,用於計算成本的比較將計算預測的多個實施態樣或指數與檢測結果相比較。這些指數計算產生的值與這些指數的測定值之間的差異構成了用於優化的成本函數。指數的例子包含:一材料的多高度的臨界尺寸(CD)差、給定材料的厚度差、以及整體頻譜的頻譜差。該成本函數可能是其組合,選用性地有針對各者的權重。該差可表示為L1或L2範數、歐氏距離、以及馬哈朗諾比斯距離等等。在某些實施例中,這些技術優化目標函數(在此為成本函數/值),其受到在輸入參數以及/或者誤差度量上施加的某些約束。在某些這樣的實施例中,該限制函數本身可能係非線性的。舉例而言,在計算的蝕刻輪廓係以由製程模擬模型所輸出之一組堆疊的梯形表示的實施例中,該成本值可定義為由這些堆疊的梯形的邊界所表示的面積以及測定的實驗蝕刻輪廓的面積之間的差。在這樣的情況下,該誤差度量係由製程模擬模型所輸出之反應變數的非線性函數,且因此,從方才所描述之(以及從結合的引用文獻)允許非線性限制的規範的那些中選擇受限的優化技術。
優化的製程模擬模型的應用
此處所揭露之轉移函數在需要對蝕刻製程詳細評定與特徵化的半導體處理流程中可以是有用的。例如,若是發展了一新蝕刻製程,該轉移函數可用以決定針對製程參數的許多組合的蝕刻輪廓特徵,而不需要進入實驗室並單獨進行每個實驗。以這種方式,該轉移函數可允許較快的製程發展循環,並且在某些實施例中,可顯著地減少微調目標輪廓所需的工作量。
微影操作以及遮罩顯影可從準確的轉移函數大幅獲益。於2016年12月1日提交之美國專利申請案第15/367,060號(其全部內容通過引用於此納入)描述了邊緣放置錯誤偵測以及微影遮罩設計。值得注意的是,至少有兩級別的設計布局校正可應用於此情境中:微影與蝕刻。換句話說,光學以及基於蝕刻的考量兩者皆可用以決定遮罩布局。使用如此處所描述而準備的模型決定該基於蝕刻的考量。
為了使用如此處所描述決定的布局來製造光微影遮罩,一製程以所謂的 空白片開始,該空白片包含以鉻層以及光阻層塗覆的玻璃基板。有時候使用不同於鉻的材料或除了鉻以外的材料。舉例而言,衰減式相移光罩使用諸如矽化鉬層的額外層。光阻可能係正光阻或負光阻。在電子束曝光時,所形成之圖案在光阻上形成,其可藉由蝕刻製程轉移到下方的鉻層。鉻在光微影遮罩上提供不透明區域,該不透明區域在半導體晶圓曝光期間投射陰影。
光微影遮罩的製造係在半導體裝置製造期間相似的微影步驟。然而,光阻的曝光係由電子束執行而非光(例如深UV)。將該空白片曝光於電子束輻射,該電子束輻射撞擊在光阻上由光罩設計布局所指定之位置,該光罩設計布局至少部分使用此處所描述之一類型的EPM決定。接著,將遮罩顯影以產生布局的圖案。該現在所形成的光阻圖案接著藉由恰當的蝕刻製程(例如電漿或濕式蝕刻)轉移到下方的鉻。此後,移除該光阻且將裸露的鉻圖案以薄膜(pellicle)覆蓋以防污染。
此處所揭露之轉移函數亦可對解決互逆問題(reciprocal)而言是有用的:其中,需要特定目標蝕刻輪廓且想要發現光微影或製程參數(或是EPC輸入參數)的一或多個特定組合以達成特定目標蝕刻輪廓。再次,這可由實驗試誤完成,但是精確的轉移函數可取代實驗的需要,或者至少在探索製程/輸入參數空間的初始階段取代實驗的需要,直到針對完整實驗研究可識別出好候選者。舉例而言,區塊125以及127的蝕刻模型可以在由模型可靠地處理之製程窗口內的各種製程條件迭代地運行,且在每次運行後,精簡模型確定所得之蝕刻特徵部輪廓/外廓是否在規格內或是否已優化。在鎖定產生所需之蝕刻特徵部/外廓的一組製程條件之後,一製程配方被固定。以及目前,反轉移函數識別待與製程配方結合使用的遮罩布局。
所揭露之計算實施例的背景
此處所揭露之某些實施例相關於用於生成以及/或者使用製程模擬模型以及/或者轉移函數的系統。此處所揭露之某些實施例相關於用於生成以及/或者使用在這樣的系統實行的製程模擬模型的方法。可設置用於生成製程模擬模型的系統,以分析用於將表示或關係校正或優化的資料,該表示或關係係用以表現在基板上半導體裝置製造操作的影響。亦可設置生成製程模擬模型以及/或者轉移函數的系統,以接收諸如表示在半導體裝置製造操作期間所發生之物理製程的程式碼的資料及指令。以這種方式,在這樣的系統上將製程模擬模型以及/或者轉移函數加以生成或程式化。用於使用製程模擬模型以及/或者轉移函數的的程控系統可被設置以(i)接收諸如製程參數的輸入,該製程參數將半導體裝置製造操作以及/或者用於在基板中產生特徵部的初始設計布局或遮罩加以特徵化,以及(ii)執行決定在基板上半導體裝置製造操作之影響的指令。最後,該系統可計算時間相依的(或非時變的)半導體裝置製造操作的結果。
具有各種電腦架構之任何者的計算系統的許多類型可實現為所揭露用於實施製程模擬模型和轉移函數之系統;以及用於生成以及/或者優化這樣的模型及函數的演算法。舉例而言,該系統可包含在一或多個一般目的之處理器或諸如可程式化的邏輯裝置(例如現場可程式閘陣列(FPGAs))的特殊設計之處理器上執行的軟體元件。再者,該系統可實施在單一裝置上或分布遍及多個裝置。計算部件的函數可彼此合併或是進一部分成多個子模組。
在某些實施例中,在恰當地程式化的系統上在製程模擬模型的生成或執行期間執行的程式碼可以軟體部件的形式實施,該軟體部件可儲存於非揮發性儲存媒介(諸如光碟、快閃儲存裝置、行動硬碟等),包含用於製造電腦裝置(諸如個人電腦、伺服器、網路設備等等)的大量指令。
在一個層面,軟體部件實施為由 程式設計員/開發員所準備之一組指令。然而,可由電腦硬體執行的模組軟體係使用「機械碼」記憶的可執行程式碼,該「機械碼」係選自設計在硬體處理器中的特定機器語言指令組或「原生指令(native instruction)」。該機器語言指令組、或原生指令組對硬體處理器是已知的且基本上內置於其中。這是系統及應用軟體藉以與硬體處理器溝通的「語言」。每個原生指令係離散碼,該離散碼由處理架構所識別,且該離散碼可為了算數、定址、或控制功能;特定記憶體位置或偏置;以及用以解釋運算員的特訂定址模式而指定特定暫存器。更複雜的操作藉由結合這些簡單原生指令加以建構,該原生指令係按順序、或者以其他方式由控制流程指令所指示加以執行。
可執行之軟體指令與硬體處理器之間的相互關係是結構性的。換言之,該指令本身係一系列符號或數值。它們並不本質上地運輸任何資訊。是按照設計預先配置以解釋該符號/數值的處理器給予此等指令意義。
可設置此處所使用之模型,以在單一位置的單一機器上、在單一位置的多個機器上、或是在多個位置的多個機器上加以執行。當使用多個機器時,可針對它們的特定任務特製各個機器。舉例而言,需要大塊程式碼以及/或者重要處理能力的操作可實施在大型以及/或者固定機器上。
此外,某些實施例與有形的以及/或者非暫時電腦可讀媒介或電腦程式產品相關,該電腦程式產品包括用於執行各種電腦實施的操作的程式指令以及/或者資料(包含資料結構)。電腦可讀媒體的例子包含但不限於:半導體記憶體裝置;相變裝置;諸如硬碟、磁帶的磁力媒體;諸如CD、磁光媒體的光學媒體;以及特別設置以儲存以及執行程式指令的硬體裝置,諸如唯讀記憶體(ROM)以及隨機存取記憶體(RAM)。電腦可讀的媒介可由終端使用者直接控制,或者該媒介可由終端使用者間接控制。直接控制媒體的例子包含位在使用者工廠的媒體以及/或者不予其他實體共享的媒體。間接控制媒體的例子包含使用者經由外部網路以及/或者經由提供諸如「雲端」的共享資源的服務可間接存取的媒體。程式指令的例子包含:諸如由編譯器所產生之機器程式碼、以及含有可由使用解譯器之電腦所執行的更高階程式碼的檔案兩者。
在各種實施例中,在所揭露之方法以及儀器中使用的資料或資訊係以電子格式提供。這樣的資料或資訊可包含:設計布局、固定參數值、浮動參數值、特徵部輪廓、檢測結果等等。如此處所使用的,以電子格式提供的資料或其他資訊可儲存在機器上以及在機器之間傳輸。習知地,電子格式的資料係數位地提供且在各種資料結構、清單、資料庫等等中可儲存為位元以及/或者位元組。資料可以電子的、光學的等方式實施。
在某些實施例中,製程模擬模型以及/或者轉移函數可每個被視為與使用者及與系統軟體介接之應用軟體的一種形式。系統軟體一般與電腦硬體以及相關聯的記憶體介接。在某些實施例中,系統軟體包含:操作系統軟體以及/或者韌體、以及安裝在系統中的任何中間軟體及驅動器。系統軟體提供電腦的基本非任務特定功能。相反地,模組以及其他應用軟係用以完成特定任務。每個用於模組的原生指令儲存於記憶體裝置且以數值表示。
一示例電腦系統800描繪於圖6中。如所示,電腦系統800包含一輸入/輸出子系統802,取決於其應用而可實施用於與人類使用者以及/或者其他電腦系統互動的介面。發明實施例可在系統800上的程式碼中實行,系統800具有用以從人類使用者接收輸入程式陳述以及/或者資料(例如經由一GUI或鍵盤)以及將它們顯示給該使用者的I/O子系統802。I/O子系統802可包含,例如:鍵盤、滑鼠、圖形使用者介面、觸控螢幕、或者其他輸入介面;以及例如:LED或其他平面螢幕顯示器、或其他輸出介面。
程式碼可儲存於諸如持久儲存器810或記憶體808或此兩者的非暫時媒體。一或多個處理器804從一或多個非暫時媒體讀取程式碼並執行該程式碼以使電腦系統能夠完成由此處之實施例所執行的方法,諸如如此處所描述之涉及產生或使用製程模擬模型的那些。精於本項技術者將了解處理器可接受諸如用於執行訓練以及/或者模型化操作之陳述的來源碼、以及將該來源碼解譯或編譯進在處理器之硬體閘層次可理解的機器碼。一匯流排將I/O子系統802、處理器804、周邊裝置806、記憶體808、以及持久儲存器810耦合。
結論
在此描述中,闡述大量特定細節以提供對所呈現之實施例的完整理解。所揭露之實施例可在不具某些或所有這些特定細節下實行。在其他情況下,已知製程操作並不詳細描述以免不必要地模糊所揭露之實施例。儘管所揭露之實施例與特定實施例結合描述,將可理解的是,特定實施例並不意欲限制所揭露之實施例。
103:操作 105:操作 107:操作 111:操作 113:操作 117:軟體工具 119:光阻模型 121:優化模組 123:操作 125:蝕刻模型 127:優化模組 129:外廓生成模組 131:精簡模型化模組 133:全晶片OPC工具 203:外廓 205:光罩節段 207:外廓 403:輪廓 503:輪廓 513:特徵部 515:特徵部 800:電腦系統 802:輸入/輸出子系統 804:處理器 806:周圍裝置 808:記憶體 810:持久儲存器
圖1為描繪各種操作(主要是檢測操作)以及邏輯模組(一般來說是以軟體實行)的系統圖,用於優化一光阻模型和一蝕刻模型以及用於生成一轉移函數,該轉移函數可用以在微影光罩上的圖案(以及包括這樣的圖案的節段)與藉由轉移這樣的圖案到光阻上並且接續蝕刻在該光阻圖案下方之基板所產生的外廓之間的轉換。
圖2為圖形,以簡化及假定的形式顯示:在微影光罩上的一節段的外廓;所顯影光阻特徵部的外廓,使用該節段將該特徵部曝光;以及藉由穿過該光阻特徵部蝕刻而產生之蝕刻特徵部外廓。
圖3A說明可在設計片段資料庫中使用,或者以其他方式使用於(i)優化製程模擬模型(例如一光阻模型或一蝕刻模型)以及/或者(ii)生成近似校正轉移函數的線規家族。
圖3B說明不同特徵部尺寸的片段或線規(左圖)以及由微影、或由微影製程的光阻模型所產生之一系列相關聯的外廓。
圖4顯示以材料之保形層(在淺灰光阻特徵部上的深灰層)塗覆的所顯影光阻的x-z平面TEM 顯微圖。
圖5A及5B說明所顯影光阻之特徵部的x-z輪廓的例子。圖5A中說明各種光阻特徵部輪廓。
圖5C說明所顯影光阻特徵部以及後續使用了具有特徵部之所顯影光阻的受蝕刻特徵部的示例x-y外廓。
圖6顯示可用以優化以及/或者使用製程模擬模型、以及/或者生成轉移函數的示例計算系統。
103:操作
105:操作
107:操作
111:操作
113:操作
117:軟體工具
119:光阻模型
121:優化模組
123:操作
125:蝕刻模型
127:優化模組
129:外廓生成模組
131:精簡模型化模組
133:全晶片OPC工具

Claims (20)

  1. 一種生成一轉移函數之方法,該轉移函數將微影光罩上之節段與藉由使用該等節段的蝕刻及光微影操作所產生之特徵部相關聯,該方法包含: (a)接收產生自一或多個第一測試基板之顯影後檢驗的檢測結果,其中在該一或多個第一測試基板上已塗佈光阻並使用一組設計布局節段加以圖案化; (b)接收產生自一或多個第二測試基板之蝕刻後檢驗的檢測結果,該一或多個第二測試基板係在將光阻塗佈並使用該組設計布局節段圖案化之後受蝕刻;以及 (c)使用該顯影後檢驗的檢測結果、以及來自該組設計布局節段之配對的設計布局節段與基於該蝕刻後檢驗的檢測結果所產生之相對應的蝕刻特徵部輪廓,以生成該轉移函數。
  2. 如請求項1之生成一轉移函數之方法,更包含使用該顯影後檢驗的檢測結果,校正一計算光阻模型。
  3. 如請求項2之生成一轉移函數之方法,其中生成該轉移函數之步驟包含多次運行該計算光阻模型以提供一組預測的顯影後光阻輪廓。
  4. 如請求項1-3任一者之生成一轉移函數之方法,更包含使用該蝕刻後檢驗之檢測結果,校正一計算蝕刻模型。
  5. 如請求項4之生成一轉移函數之方法,其中生成該轉移函數之步驟包含多次運行該計算蝕刻模型以提供一組預測的蝕刻特徵部輪廓。
  6. 如請求項1-3任一者之生成一轉移函數之方法,其中該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果係以三維形式提供。
  7. 如請求項1-3任一者之生成一轉移函數之方法,其中使用CD-SEM將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果提供為x-y外廓。
  8. 如請求項1-3任一者之生成一轉移函數之方法,其中使用TEM或CD-SAXS技術將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為x-z輪廓提供。
  9. 如請求項1-3任一者之生成一轉移函數之方法,其中該組設計布局節段包含以GDS格式提供的片段或線規。
  10. 如請求項1-3任一者之生成一轉移函數之方法,更包含應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局。
  11. 一種電腦程式產品,包含一非暫時電腦可讀媒介,在其上提供電腦可執行之指令,用於使一計算系統生成一轉移函數,其將微影光罩上之節段與藉由使用該等節段的蝕刻及光微影操作所產生之特徵部相關聯,其中該指令包含用於如下之指令: (a)接收產生自一或多個第一測試基板之顯影後檢驗的檢測結果,其中,在該等第一測試基板上已塗佈光阻並使用一組設計布局節段加以圖案化; (b)接收產生自一或多個第二測試基板之蝕刻後檢驗的檢測結果,其中,該等第二測試基板係在將光阻塗佈並使用該組設計布局節段圖案化之後受到蝕刻;以及 (c)使用該顯影後檢驗的檢測結果、以及來自該組設計布局節段之配對的設計布局節段與基於該蝕刻後檢驗的檢測結果所產生之相對應的蝕刻特徵部輪廓,以生成該轉移函數。
  12. 如請求項11之電腦程式產品,更包含用於使用該顯影後檢驗的檢測結果校正一計算光阻模型的電腦可執行之指令。
  13. 如請求項12之電腦程式產品,其中用於生成該轉移函數之步驟的指令包含:用於多次運行該計算光阻模型以提供一組預測的顯影後光阻輪廓的指令。
  14. 如請求項11-13任一者之電腦程式產品,更包含用於使用該蝕刻後檢驗之檢測結果校正一計算蝕刻模型的電腦可執行之指令。
  15. 如請求項14之電腦程式產品,其中用於生成該轉移函數之步驟的指令包含:多次運行該計算蝕刻模型以提供一組預測的蝕刻特徵部輪廓的指令。
  16. 如請求項11-13任一者之電腦程式產品,其中該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果係以三維形式提供。
  17. 如請求項11-13任一者之電腦程式產品,其中將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為CD-SEM所產生之影像的x-y外廓加以提供。
  18. 如請求項11-13任一者之電腦程式產品,其中將該顯影後檢驗之檢測結果以及/或者蝕刻後檢驗之檢測結果作為TEM或CD-SAXS所產生之影像的x-z輪廓加以提供。
  19. 如請求項11-13任一者之電腦程式產品,其中該組設計布局節段包含以GDS格式提供的片段或線規。
  20. 如請求項11-13任一者之電腦程式產品,更包含用於應用該轉移函數的一反函數以決定用於一微影遮罩的一設計布局的電腦可執行的指令。
TW112142655A 2018-04-10 2019-04-09 光阻及蝕刻模型建立 TWI846635B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862655495P 2018-04-10 2018-04-10
US62/655,495 2018-04-10

Publications (2)

Publication Number Publication Date
TW202410192A true TW202410192A (zh) 2024-03-01
TWI846635B TWI846635B (zh) 2024-06-21

Family

ID=

Also Published As

Publication number Publication date
US20230205076A1 (en) 2023-06-29
WO2019199697A1 (en) 2019-10-17
US11624981B2 (en) 2023-04-11
CN112005347A (zh) 2020-11-27
TW202006817A (zh) 2020-02-01
US20210157228A1 (en) 2021-05-27
KR20200131342A (ko) 2020-11-23

Similar Documents

Publication Publication Date Title
US11704463B2 (en) Method of etch model calibration using optical scatterometry
US10254641B2 (en) Layout pattern proximity correction through fast edge placement error prediction
US20230205076A1 (en) Resist and etch modeling
WO2019195481A1 (en) Process simulation model calibration using cd-sem
TWI694316B (zh) 基於缺陷機率的製程窗
TWI805580B (zh) 透過邊緣放置誤差預測之設計佈局圖案近接校正
TWI639887B (zh) 用於辨識熱點之方法及電腦程式產品
TWI738796B (zh) 產生欲用於蝕刻操作中之近接校正後之設計佈局的計算方法及相關之方法與電腦程式產品
US10146140B2 (en) Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
TWI764339B (zh) 用參數化模型預測製程資訊之方法和系統
JP7482910B2 (ja) 半導体製造プロセスにおいて堆積モデルを適用する方法
CN112543892A (zh) 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
CN112602020A (zh) 利用机器学习从原始图像自动选择高品质平均扫描电镜图像
KR20220053029A (ko) 현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법
JP2008020451A (ja) 光学計測システムに係る選択された変数の最適化
US11875101B2 (en) Method for patterning process modelling
CN114787713A (zh) 用于模型基础对准的基于机器学习的图像产生
KR20220034900A (ko) 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법
KR20210005149A (ko) 기판의 스택 구성을 결정하는 방법
TWI837123B (zh) 光阻及蝕刻模型建立
KR20240024097A (ko) 검사 데이터 필터링 시스템 및 방법
JP2021501352A (ja) メトロロジにおけるデータの推定