TWI764339B - 用參數化模型預測製程資訊之方法和系統 - Google Patents

用參數化模型預測製程資訊之方法和系統

Info

Publication number
TWI764339B
TWI764339B TW109136834A TW109136834A TWI764339B TW I764339 B TWI764339 B TW I764339B TW 109136834 A TW109136834 A TW 109136834A TW 109136834 A TW109136834 A TW 109136834A TW I764339 B TWI764339 B TW I764339B
Authority
TW
Taiwan
Prior art keywords
electric field
latent space
field image
latent
parametric model
Prior art date
Application number
TW109136834A
Other languages
English (en)
Other versions
TW202136924A (zh
Inventor
史考特 安德森 米德雷布魯克斯
派翠克 華那爾
派崔克 菲力普 海芬史坦
亞力山德 派斯提亞 寇尼茲南柏格
馬克辛 帕薩瑞可
可拉吉 馬可斯 傑拉度 馬堤司 瑪麗亞 凡
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19212419.6A external-priority patent/EP3828632A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202136924A publication Critical patent/TW202136924A/zh
Application granted granted Critical
Publication of TWI764339B publication Critical patent/TWI764339B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24133Distances to prototypes
    • G06F18/24137Distances to cluster centroïds
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/10Image acquisition
    • G06V10/12Details of acquisition arrangements; Constructional details thereof
    • G06V10/14Optical characteristics of the device performing the acquisition or on the illumination arrangements
    • G06V10/145Illumination specially adapted for pattern recognition, e.g. using gratings
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • General Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Multimedia (AREA)
  • Mathematical Physics (AREA)
  • Databases & Information Systems (AREA)
  • Medical Informatics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Probability & Statistics with Applications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明描述一種用一參數化模型預測複電場影像之方法及系統。針對該參數化模型的一給定輸入,基於該參數化模型之一潛在空間中之維度資料來判定一複電場影像之一潛在空間表示。該給定輸入可為與該複電場影像相關聯之一經量測振幅(例如強度)。基於該複電場影像之該潛在空間表示來預測該複電場影像。經預測複電場影像包括一振幅及一相位。該參數化模型包含編碼器-解碼器架構。在一些實施例中,判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該潛在空間中之該維度資料及該給定輸入來預測。

Description

用參數化模型預測製程資訊之方法和系統
本說明書係關於一種用參數化模型預測製程資訊之方法及系統。
微影裝置為經建構以將所要圖案施加至基板上之機器。微影裝置可用於例如積體電路(IC)製造中。微影裝置可例如將圖案化器件(例如遮罩)處之圖案(通常亦稱為「設計佈局」或「設計」)投影至設置於基板(例如晶圓)上之輻射敏感材料(抗蝕劑)層上。
為了將圖案投影於基板上,微影裝置可使用電磁輻射。此輻射之波長決定可形成於基板上之特徵的最小大小。當前在使用中之典型波長為365nm(i線)、248nm、193nm及13.5nm。相比於使用例如具有193nm之波長之輻射的微影裝置,使用具有在4nm至20nm範圍內之波長(例如6.7nm或13.5nm)之極紫外(EUV)輻射的微影裝置可用於在基板上形成更小特徵。
低k1微影可用於處理尺寸小於微影裝置之典型解析度極限的特徵。在此製程中,可將解析度公式表達為CD=k1×λ/NA,其中λ為所採用輻射之波長,NA為微影裝置中之投影光學器件之數值孔徑,CD為 「臨界尺寸」(通常為經印刷之最小特徵大小,但在此情況下為半間距)且k1為經驗解析度因數。通常而言,k1愈小,則愈難以在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案。
為了克服此等困難,可將複雜微調步驟應用於微影投影裝置及/或設計佈局。此等步驟包括(例如)但不限於NA之最佳化、定製照明方案、使用相移圖案化器件、諸如設計佈局中之光學近接校正(OPC,有時亦稱為「光學及製程校正」)之設計佈局的各種最佳化,或通常定義為「解析度增強技術」(RET)之其他方法。替代地,用於控制微影裝置之穩定性的嚴格控制環路可用於改良在低k1下之圖案之再生。
可使用各種度量衡運算來量測設計之特徵。此等運算可包括例如量測疊對。疊對可基於與設計相關聯之複電場影像之計算密集型判定經判定。有利地,本發明方法及系統經組態用於複電場影像之(較不計算密集型)預測、一或多個度量衡度量之判定,及/或用參數化模型之其他運算。
根據一實施例,提供一種用一參數化模型預測電場影像之方法。該方法包含:基於該參數化模型之一潛在空間中之維度資料來判定該參數化模型的一給定輸入的一電場影像之一潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
在一些實施例中,該電場影像包含具有一振幅及一相位之一複電場影像。
在一些實施例中,該給定輸入包含與該複電場影像相關聯之一經量測振幅。
在一些實施例中,該振幅包含一強度。
在一些實施例中,判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該潛在空間中之該維度資料及該給定輸入來預測。
在一些實施例中,該電場影像之該潛在空間表示包含一張量。
在一些實施例中,該參數化模型為一機器學習模型。
在一些實施例中,該參數化模型包含編碼器-解碼器架構。
在一些實施例中,該編碼器-解碼器架構包含可變編碼器-解碼器架構。該方法進一步包含用一機率性潛在空間訓練該可變編碼器-解碼器架構,該機率性潛在空間在一輸出空間中產生實現。
在一些實施例中,該潛在空間包含低維編碼。
在一些實施例中,該潛在空間中之該維度資料由該編碼器-解碼器架構之一編碼器編碼。
在一些實施例中,該方法進一步包含用一複電場影像訓練集合訓練該參數化模型。
在一些實施例中,該複電場影像集合在通過聚焦量測期間產生。
在一些實施例中,該訓練包含將該訓練集合中之該等複電場影像編碼成該潛在空間中之該維度資料,及將該潛在空間中之該維度資料變換成該訓練集合中之該等複電場影像之經復原版本以促進該訓練之校驗。
在一些實施例中,該方法進一步包含反覆地提供額外複電 場影像作為該參數化模型的輸入。該等額外複電場影像係基於該等複電場影像之該等經復原版本與該訓練集合中之該等複電場影像匹配的一程度判定。
在一些實施例中,該方法進一步包含用該編碼器將與該等電場影像相關聯之較高維度資料編碼成該潛在空間中之該維度資料。
在一些實施例中,基於該電場影像之該潛在空間表示來預測該電場影像包含經由該編碼器-解碼器架構之一解碼器傳遞該電場影像之該潛在空間表示。
在一些實施例中,該方法進一步包含基於該電場影像之該潛在空間表示來判定一度量衡度量。
在一些實施例中,基於該電場影像之該潛在空間表示來判定該度量衡度量包含將該電場影像之該潛在空間表示提供給一回歸網路,該回歸網路包括於該參數化模型中或與該參數化模型分離。
在一些實施例中,該度量衡度量為疊對。
在一些實施例中,該方法進一步包含基於該電場影像之該潛在空間表示及/或經預測電場影像來校正與一度量衡裝置相關聯之像差。
在一些實施例中,該方法進一步包含基於該經預測電場影像來判定對半導體製造製程參數之調整,該等半導體製造製程參數用於將基板幾何形狀圖案化為一半導體製造製程之部分。
根據另一實施例,提供一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施本文所述之方法中之任一種。
根據另一實施例,提供一種經組態以判定一半導體製造製程的一或多個度量衡度量之度量衡裝置。該裝置包含一或多個處理器,該一或多個處理器經組態以:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
根據另一實施例,提供包含一度量衡裝置之微影單元。該度量衡裝置經組態以:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的一或多個度量衡度量。
根據另一實施例,提供一種判定一半導體製造製程的一或多個度量衡度量之方法。該方法包含:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
在一些實施例中,該電場影像包含具有一振幅及一相位之一複電場影像。
在一些實施例中,一或多個經判定度量衡度量包含以下中之一或多者:疊對、臨界尺寸、基板之特徵之三維輪廓的重建構,或在用微影裝置印刷基板之特徵時微影裝置之劑量或焦點。
在一些實施例中,該給定輸入包含與該複電場影像相關聯之一經量測振幅。
在一些實施例中,該振幅包含一強度。
在一些實施例中,該方法包含基於經判定一或多個度量衡度量來調整一或多個半導體製造製程參數。
根據另一實施例,提供一種用一參數化模型預測製程資訊之方法,其包含:在該參數化模型之一潛在空間中判定該參數化模型的一給定輸入之一潛在空間表示;基於該給定輸入的一參考潛在空間表示將該給定輸入之該潛在空間表示變換成該給定輸入之一經變換潛在空間表示;及基於該給定輸入之該經變換潛在空間表示來預測該製程資訊。
在一些實施例中,該給定輸入與一目標相關聯,且自經組態以產生該給定輸入的複數個目標表徵裝置中之一者接收到。應注意,「目標」廣泛地使用且可係指以一種方式或另一方式成像、量測或以其他方式表徵之器件及/或其他實體物件之任何基板、層或其他部分中之任何特徵及/或結構。此可包括例如度量衡目標及/或其他量測結構。目標可位於例如晶圓上之產品區域內部或外部。
在一些實施例中,變換及預測經組態以使得目標的經預測製程資訊係相同的,與目標表徵裝置中之哪一者產生給定輸入無關。
在一些實施例中,變換包含對給定輸入之潛在空間表示進行之一或多個數學運算。
在一些實施例中,變換係在潛在空間中進行。
在一些實施例中,參考潛在空間表示包含該參數化模型的先前接收到的輸入之潛在空間表示的加權組合及/或平均值,或來自經組態以產生給定輸入的特定目標表徵裝置的輸入之潛在空間表示。
在一些實施例中,製程資訊及給定輸入與半導體製造製程 相關聯。
在一些實施例中,經預測製程資訊包含經預測影像或經預測製程量測值中之一或多者。應注意,「影像」廣泛地使用且可係指在製造製程期間產生(例如量測、預測、獲取等)之任何影像。此可例如在半導體器件之上下文中包括場平面獲取\光瞳平面獲取及/或其他影像。
在一些實施例中,經預測製程量測包含以下中之一或多者:度量衡度量、xyz位置、尺寸、電場、波長、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角,或照明及/或偵測相位延遲角。
在一些實施例中,給定輸入包含輸入影像或輸入製程量測值中之一或多者。
根據另一實施例,提供一種用一參數化模型預測製程資訊之方法,其包含:基於維度資料在該參數化模型之一潛在空間中判定與該參數化模型的一給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於最佳製程條件集合之該潛在空間表示來預測該製程資訊。
在一些實施例中,經預測製程資訊包含目標的設計參數或度量衡量測配方參數中之一或多者。
在一些實施例中,設計參數包含與目標相關聯之臨界尺寸、間距、分段間距、線幾何形狀、接觸幾何形狀或孔幾何形狀中之一或多者。
在一些實施例中,度量衡量測配方參數包含以下中之一或多者:用於量測目標之波長、頻寬、孔徑、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角、照明及/或偵測相位延遲角,或劑量。
在一些實施例中,最佳製程參數集合定義用於量測目標的 度量衡度量之最佳量測條件。
在一些實施例中,最佳製程參數集合包含強度、對比度、邊緣回應、繞射效率或疊對靈敏度中之一或多者。
在一些實施例中,給定輸入包含目標的經定義設計參數或經定義度量衡量測配方參數中之一或多者。
在一些實施例中,該參數化模型為一機器學習模型。
在一些實施例中,該參數化模型包含編碼器-解碼器架構。
在一些實施例中,該潛在空間包含低維編碼。
根據另一實施例,提供一種用一參數化模型預測製程資訊之方法,其包含:在該參數化模型之一潛在空間中判定該參數化模型的一給定輸入之一潛在空間表示;基於該給定輸入的一參考潛在空間表示將該給定輸入之該潛在空間表示變換成該給定輸入之一經變換潛在空間表示;基於該經變換潛在空間表示來判定與該給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於該給定輸入之該經變換潛在空間表示及與該給定輸入相關聯之該最佳製程參數集合之該潛在空間表示來預測該製程資訊。
根據另一實施例,提供一種用一參數化模型預測製程資訊之方法,其包含:在該參數化模型之一潛在空間中判定該參數化模型的一給定輸入之一潛在空間表示;基於該給定輸入的一參考潛在空間表示將該給定輸入之該潛在空間表示變換成該給定輸入之一經變換潛在空間表示;基於該給定輸入之該經變換潛在空間表示來判定該給定輸入的一電場影像之一潛在空間表示;基於該經變換潛在空間表示來判定與該給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於該給定輸入之該經變 換潛在空間表示、該電場影像之該潛在空間表示及與該給定輸入相關聯之該最佳製程參數集合之該潛在空間表示來預測該製程資訊。
根據另一實施例,提供一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施上文所述之實施例中之任一者之方法。
21:輻射光束
22:琢面化場鏡面器件
24:琢面化光瞳鏡面器件
26:經圖案化光束
28:反射元件
30:反射元件
40:寬帶輻射投影儀
42:基板
44:分光計偵測器
46:光譜
48:重建構
50:編碼器-解碼器架構
52:編碼部分
54:解碼部分
56:經預測複電場影像
62:神經網路
64:潛在空間
70:攝影機
72:光瞳
74:像差
76:目標
78:照明
80:方法
82:操作
84:操作
86:操作
88:操作
90:參數化模型
91:編碼器或編碼器網路
92:輸入
93:潛在空間
94:解碼器或解碼器網路
95:輸出
96:部分
97:度量衡度量
100:電腦系統
102:匯流排
104:處理器
105:處理器
106:主記憶體
108:唯讀記憶體
110:儲存器件
112:顯示器
114:輸入器件
116:游標控制件
118:通信介面
120:網路鏈路
122:區域網路
124:主機電腦
126:網際網路服務提供者
128:網際網路
130:伺服器
210:電漿
211:源腔室
212:收集器腔室
220:圍封結構
221:開口
230:污染物陷阱
240:光柵濾光片
251:上游輻射收集器側
252:下游輻射收集器側
253:掠入射反射器
254:掠入射反射器
255:掠入射反射器
B:輻射光束
BD:光束遞送系統
BK:烘烤板
C:目標部分
CH:冷卻板
CL:電腦系統
CO:輻射收集器
DE:顯影器
IF:位置量測系統
IL:照明系統
I/O1:輸入/輸出埠
I/O2:輸入/輸出埠
LA:微影裝置
LACU:微影控制單元
LB:裝載區
LC:微影單元
M1:遮罩對準標記
M2:遮罩對準標記
MA:圖案化器件
MT:度量衡裝置
O:光軸
P1:基板對準標記
P2:基板對準標記
PEB:曝光後烘烤步驟
PM:第一定位器
PS:投影系統
PW:第二定位器
RO:機器人
SC:旋塗器
SC1:第一標度
SC2:第二標度
SC3:第三標度
SCS:監督控制系統
SO:輻射源
T:遮罩支架
TCU:塗佈顯影系統控制單元
v:度量衡度量
W:基板
WT:基板支架
x:輸入
x':輸出
z:潛在空間
併入於本說明書中且構成本說明書之一部分的隨附圖式說明一或多個實施例且連同本說明書解釋此等實施例。現將參考隨附示意性圖式而僅藉助於實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:圖1描繪根據一實施例之微影裝置之示意圖綜述。
圖2描繪根據一實施例之微影單元之示意圖綜述。
圖3描繪根據一實施例之整體微影之示意性表示,其表示用以最佳化半導體製造之三種技術之間的協作。
圖4說明根據一實施例之諸如散射計之實例度量衡裝置。
圖5說明根據一實施例之編碼器-解碼器架構。
圖6說明根據一實施例之神經網路內之編碼器-解碼器架構。
圖7說明根據一實施例之與獲得複電場影像相關聯之實例攝影機。
圖8說明根據一實施例之用參數化模型預測電場影像之本發明方法的操作之概述。
圖9說明根據一實施例之參數化模型之實例。
圖10為根據一實施例之實例電腦系統之方塊圖。
圖11為根據一實施例之圖1之微影裝置的替代性設計。
製程資訊(例如影像、量測值、製程參數、度量衡度量等)可用於導引各種製造操作。用如本文中所描述之參數化模型預測或以其他方式判定製程資訊可相較於判定製程資訊之先前方法更快、更高效、需要更少計算資源及/或具有其他優勢。
舉例而言,相位檢索可用於判定複電場影像。複電場影像可與目標相關聯。舉例而言,目標可為半導體器件之一部分(例如在基板中圖案化之圖案及/或特徵之一部分)及/或其他目標。如上文所描述,應注意,「目標」廣泛地使用且係指以一種方式或另一方式成像、量測或以其他方式表徵之器件及/或其他實體物件之任何基板、層或其他部分中之任何特徵及/或結構。複電場影像又可用於判定例如諸如疊對之一或多個度量衡度量,及/或目標的其他資訊。
相位檢索包含根據(例如特定目標的)對應振幅(例如強度)量測值來復原複(值)電場。由於與複電場所滿足的等式相關聯之大量未知數(例如數量級為106)、非線性及非凸性,故相位檢索係困難的。相位檢索的現有途徑包括在振幅量測中引入冗餘或利用關於複電場影像之先前知識(例如以減少未知數之數目)。此等及其他途徑需要冗餘資料、進行假定、係計算密集型的,及/或具有其他缺點。
相比之下,本發明方法及系統經組態用於用參數化模型預測複電場影像。用參數化模型預測複電場影像相較於先前方法可係較不計算密集型的及/或具有其他優勢。參數化模型經組態以顯著降低相位檢索 問題之維度,此接著可在幾個維度中使用基於梯度之最佳化方案(例如)來解決。
作為另一實例,來自不同製程感測器及/或工具之資料可係不同的,即使對於相同經量測或經成像目標亦是如此。解決此等差異的先前嘗試包括實體地調整感測器或工具中之一或多者之組件、調整給定感測器或工具上之量測或成像參數,及/或進行其他調整以使來自特定感測器或工具的資料與來自其他感測器或工具的資料更佳地一致。然而,此等調整並不總是一致地應用、取決於人類判斷及/或實體組件之特定配置,及/或具有其他缺點。
相比之下,本發明方法及系統經組態以在參數化模型之一或多個潛在空間(其中相較於來自不同感測器及/或工具之原始資料中之維度之數目,待分析之資料的維度更少)中判定該參數化模型的給定輸入之潛在空間表示。基於給定輸入的參考潛在空間表示將潛在空間表示變換成給定輸入之經變換潛在空間表示;及基於給定輸入之經變換潛在空間表示來預測製程資訊。舉例而言,給定輸入可與目標相關聯,且自經組態以產生給定輸入的複數個不同感測器及/或工具中之一者接收到。變換及預測經組態以使得目標的來自參數化模型之經預測及/或以其他方式經判定製程資訊(例如影像、量測值、製程參數、度量衡度量等)係相同的,與感測器及/或工具中之哪一者產生給定輸入無關。
作為第三實例,諸如目標設計、製造配方判定及/或其他操作之操作通常需要反覆實驗,其中在每一反覆中有目的地調整所選設計或配方變數,而其他變數對於彼反覆保持固定。每一反覆通常需要記錄及分析各種量測值。在若干反覆之後,可選擇特定目標設計或製造配方(例如 藉由消除製程及/或不同方法)。
相比之下,本發明方法及系統經組態以基於參數化模型之一或多個潛在空間(同樣,其中相較於來自不同實驗性反覆之原始資料中之維度之數目,待分析之資料的維度更少)中之維度資料來判定與參數化模型的給定輸入相關聯之最佳製程參數集合之潛在空間表示。給定輸入可包含例如目標設計或製造配方或另一輸入之所需(例如由於設計要求、機器能力、物理學等而不可變的)元素。本發明系統及方法經組態以基於最佳製程條件集合之潛在空間表示來預測及/或以其他方式判定製程資訊,諸如最佳目標設計或製造配方。
此等實例並不意欲為限制性的。亦應注意,一或多個參數化模型可進行上文所描述之操作中之一些或所有。舉例而言,可訓練一個參數化模型以預測複電場影像、變換來自不同感測器及/或工具之資料使得同一目標之資料匹配,及判定最佳目標設計及/或製造配方;或此等不同操作可藉由不同參數化模型進行。不同應用(預測複電場影像、變換來自不同感測器及/或工具之資料使得同一目標之來自不同感測器及/或工具之資料仍匹配,及判定最佳目標設計及/或製造配方)可共同使用,或其可分開使用。
此外,儘管在本文中可特定地參考IC之製造,但本文中之描述具有許多其他可能的應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體、液晶顯示面板、薄膜磁頭等之導引及偵測圖案。在此等替代性應用中,熟習此項技術者應瞭解,在此類替代性應用之上下文中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為可分別與更一般術語「遮罩」、「基板」及「目標部分」互換。此外,應注 意,本文中所描述之方法在多樣化領域中可具有許多其他可能的應用,該等領域諸如語言處理系統、自動駕駛汽車、醫學成像及診斷、語意分段、去雜訊、晶片設計、電子設計自動化等。本發明方法可應用於其中量化機器學習模型預測中之不確定性係有利的任何領域中。
在本文獻中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外輻射(例如具有365nm、248nm、193nm、157nm或126nm之波長)及極紫外輻射(EUV,例如具有在約5nm至100nm範圍內之波長)。
圖案化器件可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此製程通常稱為電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則集合,以便產生功能設計佈局/圖案化器件。基於處理及設計限制來設定此等規則。舉例而言,設計規則定義器件(諸如閘極、電容器等)或互連線之間的空間容許度,以確保器件或線不會以非所要方式彼此相互作用。設計規則限制中之一或多者可稱為「臨界尺寸」(CD)。器件之臨界尺寸可定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD調節經設計器件之總大小及密度。器件製造中之目標中之一者係在基板上如實地再生原始設計意圖(經由圖案化器件)。
如本文中所採用之術語「倍縮光罩」、「遮罩」或「圖案化器件」可廣泛地解釋為係指可用於向入射輻射光束賦予圖案化橫截面之通用圖案化器件,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中,亦可使用術語「光閥」。除經典遮罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化器件之實例亦包括可程式化 鏡面陣列。
作為簡要介紹,圖1示意性地描繪微影裝置LA。微影裝置LA包括:照明系統(亦稱為照明器)IL,其經組態以調節輻射光束B(例如UV輻射、DUV輻射或EUV輻射);遮罩支架(例如遮罩台)T,其經建構以支撐圖案化器件(例如遮罩)MA且連接至經組態以根據某些參數準確地定位圖案化器件MA之第一定位器PM;基板支架(例如晶圓臺)WT,其經組態以固持基板(例如抗蝕劑塗佈晶圓)W且耦接至經組態以根據某些參數準確地定位基板支架之第二定位器PW;及投影系統(例如折射投影透鏡系統)PS,其經組態以將由圖案化器件MA賦予輻射光束B的圖案投影至基板W之目標部分C(例如包含一或多個晶粒)上。
在操作中,照明系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照明系統IL可包括用於引導、成形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照明器IL可用於調節輻射光束B,以在圖案化器件MA之平面處在其橫截面中具有所要空間及角強度分佈。
本文中所使用之術語「投影系統」PS應廣泛地解釋為涵蓋適於所使用之曝光輻射及/或適於諸如浸漬液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般術語「投影系統」PS同義。
微影裝置LA可屬於一種類型,其中基板之至少一部分可由具有相對高折射率之例如水之液體覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸漬微影。在以引用之方式併入本文中的 US6952253中給出關於浸漬技術之更多資訊。
微影裝置LA亦可屬於具有兩個或更多個基板支架WT(亦稱為「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支架WT,及/或可在位於基板支架WT中之一者上的基板W上進行準備基板W之後續曝光的步驟,同時將另一基板支架WT上之另一基板W用於曝光該另一基板W上之圖案。
除基板支架WT之外,微影裝置LA亦可包含量測載物台。該量測載物台經配置以固持感測器及/或清潔器件。感測器可經配置以量測投影系統PS之屬性或輻射光束B之屬性。量測載物台可固持多個感測器。清潔器件可經配置以清潔微影裝置之部分,例如投影系統PS之一部分或提供浸漬液體之系統之一部分。量測載物台可在基板支架WT遠離投影系統PS時在投影系統PS之下移動。
在操作中,輻射光束B入射於固持在遮罩支架MT上的圖案化器件(例如遮罩)MA上,且藉由存在於圖案化器件MA上的圖案(設計佈局)圖案化。在已橫穿遮罩MA之情況下,輻射光束B穿過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,可準確地移動基板支架WT,例如以便在聚焦且對準之位置處在輻射光束B之路徑中定位不同目標部分C。類似地,第一定位器PM及可能之另一位置感測器(其未在圖1中明確地描繪)可用於相對於輻射光束B之路徑來準確地定位圖案化器件MA。可使用遮罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管基板對準標記P1、P2(如所說明)佔據專用目標部分,但該等標記可位於目標部分之間的空間中。當基板對準標記P1、P2位於目標部分C之間時,將此等 標記稱為切割道對準標記。
圖2描繪微影單元LC之示意圖綜述。如圖2中所展示,微影裝置LA可形成微影單元LC(有時亦稱為微影單元(lithocell)或(微影)叢集)之部分,該微影單元LC通常亦包括用以對基板W進行曝光前製程及曝光後製程之裝置。習知地,此等裝置包括經組態以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、例如用於調節基板W之溫度(例如用於調節抗蝕劑層中之溶劑)的冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同製程裝置之間移動基板W且將基板W遞送至微影裝置LA之裝載區LB。微影單元中通常亦統稱為塗佈顯影系統之器件通常處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元TCU自身可藉由監督控制系統SCS控制,該監督控制系統SCS亦可例如經由微影控制單元LACU控制微影裝置LA。
為了使藉由微影裝置LA曝光之基板W(圖1)正確且一致地曝光,期望檢測基板以量測圖案化結構之屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等。為此目的,可在微影單元LC中包括檢測工具(未展示)。若偵測到誤差,則可對後續基板之曝光或對待對基板W進行之其他處理步驟進行例如調整,在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下尤其如此。
檢測裝置(其亦可稱為度量衡裝置)用於判定基板W(圖1)之屬性,且特定言之,判定不同基板W之屬性如何變化或與同一基板W之不同層相關聯之屬性如何逐層變化。檢測裝置可替代地經建構以識別基板W上之缺陷,且可例如為微影單元LC之部分,或可整合至微影裝置LA中,或可甚至為獨立器件。檢測裝置可量測隱像(曝光之後在抗蝕劑層中之影 像)上之屬性,或半隱像(曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已移除)上之屬性,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。
圖3描繪整體微影之示意性表示,其表示用以最佳化半導體製造之三種技術之間的協作。通常,微影裝置LA中之圖案化製程為處理中最關鍵步驟中之一者,其要求基板W(圖1)上之結構之定尺度及置放之高準確度。為確保此高準確度,三個系統(在此實例中)可經組合於所謂的「整體」控制環境中,如圖3中示意性地描繪。此等系統中之一者為微影裝置LA,其(虛擬地)連接至度量衡裝置(例如度量衡工具)MT(第二系統),且連接至電腦系統CL(第三系統)。「整體」環境可經組態以最佳化此等三個系統之間的協作以增強總製程窗且提供嚴格控制環路,從而確保藉由微影裝置LA進行之圖案化保持在製程窗內。製程窗定義一系列製程參數(例如劑量、焦點、疊對),在該等製程參數內,特定製造製程產生經定義結果(例如功能性半導體器件)--通常在該經定義結果內,允許微影程序或圖案化製程中之製程參數變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)來預測使用哪些解析度增強技術且進行計算微影模擬及計算,以判定哪些遮罩佈局及微影裝置設定達成圖案化製程之最大總製程窗(在圖3中由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影裝置LA之圖案化可能性。電腦系統CL亦可用於偵測微影裝置LA當前正在製程窗內何處操作(例如使用來自度量衡工具MT之輸入)以預測歸因於例如次佳處理是否可能存在缺陷(在圖3中由第二標度SC2中之指向「0」之箭頭描繪)。
度量衡裝置(工具)MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影裝置LA以識別例如微影裝置LA之校準狀態中的可能漂移(在圖3中由第三標度SC3中之多個箭頭描繪)。
在微影程序中,期望頻繁地對所產生結構進行量測,例如用於製程控制及校驗。用以進行此類量測的工具包括度量衡工具(裝置)MT。用於進行此類量測之不同類型之度量衡工具MT已為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能儀器,其允許藉由在光瞳或與散射計之接物鏡之光瞳共軛的平面中具有感測器來量測微影製程之參數(量測通常稱為以光瞳為基礎之量測),或藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影製程之參數,在此情況下量測通常稱為以影像或場為基礎之量測。此類散射計及相關聯量測技術進一步描述於以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中。舉例而言,前述散射計可使用來自軟x射線及可見光至近IR波長範圍之光來量測基板之特徵,諸如光柵。
在一些實例中,散射計MT為角解析散射計。在此等實施例中,可將散射計重建構方法應用於經量測信號以重建構或計算基板中之光柵及/或其他特徵之屬性。此重建構可例如由模擬經散射輻射與目標結構之數學模型之交互作用且比較模擬結果與量測之結果引起。調整數學模型之參數,直至經模擬相互作用產生與自真實目標觀測到之繞射圖案類似的繞射圖案為止。
在一些實施例中,散射計MT為光譜散射計MT。在此等實 施例中,光譜散射計MT可經組態以使得將藉由輻射源發射之輻射引導至基板之目標特徵上且將來自目標之經反射或經散射輻射引導至分光計偵測器,該分光計偵測器量測鏡面經反射輻射之光譜(亦即量測作為波長的函數之強度)。根據此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重建構產生偵測到之光譜的目標之結構或輪廓。
在一些實施例中,散射計MT為橢偏量測散射計。橢偏量測散射計允許藉由量測針對每一偏振狀態之經散射輻射來判定微影程序之參數。此度量衡裝置(MT)藉由在度量衡裝置之照明區段中使用例如適當偏振濾光器來發射偏振光(諸如線性、圓形或橢圓)。適用於度量衡裝置之源亦可提供偏振輻射。現有橢偏量測散射計之各種實施例描述於以全文引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中。
在一些實施例中,散射計MT適於藉由量測經反射光譜及/或偵測組態中之不對稱來量測兩個未對準光柵或週期性結構(及/或基板之其他目標特徵)之疊對,該不對稱與疊對程度相關。可將兩個(通常重疊)光柵結構應用於兩個不同層(未必為連續層)中,且該等光柵結構可形成為實質上處於晶圓上之相同位置。散射計可具有如例如描述於專利申請案EP1,628,164A中之對稱偵測組態,使得可清楚地區分任何不對稱。此提供用以量測光柵中之未對準的方式。量測疊對之另外實例可見於以全文引用之方式併入本文中的PCT專利申請公開案第WO 2011/012624號或美國專利申請案US 20160161863中。
其他所關注參數可為焦點及劑量。可藉由如描述於以全文 引用的方式併入本文中之美國專利申請案US2011-0249244中之散射量測(或替代地藉由掃描電子顯微術)同時判定焦點及劑量。可使用單一結構(例如基板中之特徵),其具有針對焦點能量矩陣(FEM,亦稱為焦點曝光矩陣)中之每一點的臨界尺寸及側壁角量測之獨特組合。若臨界尺寸及側壁角之此等獨特組合為可獲得的,則可根據此等量測獨特地判定焦點及劑量值。
度量衡目標可為基板中之複合光柵及/或其他特徵之集合,其藉由微影程序(通常在抗蝕劑中,但亦可在例如蝕刻製程之後)形成。通常,光柵中之結構之間距及線寬取決於量測光學器件(尤其光學器件之NA)以能夠捕捉來自度量衡目標之繞射階。經繞射信號可用於判定兩個層之間的移位(亦稱為「疊對」)或可用於重建構如藉由微影程序所產生的原始光柵之至少部分。此重建構可用於提供微影程序之品質的導引,且可用於控制微影程序之至少部分。目標可具有較小子分段,該等子分段經組態以模仿目標中之設計佈局之功能性部分之尺寸。歸因於此子分段,目標將表現得更類似於設計佈局之功能性部分,使得總製程參數量測與設計佈局之功能性部分相似。可在填充不足模式下或在填充過度模式下量測目標。在填充不足模式下,量測光束產生小於總目標之光點。在填充過度模式下,量測光束產生大於總目標之光點。在此填充過度模式下,亦有可能同時量測不同目標,藉此同時判定不同處理參數。
使用特定目標之微影參數之總量測品質至少部分地藉由用於量測此微影參數之量測配方來判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案之一或多個參數,或兩者。舉例而言,若用於基板量測配方中之量測為基於繞射之光學量測,則量測 之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案的定向等。用以選擇量測配方的準則中之一者可例如為量測參數中之一者對於處理偏差的靈敏度。更多實例描述於以全文引用之方式併入本文中之美國專利申請案US2016-0161863及公開之美國專利申請案US 2016/0370717A1中。
圖4說明諸如散射計之實例度量衡裝置(工具)MT。MT包含將輻射投影至基板42上之寬帶(白光)輻射投影儀40。將經反射或經散射輻射傳遞至分光計偵測器44,該分光計偵測器44量測鏡面經反射輻射之光譜46(亦即量測作為波長的函數之強度)。根據此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重建構48產生偵測到之光譜的結構或輪廓,如圖3之底部處所展示。通常而言,對於重建構,結構之一般形式係已知的,且根據藉以製造結構之製程的知識來假定一些參數,從而僅留下結構之幾個參數待根據散射量測資料予以判定。舉例而言,此散射計可經組態為正入射散射計或斜入射散射計。
常常需要能夠以計算方式判定圖案化製程將如何在基板上產生所要圖案。計算判定可包含例如模擬及/或模型化。模型及/或模擬可針對製造製程之一或多個部分提供。舉例而言,能夠模擬將圖案化器件圖案轉印至基板之抗蝕劑層上的微影製程以及在抗蝕劑之顯影之後在彼抗蝕劑層中產生的圖案、模擬度量衡操作(諸如疊對之判定)及/或進行其他模擬。模擬之目的可為準確地預測例如度量衡度量(例如疊對、臨界尺寸,基板之特徵的三維輪廓之重建構、在基板之特徵用微影裝置印刷時微影裝置之劑量或焦點等)、製造製程參數(例如邊緣置放、空中影像強度斜率、次解析度輔助特徵(SRAF)等),及/或接著可用於判定是否已達成預期或目 標設計的其他資訊。預期設計通常定義為預光學近接校正設計佈局,其可以諸如GDSII、OASIS或另一檔案格式之標準化數位檔案格式提供。
模擬及/或模型化可用於判定一或多個度量衡度量(例如進行疊對及/或其他度量衡量測)、組態圖案化器件圖案之一或多個特徵(例如進行光學近接校正)、組態照明之一或多個特徵(例如改變照明之空間/角強度分佈之一或多個特性,諸如改變形狀)、組態投影光學器件之一或多個特徵(例如數值孔徑等),及/或用於其他目的。此判定及/或組態通常可稱為例如遮罩最佳化、源最佳化及/或投影最佳化。可獨立地進行或以不同組合形式組合此類最佳化。一個此實例為源-遮罩最佳化(SMO),其涉及組態圖案化器件圖案之一或多個特徵以及照明之一或多個特徵。最佳化可例如使用本文中所描述之參數化模型以預測各種參數(包括影像等)之值。
在一些實施例中,可將系統之最佳化製程表示為成本函數。最佳化製程可包含尋找系統之最小化成本函數之參數集合(設計變數、製程變數等)。成本函數可取決於最佳化之目標而具有任何適合形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如理想值)之偏差的加權均方根(RMS)。成本函數亦可為此等偏差之最大值(亦即,最差偏差)。術語「評估點」應廣泛地解譯為包括系統或製造方法之任何特性。歸因於系統及/或方法之實施的實務性,系統之設計及/或製程變數可經受限於有限範圍及/或可相互相依。在微影投影裝置之情況下,約束常常與硬體之物理屬性及特性(諸如可調諧範圍及/或圖案化器件可製造性設計規則)相關聯。評估點可包括基板上之抗蝕劑影像上之實體點,以及非物理特性,諸如(例如)劑量及焦點。
在一些實施例中,本發明系統及方法可包括進行本文中所 描述之操作中之一或多者的經驗模型。經驗模型可基於各種輸入之間的相關性(例如複電場影像之一或多個特性、設計佈局之一或多個特性、圖案化器件之一或多個特性、在微影程序中使用之照明之一或多個特性(諸如波長)等)來預測輸出。
作為一實例,經驗模型可為參數化模型及/或其他模型。參數化模型可為機器學習模型及/或任何其他參數化模型。在一些實施例中,機器學習模型(例如)可為及/或包括數學方程式、演算法、標繪圖、圖表、網路(例如神經網路),及/或其他工具及機器學習模型組件。舉例而言,機器學習模型可為及/或包括具有輸入層、輸出層及一或多個中間或隱藏層之一或多個神經網路。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個神經網路可係基於大的神經單元(或人工神經元)集合。該一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如經由由軸突連接之大的生物神經元簇)。神經網路之每一神經單元可與該神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接之神經單元之激活狀態之影響。在一些實施例中,每一個別神經單元可具有將所有其輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有定限功能,使得信號在其經允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練的,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地進行。在一些實施例中,一或多個神經網路可包括多個層(例如其中信號路徑自前端層橫穿至後端層)。在一些實施例中,可由神經 網路利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以較混亂且複雜之方式相互作用。在一些實施例中,一或多個神經網路之中間層包括一或多個卷積層、一或多個重現層及/或其他層。
可使用訓練資料集合(例如地面實況)來訓練一或多個神經網路(亦即其參數經判定)。訓練資料可包括訓練樣本集合。每一樣本可為包含輸入對象(通常為影像、量測值、張量或向量(其可稱為特徵張量或向量))及所要輸出值(亦稱為監督信號)之一對。訓練演算法分析訓練資料,且藉由基於訓練資料調整神經網路之參數(例如一或多個層之權重)來調整神經網路的行為。舉例而言,在給定呈{(x1,y1)(x2,y2),...,(xN,yN)}形式之N個訓練樣本之集合使得xi為第i實例之特徵張量/向量且yi為其監督信號之情況下,訓練演算法尋求神經網路g:X→Y,其中X為輸入空間且Y為輸出空間。特徵張量/向量為表示一些對象(例如複電場影像)的數值特徵之n維張量/向量。與此等向量相關聯之張量/向量空間常常稱為特徵或潛在空間。在訓練之後,神經網路可用於使用新樣本來進行預測。
如本文中所描述,本發明方法及系統包括使用可變編碼器-解碼器架構之參數化模型(例如機器學習模型,諸如神經網路)。在模型(例如神經網路)之中間(例如中間層)中,本發明模型使低維編碼(例如潛在空間)公式化,其將資訊封裝於模型的輸入(例如複電場影像及/或與半導體製造製程之圖案或其他特徵相關聯之其他輸入)中。本發明系統及方法利用潛在空間之低維度及緊湊性在潛在空間中直接進行判定。
藉助於非限制性實例,圖5說明(可變)編碼器-解碼器架構50。編碼器-解碼器架構50具有編碼部分52(編碼器)及解碼部分54(解碼 器)。在圖5中所展示之實例中,編碼器-解碼器架構50可輸出經預測複電場影像56。
藉助於另一非限制性實例,圖6說明神經網路62內之編碼器-解碼器架構50。編碼器-解碼器架構50包括編碼部分52及解碼部分54。在圖6中,x表示編碼器輸入(例如經輸入複電場影像及/或經輸入複電場影像之所提取特徵)且x'表示解碼器輸出(例如經預測輸出影像及/或經輸出影像之經預測特徵)。在一些實施例中,x'可表示例如來自神經網路之中間層之輸出(相比於總模型之最終輸出),及/或其他輸出。在圖6中,z表示潛在空間64及/或低維編碼(張量/向量)。在一些實施例中,z為隱變數或與隱變數相關。
在一些實施例中,低維編碼z表示輸入(例如複電場影像)之一或多個特徵。輸入之一或多個特徵可認為係輸入之關鍵或決定性特徵。特徵可認為係輸入之關鍵或決定性特徵,此係由於其與所要輸出之其他特徵相比相對更具預測性,及/或例如具有其他特性。在低維編碼中所表示之一或多個特徵(維度)可(例如由程式設計師在創建本發明機器學習模型時)預定、由神經網路之先前層判定、由使用者經由與本文中所描述之系統相關聯之使用者介面調整,及/或可藉由其他方法來判定。在一些實施例中,由低維編碼表示之特徵(維度)之數量可(例如由程式設計師在創建本發明機器學習模型時)預定、基於來自神經網路之先前層之輸出來判定、由使用者經由與本文中所描述之系統相關聯之使用者介面而調整,及/或藉由其他方法來判定。
應注意,儘管貫穿本說明書提及機器學習模型、神經網路及/或編碼器-解碼器架構,但機器學習模型、神經網路及編碼器-解碼器架 構僅為實例,且本文中所描述之操作可應用於不同參數化模型。
如上文所描述,製程資訊(例如影像、量測值、製程參數、度量衡度量等)可用於導引各種製造操作。利用潛在空間之相對較低維度來預測及/或以其他方式判定製程資訊可相較於判定製程資訊之先前方法更快、更高效、需要更少計算資源及/或具有其他優勢。
低維潛在空間可有利地用於預測例如複電場影像。預測複電場影像需要基於對應振幅量測值來判定及/或預測與複電場影像相關聯之相位。典型相位檢索包含根據以下方程式自(例如特定目標的)對應振幅(例如強度)量測值y復原複(值)電場x
Figure 109136834-A0305-02-0028-3
C n
Figure 109136834-A0305-02-0028-14
其中y
Figure 109136834-A0305-02-0028-4
R m 為量測向量,A
Figure 109136834-A0305-02-0028-5
C m×n 為量測矩陣,
Figure 109136834-A0305-02-0028-10
R m 為量測雜訊(其中
Figure 109136834-A0305-02-0028-11
表示雜訊),x為完全聚焦之攝影機級的複值電場,A為將聚焦電場傳播至離焦電場的散焦運算子(作為藉由沿著z移動攝影機引入量測分集的結果),y為振幅量測值集合(例如針對各種散焦位置(潛在地由隨機雜訊
Figure 109136834-A0305-02-0028-12
污染)之攝影機處的電場之模量),m為經量測信號之大小,且n為經檢索複值電場之大小。作為一實例:n可為攝影機的像素之數目(假定吾人想要檢索所有像素而非子集之電場),且m=K*n,其中K為對應於(跨焦)量測之數目的正整數(亦即吾人用攝影機拍攝之不同獲取之數目)。
由於與複電場相關聯之大量未知數(例如數量級為106)、非線性及非凸性(其組合以使相位檢索成為高維問題),故相位檢索係困難的。相位檢索的現有途徑包括在振幅量測中引入冗餘(例如對x進行比真實信號之維度更多的振幅量測,使得m>n;通常呈過採樣傅立葉(Fourier)變換、短時傅立葉變換、隨機高斯(Gaussian)量測、使用隨機遮罩或結構化 照明的經編碼繞射圖案、小波變換及/或加柏(Gabor)框之形式),或利用關於複電場影像之先前知識(例如利用關於真實信號x(先前資訊)的知識,諸如稀疏性、梯度稀疏性(總變差)、平滑性、緊湊性、非負性等)以減少未知數之數目。
作為一實例,相位檢索用於檢索攝影機(例如用於獲取電場影像之感測器)處之像差複值電場。圖7說明與獲得複電場影像相關聯之實例攝影機70(例如上文所描述之變數x、y及A與攝影機70相關)。圖7亦說明光瞳72(及相關聯像差74)、目標76及照明78。具有對全場(振幅及相位兩者)之訪問允許吾人經由對光瞳72的反變換、劃分出像差74(自單獨校準步驟獲得),隨後是對攝影機70的正變換以計算方式移除與對應感測器(例如攝影機70)相關聯之像差74。攝影機70處之經清除影像允許更強健之疊對及/或其他度量衡參數判定。
此等及其他先前途徑需要冗餘資料、進行假定、係計算密集型的,及/或具有其他缺點。冗餘量測導致產出量降低。將假定及/或其他先前資訊手動併入相位檢索問題中引入偏差,此係由於其未藉由資料直接判定。此外,由於x之維度較大,故貨物之計算成本較高,使得反覆相位檢索操作極為耗時。
有利地,本發明系統及方法使用本文中所描述之參數化模型來解決相位檢索問題。參數化模型經組態以用於預測電場影像、預測度量衡度量(例如代替上文所描述之度量衡裝置或除上文所描述之度量衡裝置之外),及/或預測其他資訊。參數化模型經組態以顯著降低相位檢索問題之維度,此接著可在幾個維度中使用基於簡單梯度之最佳化方案及/或使用其他方法來解決。
圖8說明用參數化模型預測電場影像之本發明方法的操作之概述方法80。在操作82處,參數化模型經訓練。在操作84處,針對給定輸入判定電場影像之潛在空間表示。在操作86處,用參數化模型預測電場影像。在操作88處,基於電場影像之潛在空間表示、經預測電場影像及/或其他資訊來判定一或多個度量衡度量。以下呈現的方法80之操作意欲係說明性的。在一些實施例中,方法80可用未描述的一或多個額外操作及/或不用所論述之操作中之一或多者來實現。舉例而言,方法80可能不需要判定一或多個度量衡度量。另外,方法80之操作在圖8中說明且在下文所描述之次序不意欲為限制性的。在一些實施例中,方法80之一或多個部分可(例如藉由模擬、模型化等)實施於一或多個處理器件(例如一或多個處理器)中。一或多個處理器件可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行方法80之操作中之一些或所有的一或多個器件。一或多個處理器件可包括經由硬體、韌體及/或軟體組態之一或多個器件,該硬體、韌體及/或軟體經專門設計用於執行例如方法80之操作中之一或多者。
在操作82處,參數化模型經訓練。參數化模型可為包含神經網路、編碼器-解碼器架構及/或其他組件之機器學習模型。編碼器-解碼器架構可為可變編碼器-解碼器架構及/或其他架構。在一些實施例中,參數化模型可為包括編碼器、解碼器及/或其他組件之可變深度神經網路(DNN)。在一些實施例中,參數化模型的此或類似結構促進在根據參數化模型之預測中包括不確定性及/或具有其他優勢。舉例而言,由於參數化模型係可變的(如本文中所描述),故參數化模型能夠針對單一輸入輸出多個預測實現。此又意謂可例如藉由計算彼等實現之標準差針對彼等實現判 定不確定性度量。
操作82包含用機率性潛在空間訓練可變編碼器-解碼器架構,該機率性潛在空間在輸出空間中產生實現。在一些實施例中,潛在空間包含低維編碼(例如如上文所描述)。用現有資料(例如具有振幅及相位之經量測及/或經模擬複場影像、對應度量衡度量等)及/或其他資訊訓練參數化模型。在一些實施例中,參數化模型可使例如展示於圖7中之光瞳、像差、目標或照明中之一或多者模型化(或使該光瞳、像差、目標或照明中之一或多者之功能性模型化)。
當參數化模型經訓練時,潛在空間形成(例如)經編碼影像之壓縮連續表示,其促進潛在空間中之各種操作之效能。有利地,潛在空間係低維的(例如相較於影像空間)。各種操作可包括例如判定複電場影像之潛在空間表示(如下文所描述),及/或其他操作。舉例而言,至少歸因於潛在空間之低維值(相對於影像空間),此相較於影像空間在潛在空間中進行可能在計算上更便宜。
在一些實施例中,潛在空間中之維度資料由編碼器-解碼器架構之編碼器編碼。在一些實施例中,藉由編碼器-解碼器架構之解碼器產生來自參數化模型之預測及/或其他輸出。如本文中所描述,編碼器包含經組態以將模型輸入變換成潛在空間中之維度資料的參數化模型之一部分,且解碼器包含經組態以將潛在空間中之維度資料變換成輸出實現的參數化模型之不同部分。變換可包括例如編碼、解碼、投影、映射等。藉助於非限制性實務實例,在一些實施例中,模型輸入可為與半導體器件製造製程相關聯之複電場影像及/或其他資訊。潛在空間中之維度資料可包含與模型輸入相關聯之多維張量及/或向量。輸出實現可包含經預測複電場 影像、度量衡度量及/或其他資訊。在一些實施例中,預測包含用神經網路之一或多個層及/或一或多個節點將維度資料之多維張量及/或向量解碼成輸出實現。
用(已知(例如經量測及/或經模型化))電場影像、對應振幅量測值、度量衡度量及/或其他資訊訓練參數化模型。電場影像及對應振幅量測值及/或度量衡度量可形成例如輸入對象/輸出值訓練對(如本文中所描述)。電場影像可為複電場影像及/或其他電場影像。複電場影像可包括振幅、相位及/或其他資訊。振幅可為例如複值電場之絕對值。振幅可包含例如影像強度,及或為振幅的函數之其他參數。相位可包含例如複平面中之複值電場向量之角度。因此,用電場影像的對應振幅及/或相位資訊及/或其他資訊訓練參數化模型。
在一些實施例中,操作82包含用複電場影像訓練集合訓練參數化模型。在一些實施例中,複電場影像集合在通過聚焦量測期間產生及/或以其他方式產生。舉例而言,在一些實施例中,藉由調整攝影機(例如展示於圖7中之攝影機70)之位置來產生複電場影像集合,從而獲得在「z」方向上向上及/或向下之影像(例如如圖7中所展示)。
在一些實施例中,操作82包含用編碼器將與電場影像相關聯之較高維度資料編碼成潛在空間中之維度資料。在一些實施例中,操作82包含將訓練集合中之複電場影像、經量測振幅、度量衡度量及/或其他資訊編碼成潛在空間中之維度資料,及將潛在空間中之維度資料變換成訓練集合中之複電場影像之經復原版本,預測度量衡度量,及/或進行其他操作以促進訓練之校驗。
在一些實施例中,操作82包含反覆地提供額外複電場影像 作為參數化模型的輸入。額外複電場影像係基於複電場影像之經復原版本與訓練集合中之複電場影像匹配的程度判定。舉例而言,在訓練期間,若經復原(或經預測)複電場影像匹配對應輸入影像,則可能需要提供更少或不提供額外複電場影像以供訓練。相反,若經復原(或經預測)複電場影像不匹配或不良地匹配對應輸入影像,則可能需要提供若干額外複電場影像以供訓練。此製程可重複任何次,直至充分訓練參數化模型為止。
圖9說明參數化模型90之實例。參數化模型90可為例如可變自動編碼器。參數化模型90使用編碼器或編碼器網路91將輸入(x)92(例如具有振幅及相位之複電場影像、經量測振幅、度量衡度量,及/或其他輸入)編碼成連續表示(亦稱為潛在空間(z)93),且使用解碼器或解碼器網路94來產生對應輸出(x')95(具有經預測振幅及相位之經預測影像,及/或其他輸出,諸如經預測度量衡度量)。舉例而言,編碼器或編碼器網路91(E(x))可經組態以將輸入影像x
Figure 109136834-A0305-02-0033-7
C n 轉換成較低維、實數值連續隱向量(latent vector)z
Figure 109136834-A0305-02-0033-8
R k (k<<n)。C可表示複值數之空間,R可表示實數值數之空間,k可表示潛在空間之維度,且n可表示輸入空間之維度。編碼器或編碼器網路91亦可使此隱向量之不確定性模型化。解碼器或解碼器網路94D(z)可經組態以將連續隱向量轉換回成輸入影像x'之拷貝。
如圖9中所展示,在一些實施例中,參數化模型90包括經組態以判定一或多個度量衡度量(v)97之部分96(此在下文進一步描述)。網路91、94兩者及部分96之訓練係使用輸入對象/輸出值對(例如如上文所描述之電場影像及對應振幅量測值及/或度量衡度量)進行。
參數化模型90學習在低維潛在空間中編碼複電場影像x。在給定低維輸入向量z之情況下,在潛在空間中,解碼器或解碼器網路94 能夠產生新的樣本D(z)。參數化模型90之可變性質實現不確定性之預測,其又可用於不確定性導引訓練(主動學習)。此可包括向產生較大不確定性之參數化模型90提供複電場影像之更多訓練實例。在一些實施例中,用於訓練參數化模型90的損失函數可為例如使用鑑別器網路直接自資料學習之典型(L1、L2等)範數或類似性度量。
在一些實施例中,可充分訓練參數化模型90。在此等實施例中,操作82可經組態以藉由用新的及/或另外之輸入對象/輸出值對訓練參數化模型90來改良參數化模型90。在一些實施例中,參數化模型90可部分地經訓練或未經訓練。在此等實施例中,操作82可促進參數化模型90之至少部分訓練,包括形成潛在空間93。
返回至圖8,在操作84處,針對參數化模型的給定輸入判定(例如複)電場影像之潛在空間表示。給定輸入包含與電場影像相關聯之經量測振幅,及/或其他資訊。電場影像之潛在空間表示包含連續隱張量、向量及/或其他潛在空間表示。基於參數化模型之潛在空間中之維度資料及/或其他資訊來判定潛在空間表示。在一些實施例中,判定電場影像之潛在空間表示包含最小化受電場影像集合約束之函數,該電場影像集合可藉由參數化模型基於潛在空間中之維度資料及給定輸入來預測。
舉例而言,為了最終自僅振幅量測值y預測複電場影像(其包括振幅及相位兩者),判定電場影像之潛在空間表示包含最小化以下目的函數:
Figure 109136834-A0305-02-0034-1
其中範圍(D)為可藉由預訓練解碼器D產生之潛在影像集合。應注意,x'(上文所描述)表示任何經預測影像,且x*為最小化方程式(2)中之範 數的特定影像,亦即含有吾人嘗試檢索之振幅及相位之影像。上述最小化問題可在較低維潛在空間表示中等效地公式化如下:
Figure 109136834-A0305-02-0035-2
變數z*為或表示電場影像之潛在空間表示。潛在空間之連續表示促進例如基於梯度之最佳化有效導引針對最佳z*之搜尋。
在操作86處,用參數化模型預測電場影像。基於電場影像之潛在空間表示及/或其他資訊來預測電場影像。在一些實施例中,基於電場影像之潛在空間表示來預測電場影像(例如操作86)包含經由編碼器-解碼器架構之解碼器傳遞電場影像之潛在空間表示。
舉例而言,如圖9中所展示,解碼器或解碼器網路94(或解碼器網路94之一部分)映射、投影、解碼或以其他方式將潛在空間93中之電場影像之低維潛在空間表示變換為輸出95。在一些實施例中,基於潛在空間93中之維度資料、潛在空間93中之複電場影像之低維潛在空間表示及/或其他資訊來預測輸出95。輸出95在此實例中為經預測複電場影像。換言之,一旦找到z*,則藉由解(至z*)經由解碼器的正向傳遞來預測複電場影像,使得x*=D(z*)。 (4)
由於對較低維潛在空間變數z進行最佳化,故貨物之計算成本相較於先前方法實質上降低。預期其他優勢。
返回至圖8,在操作88處,基於經預測電場影像、(複)電場影像之低維潛在空間表示、潛在空間中之維度資料及/或其他資訊來判定一或多個度量衡度量。判定可包括預測及/或其他判定。舉例而言,在一些實施例中,可基於所關注區域上之一或多個經預測複電場影像之強度範 圍的平均值來預測及/或以其他方式判定諸如疊對之度量衡度量。作為另一實例,在一些實施例中,可基於電場影像之潛在空間表示來預測及/或以其他方式判定度量衡度量。在一些實施例中,基於電場影像之潛在空間表示來判定一或多個度量衡度量包含將電場影像之潛在空間表示提供至回歸網路及/或包括於參數化模型中或與參數化模型分離之其他預測符在一些實施例中,可將潛在空間中之其他低維資料提供至此回歸網路以供一或多個度量衡度量之預測及/或其他判定。
舉例而言,如圖9中所展示,參數化模型90之部分96(在此實例中包含回歸網路R(z))經組態以基於潛在空間93中之低維資料來判定一或多個度量衡度量97。在圖9中所展示之實例中,一或多個度量衡度量包含疊對(v)。可基於潛在空間中之隱向量(例如複電場影像之潛在空間表示)及/或其他資訊來判定疊對。舉例而言,可藉由z*經由回歸網路(例如部分96)之正向傳遞來預測及/或以其他方式判定度量衡度量,使得:v*=R(z*)。 (5)
應注意,v(本文中所描述)可為任何經預測度量衡度量(諸如疊對),且v*為對應於影像x*之特定度量衡度量。
如圖9中所展示,在一些實施例中,參數化模型90可經組態以使得本質上嵌入於初始信號x(例如輸入92)中之額外資訊可經由額外步驟(諸如(例如)將其饋送至回歸網路(例如部分96))提取。以此方式,度量衡資訊可與相位檢索同時提取。此處,實例度量衡度量為疊對,但方法可擴展至嵌入於x中之任何額外純量參數(例如一或多個度量衡度量及/或其他度量)。在一些實施例中,一或多個度量衡度量包含以下中之一或多者:疊對、臨界尺寸、基板之特徵之三維輪廓的重建構、在用微影裝置印 刷基板之特徵時微影裝置之劑量或焦點、對準及/或其他度量衡度量。
藉助於非限制性實務實例,本文中所描述之本發明系統、方法、裝置等可用於使用包含一或多個機器學習演算法(例如展示於圖9中之編碼器網路91、解碼器網路94、部分96等)的參數化模型(例如展示於圖9中之90)來判定半導體製造製程的一或多個度量衡度量(例如如上文所描述之疊對)。與未知電場影像相關聯之特徵向量(例如低維經編碼潛在空間資料)可經判定、經編碼及/或以其他方式接收到(例如經由控制電路系統,其為諸如展示於下文所描述的圖10中之電腦系統100之電腦系統之部分)。如本文中所描述,特徵向量表示對應於電場影像之潛在空間表示(例如參見圖9中之潛在空間93)的值。使用控制電路系統,可將特徵向量輸入至機器學習模型或機器學習模型之一部分(例如展示於圖9中之解碼器網路94)中(例如提供至該機器學習模型或機器學習模型之一部分)。在一些實施例中,機器學習模型可包含生成式分類器(例如解碼器),其用於基於對應於電場影像之潛在空間表示的經標記特徵向量來識別已知電場影像。已知電場影像為電場影像之潛在空間表示之較高維表示(例如如本文中所描述)。在一些實施例中,同樣使用控制電路系統,自機器學習模型接收到第一預測。第一預測可指示第一特徵向量是否對應於已知電場影像。回應於指示第一特徵向量對應於已知電場影像之第一預測,可產生針對對應於已知電場影像之半導體製造製程的度量衡度量的推薦以用於在使用者介面(例如參見展示於圖10中且下文所描述的顯示器112)上顯示。此操作可藉由例如參數化模型90之部分96及/或本文中所描述之其他組件進行。
返回至圖8,在一些實施例中,度量衡裝置可進行操作88及/或本文中所描述之其他操作中之一些或所有。舉例而言,在一些實施 例中,度量衡裝置可經組態以判定半導體製造製程的一或多個度量衡度量。裝置可包含一或多個處理器,該一或多個處理器經組態以:基於參數化模型之潛在空間中之維度資料來判定給定輸入的電場影像之潛在空間表示;用參數化模型基於電場影像之潛在空間表示來預測電場影像;及基於經預測電場影像來判定半導體製造製程的一或多個度量衡度量。在一些實施例中,度量衡裝置可包括於微影單元中(例如如本文中所描述)或度量衡裝置可獨立。
在一些實施例中,操作88包含基於一或多個經預測電場影像、一或多個度量衡度量及/或其他資訊來判定對半導體製造製程參數之調整,該等半導體製造製程參數用於將基板幾何形狀圖案化為半導體製造製程之部分。在一些實施例中,一或多個半導體製造製程參數包含遮罩設計、光瞳形狀、劑量、焦點及/或其他參數中之一或多者。在一些實施例中,一或多個半導體製造製程參數包含遮罩設計以使得遮罩設計自第一遮罩設計改變為第二遮罩設計。預期與積體電路製造製程之若干不同態樣及/或其他製程相關之其他實例。
本文中所描述之原理(例如利用經訓練參數化模型中之潛在空間之相對較低維度來預測及/或以其他方式判定製程資訊)可具有多個額外應用(例如除展示於圖8中且上文所描述之複電場預測應用之外及/或代替該複電場預測應用)。舉例而言,本發明系統及方法可用於協調來自不同製程感測器及/或工具的資料,該資料可能係不同的,即使對於同一經量測或經成像目標亦是如此。
本發明方法及系統經組態以在參數化模型之潛在空間(其中相較於來自不同感測器及/或工具之原始資料中之維度之數目,待分析之 資料的維度更少)中判定該參數化模型的給定輸入之潛在空間表示。基於給定輸入的參考潛在空間表示將潛在空間表示變換成給定輸入之經變換潛在空間表示;及基於給定輸入之經變換潛在空間表示來預測製程資訊。舉例而言,給定輸入可與目標相關聯,且自經組態以產生給定輸入的複數個不同感測器及/或工具中之一者接收到。變換及預測經組態以使得目標的來自參數化模型之經預測及/或以其他方式經判定製程資訊(例如影像、量測值、製程參數、度量衡度量等)係相同的,與感測器及/或工具中之哪一者產生給定輸入無關。
在一些實施例中,給定輸入與目標相關聯,且自經組態以產生給定輸入的複數個目標表徵裝置中之一者接收到。目標表徵裝置可包括經組態以產生關於目標之資料的各種感測器及/或工具。資料可包括影像、各種度量的值,及/或其他資訊。在一些實施例中,給定輸入包含輸入影像、輸入製程量測值及/或一系列製程量測值及/或其他資訊中之一或多者。在一些實施例中,潛在空間表示可為張量、向量及/或其他潛在空間表示(例如相較於與給定輸入相關聯之維度之數目具有更少維度之物)。
在一些實施例中,變換包含對給定輸入之潛在空間表示進行之一或多個數學運算。在一些實施例中,變換係在潛在空間中進行。數學運算可包括翻譯(例如在x、y、z或潛在空間中之其他等效維度中);覆蓋所有維度(或維度之子集)的(高階)多項式模型化,諸如縮放、旋轉等;及/或其他操作。
在一些實施例中,參考潛在空間表示包含參數化模型的先前接收到的輸入之加權組合、參數化模型的先前接收到的輸入之潛在空間表示的平均值、來自經組態以產生給定輸入的特定目標表徵裝置的輸入之 潛在空間表示,及/或其他潛在空間表示。舉例而言,使用者可具有使用者一致認為為目標提供最準確及/或以其他方式最佳之資料的特定感測器及/或其他工具(例如「黃金工具」)。參考潛在空間表示可為來自彼感測器及/或其他工具之資料(例如影像及/或其他資料)之編碼。
藉助於非限制性實例,給定輸入可包含影像(例如與半導體製造相關聯或在半導體製造期間產生之任何影像)。影像可由參數化模型之編碼器架構編碼成表示潛在空間中之影像的低維向量。向量之各種維度可在潛在空間內翻譯,使得表示輸入影像之向量匹配參考潛在空間表示(作為一個實例,其他變換亦為可能的)。接著可將經變換向量解碼成經預測製程資訊。
在一些實施例中,經預測製程資訊包含經預測影像、經預測製程量測值(例如度量值)及/或其他資訊中之一或多者。在一些實施例中,經預測製程量測值包含以下中之一或多者:度量衡度量、xyz位置、尺寸、電場、波長、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角、照明及/或偵測相位延遲角,及/或其他製程量測值。
在此實例中,參數化模型可具有帶有機率性潛在空間之可變編碼器-解碼器架構,該機率性潛在空間在輸出空間中產生實現。在一些實施例中,潛在空間包含低維編碼(例如如上文所描述)。用現有資料(例如針對同一目標的來自不同目標表徵裝置(例如感測器、工具等)之經量測及/或經模擬資料(例如影像、各種度量的值等)等)及/或其他資訊訓練參數化模型。訓練資料可包括例如來自廣泛範圍之不同目標表徵裝置但針對同一目標之不同資料。如上文所描述,潛在空間中之維度資料由編碼器-解碼器架構之編碼器編碼。在一些實施例中,藉由編碼器-解碼器架構之 解碼器產生來自參數化模型之預測及/或其他輸出。
此外,參數化模型之訓練可經擴展用於任何類型之量測(場、光瞳、位置、高度等)的(匹配)表徵裝置。參數化模型之訓練亦可藉由將目標表徵裝置常數(例如來自校準及/或硬體規格之機器常數)添加至訓練資料來擴展。在此類實施例中,潛在空間變換可潛在地用於判定表徵裝置之間的偏差之根本原因。舉例而言,可將定位中之系統性偏移(諸如載物台及/或光學組件之xyz位置)翻譯成疊對誤差。
作為另一實例,本發明方法及系統可經組態以基於參數化模型之潛在空間(同樣,其中相較於來自不同實驗性反覆之原始資料中之維度之數目,待分析之資料的維度更少)中之維度資料來判定與參數化模型的給定輸入相關聯之最佳製程參數集合之潛在空間表示,及基於最佳製程條件集合之潛在空間表示來預測製程資訊。
在一些實施例中,給定輸入包含目標的經定義設計參數、經定義度量衡量測配方參數及/或其他經定義資訊中之一或多者。經定義設計參數及/或經定義度量衡量測配方參數可係不可改變的,此係歸因於例如設計要求(例如針對目標設計之一或多個特徵的特定大小、形狀、位置及/或其他要求)、機器能力、在製造製程期間使用之材料、科學限制(例如物理、化學等之定律)、目標設計之元件之間的所需關係、製造配方中之所需步驟,或其他輸入。
在一些實施例中,經預測製程資訊包含目標的設計參數、度量衡量測配方參數及/或其他參數中之一或多者。在一些實施例中,設計參數包含與目標相關聯之臨界尺寸、間距、分段間距、線幾何形狀、接觸幾何形狀、孔幾何形狀及/或其他資訊中之一或多者。在一些實施例 中,度量衡量測配方參數包含以下中之一或多者:用於量測目標之波長、頻寬、孔徑、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角、照明及/或偵測相位延遲角、劑量及/或其他配方參數。
在一些實施例中,最佳製程參數集合定義用於量測目標的度量衡度量之最佳量測條件。最佳量測條件可為例如針對給定目標用於量測特定度量衡度量之理想量測條件,及/或其他量測條件。在一些實施例中,最佳製程參數集合包含強度、對比度、邊緣回應、繞射效率、疊對靈敏度及/或其他製程參數中之一或多者。藉助於非限制性實例,每一製程參數可具有理想工作範圍:強度:在量測攝影機範圍之中間;對比度:與周圍特徵之高對比度;邊緣回應:邊緣處儘可能低之過沖;繞射效率:儘可能高(但與強度相關);疊對靈敏度:高於最小臨限值,例如絕對靈敏度>0.2(在0至2之標度上),且亦與強度相關。在給定此等約束之情況下,可判定如何將此等參數投影回至量測條件上。此將在量測條件上給出機率分佈,其中該機率分佈與最佳製程參數最佳地匹配。
換言之,使用者可將經定義目標設計或度量衡量測配方參數輸入至參數化模型中。參數化模型可經組態以使得存在定義輸入的最佳量測條件之最佳製程參數集合。參數化模型接著可基於輸入、最佳製程參數集合及/或其他資訊來預測(判定)輸入的一或多個(例如推薦)設計參數及/或度量衡配方參數。換言之,模型經組態以在給定由使用者輸入至模型中的限制之情況下(基於模型已經訓練以知道關於彼輸入的最佳製程參數集合的內容)輸出度量衡裝置的最佳可能目標設計(例如定義此最佳可能目標設計的參數)及/或最佳可能量測設定(例如參數)。
在此實例中,參數化模型可具有帶有機率性潛在空間之可 變編碼器-解碼器架構,該機率性潛在空間在輸出空間中產生實現。在一些實施例中,潛在空間包含低維編碼(例如如上文所描述)。用現有資料(例如經量測及/或經模擬目標設計、對應已知量測條件及/或度量衡度量等)及/或其他資訊訓練參數化模型。如上文所描述,潛在空間中之維度資料由編碼器-解碼器架構之編碼器編碼。在一些實施例中,藉由編碼器-解碼器架構之解碼器產生來自參數化模型之預測及/或其他輸出。應注意,參數化模型可經訓練以用於預測及/或以其他方式判定任何目標設計及/或度量衡量測配方參數。舉例而言,參數化模型可用於疊對目標設計及/或量測配方參數、目標蝕刻後影像及/或量測配方參數、基於影像之疊對目標設計及/或量測配方參數、焦點目標設計及/或量測配方參數、對準目標設計及/或量測配方參數,及/或其他目標及/或量測配方參數。
如上文所描述,可訓練一個參數化模型以預測複電場影像、變換來自不同感測器及/或工具之資料使得同一目標之資料匹配,及判定最佳目標設計及/或製造配方;或此等不同操作可藉由不同參數化模型進行。不同應用(預測複電場影像、變換來自不同感測器及/或工具之資料使得同一目標之來自不同感測器及/或工具之資料仍匹配,及判定最佳目標設計及/或製造配方)可共同使用,或其可分開使用。
作為一實例,本發明系統及方法可經組態以預測製程資訊,諸如使同一目標之來自不同感測器及/或工具的資料及最佳目標設計及/或製造配方(例如本文中所描述之三個應用中之兩者之組合)與單一參數化模型匹配。換言之,參數化模型可經組態以共同最佳化目標設計及量測配方參數。此可包括在參數化模型之潛在空間中判定參數化模型的給定輸入之潛在空間表示(例如如上文所描述)。可基於給定輸入的參考潛在空間 表示將給定輸入之潛在空間表示變換成給定輸入之經變換潛在空間表示(例如如上文所描述)。可基於給定輸入之經變換潛在空間表示來判定與給定輸入相關聯之最佳製程參數集合之潛在空間表示(例如如上文所描述),且可基於給定輸入之經變換潛在空間表示及與給定輸入相關聯之最佳製程參數集合之潛在空間表示來預測製程資訊。
作為另一實例,本發明系統及方法可經組態以預測諸如複電場影像之製程資訊,從而使同一目標之來自不同感測器及/或工具的資料及最佳目標設計及/或製造配方(例如本文中所描述之所有三個應用之組合)與單一參數化模型匹配。此可包括:在該參數化模型之潛在空間中判定參數化模型的給定輸入之潛在空間表示(例如如本文中所描述);基於給定輸入的參考潛在空間表示將給定輸入之潛在空間表示變換成給定輸入之經變換潛在空間表示;基於給定輸入之經變換潛在空間表示來判定給定輸入的電場影像之潛在空間表示;基於經變換潛在空間表示來判定與給定輸入相關聯之最佳製程參數集合之潛在空間表示;基於給定輸入之經變換潛在空間表示、電場影像之潛在空間表示及與給定輸入相關聯之最佳製程參數集合之潛在空間表示來預測製程資訊;及/或其他操作。
應注意,儘管本文中之描述常常係指(單一)潛在空間,但不應將此認為係限制性的。本文中所描述之原理可用任何數目個潛在空間應用及/或應用於任何數目個潛在空間。舉例而言,本文中所描述之系統、方法、(度量衡)裝置、非暫時性電腦可讀媒體等可經組態以使得基於參數化模型(或一或多個參數化模型)之一或多個潛在空間中之維度資料來判定電場影像之潛在空間表示係針對參數化模型的給定輸入進行。基於電場影像之潛在空間表示及或其他資訊來判定電場影像。如上文所描述,在 一些實施例中,電場影像包含具有振幅及相位之複電場影像,且給定輸入包含與複電場影像相關聯之經量測振幅。判定電場影像之潛在空間表示包含最小化受電場影像集合約束之函數,該電場影像集合可藉由參數化模型基於一或多個潛在空間中之維度資料及給定輸入來預測。
一或多個潛在空間可串行(例如用於分析資料及/或進行第一預測,接著進行第二預測等)、並行(例如用於分析資料及/或同時進行預測)及/或以其他方式使用。有利地,參數化模型之個別潛在空間可相較於單一潛在空間而更為強健。舉例而言,單獨潛在空間可聚焦於資料集之特定屬性上,例如一個潛在空間用於檢索相位,另一潛在空間用於基於量測參數之分類等。一個經合併潛在空間可經組態以捕捉所有可能性,而在單獨潛在空間之情況下,每一個別潛在空間可經組態以(例如經訓練以)聚焦於資料集之特定主題及/或態樣。個別潛在空間可能潛在地更簡單,但在捕捉資訊方面更佳(例如當相應地設置時)。
在一些實施例中,一或多個潛在空間可包含至少兩個潛在空間、複數個潛在空間及/或其他數量之潛在空間,其中個別潛在空間對應於參數化模型之不同機制。參數化模型之不同機制可包含編碼機制(例如展示於圖9中之91)、解碼機制(例如展示於圖9中之94)、複電場參數判定機制(例如判定展示於圖9中之輸入92及/或輸入電場影像之其他特徵的機制)、相位檢索機制(例如類似於展示於圖9中之94及/或與展示於圖9中之94相同),及/或其他機制。在一些實施例中,不同機制可對應於藉由參數化模型(或一或多個參數化模型)進行之不同操作。藉助於非限制性實例,在一些實施例中,多個潛在空間可並行使用,例如一個潛在空間用於影像編碼及/或解碼,另一潛在空間用於預測像差,另一潛在空間用於配 方設定(例如預測或推薦製程設定點)等。對應於不同機制之個別潛在空間可相較於與多個機制相關聯之單一潛在空間而更為強健。
在一些實施例中,個別潛在空間可與參數化模型的給定輸入之不同獨立參數及對應維度資料相關聯。對應於不同獨立參數之個別潛在空間亦可相較於與多個參數相關聯之單一潛在空間而更為強健。舉例而言,在一些實施例中,本發明系統及方法可包括或利用用於相位檢索(如本文中所描述)之第一潛在空間,及處理與工具相關(亦即,歸因於光學差異)之干擾的第二單獨潛在空間。第一潛在空間可經組態以(例如經訓練以)進行相位檢索,且(與此第一潛在空間無關)第二潛在空間可經組態以(例如經訓練以)處理由工具特定屬性引起之影像差異。應注意,此僅為一個可能之實例,且並不意欲為限制性的。預期許多其他可能之實例。
圖10為說明可進行及/或輔助實施本文中所揭示之方法、流程、系統或裝置之電腦系統100之方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104(或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且該儲存器件110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯 示資訊之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸(第一軸(例如x)及第二軸(例如y))上之兩個自由度,此允許該器件指定平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
根據一個實施例,本文中所描述之一或多種方法之部分可藉由電腦系統100回應於處理器104執行主記憶體106中所含有之一或多個指令的一或多個序列而進行。可將此類指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列的執行使得處理器104進行本文中所描述之製程步驟。亦可採用呈多處理配置之一或多個處理器以執行主記憶體106中所含有之指令序列。在替代性實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排102之電線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟磁碟、 軟性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,可初始地將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線來發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外傳輸器將資料轉換為紅外信號。耦接至匯流排102之紅外偵測器可接收紅外信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體106檢索且執行指令。由主記憶體106接收到之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供耦接至網路鏈路120之雙向資料通信,該網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供與對應類型之電話線的資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供與相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送及接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由區域網路122向主機電腦124 或向由網際網路服務提供者(ISP)126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常稱為「網際網路」128)而提供資料通信服務。區域網路122及網際網路128均使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息及接收資料,包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118傳輸用於應用程式之所請求程式碼。舉例而言,一個此經下載應用程式可提供本文中所描述之方法之所有或部分。接收到之程式碼可在其經接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖11為圖1中所展示之微影投影裝置LA的替代性設計之詳細視圖。(圖1係關於DUV輻射,此係由於使用透鏡且使用透明倍縮光罩,而圖11係關於使用EUV輻射之微影裝置,此係由於使用鏡面及反射倍縮光罩。)如圖11中所展示,微影投影裝置可包括源SO、照明系統IL及投影系統PS。源SO經組態以使得可將真空環境維持於源SO之圍封結構220中。可由放電產生電漿源形成EUV(例如)輻射發射電漿210。可由氣體或蒸汽(例如Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中產生電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分地離子化之電漿之放電來產生電漿210。為了輻射之高效產生,可需要為例如10Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一 些實施例中,提供經激發的錫(Sn)之電漿以產生EUV輻射。
由電漿210發射之輻射經由位於源腔室211中之開口中或後方之視情況存在之氣體障壁或污染物陷阱230(在一些情況下亦稱為污染物障壁或箔陷阱)而自源腔室211傳遞至收集器腔室212中。污染物陷阱230可包括通道結構。腔室211可包括輻射收集器CO,該輻射收集器CO可為例如掠入射收集器。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵濾光片240反射以沿著由線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常稱為中間焦點,且源經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,該照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,其經配置以提供在圖案化器件MA處的輻射光束21之所要角分佈,以及在圖案化器件MA處的輻射強度之所要均一性。在由支撐結構(台)T固持之圖案化器件MA處反射輻射光束21後,形成經圖案化光束26,且經圖案化光束26藉由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。比所展示元件更多之元件通常可存在於照明光學器件單元IL及投影系統PS中。取決於例如微影裝置之類型,可視情況存在光柵濾光片240。另外,可存在比諸圖中所展示之鏡面多的鏡面,例如在投影系統PS中可存在比圖11中所展示之反射元件多1至6個的額外反射元件。
如圖11中所說明之收集器光學器件CO描繪為具有掠入射反射器253、254及255之巢套式收集器,僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255經安置為圍繞光軸O軸向對稱,且 此類型之收集器光學器件CO可與常常稱為DPP源之放電產生電漿源組合使用。
在以下經編號條項之後續清單中揭示另外實施例:
1.一種判定一半導體製造製程的一或多個度量衡度量之方法,該方法包含:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
2.如條項1之方法,其中該電場影像包含具有一振幅及一相位之一複電場影像。
3.如條項1至2中任一項之方法,其中一或多個經判定度量衡度量包含以下中之一或多者:疊對、臨界尺寸、基板之特徵之三維輪廓的重建構,或在用微影裝置印刷基板之特徵時微影裝置之劑量或焦點。
4.如條項1至3中任一項之方法,其中該電場影像包含一複電場影像,且其中該給定輸入包含與該複電場影像相關聯之一經量測振幅。
5.如條項4之方法,其中該振幅包含一強度。
6.如條項1至5中任一項之方法,其進一步包含基於經判定一或多個度量衡度量來調整一或多個半導體製造製程參數。
7.一種用一參數化模型預測電場影像之方法,該方法包含:基於該參數化模型之一潛在空間中之維度資料來判定該參數化模型 的一給定輸入的一電場影像之一潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
8.如條項7之方法,其中該電場影像包含具有一振幅及一相位之一複電場影像。
9.如條項8之方法,其中給定輸入包含與複電場影像相關聯之經量測振幅。
10.如條項9之方法,其中該振幅包含一強度。
11.如條項7至10中任一項之方法,其中判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該潛在空間中之該維度資料及該給定輸入來預測。
12.如條項11之方法,其中該電場影像之該潛在空間表示包含一張量。
13.如條項7至12中任一項之方法,其中該參數化模型為一機器學習模型。
14.如條項7至13中任一項之方法,其中該參數化模型包含編碼器-解碼器架構。
15.如條項14之方法,其中該編碼器-解碼器架構包含可變編碼器-解碼器架構,該方法進一步包含用一機率性潛在空間訓練該可變編碼器-解碼器架構,該機率性潛在空間在一輸出空間中產生實現。
16.如條項15之方法,其中該潛在空間包含低維編碼。
17.如條項14至16中任一項之方法,其中該潛在空間中之該維度資料由該編碼器-解碼器架構之一編碼器編碼。
18.如條項14至17中任一項之方法,其進一步包含用一複電場影像 訓練集合訓練該參數化模型。
19.如條項18之方法,其中複電場影像集合係基於通過聚焦量測及(視情況)複電場影像重建構演算法產生。
20.如條項18或19之方法,其中該訓練包含將該訓練集合中之該等複電場影像編碼成該潛在空間中之該維度資料,及將該潛在空間中之該維度資料變換成該訓練集合中之該等複電場影像之經復原版本以促進該訓練之校驗。
21.如條項20之方法,其進一步包含反覆地提供額外複電場影像作為該參數化模型的輸入,該等額外複電場影像係基於該等複電場影像之該等經復原版本與該訓練集合中之該等複電場影像匹配的一程度判定。
22.如條項16至21中任一項之方法,其進一步包含用該編碼器將與該等電場影像相關聯之較高維度資料編碼成該潛在空間中之該維度資料。
23.如條項14至22中任一項之方法,其中基於該電場影像之該潛在空間表示來預測該電場影像包含經由該編碼器-解碼器架構之一解碼器傳遞該電場影像之該潛在空間表示。
24.如條項7至23中任一項之方法,其進一步包含基於電場影像之潛在空間表示來判定度量衡度量。
25.如條項24之方法,其中基於該電場影像之該潛在空間表示來判定該度量衡度量包含將該電場影像之該潛在空間表示提供給一回歸網路,該回歸網路包括於該參數化模型中或與該參數化模型分離。
26.如條項24或25之方法,其中該度量衡度量為疊對。
27.如條項7至26中任一項之方法,其進一步包含基於該電場影像之該潛在空間表示及/或經預測電場影像來校正與一度量衡裝置相關聯之 像差。
28.如條項7至27中任一項之方法,其進一步包含基於該經預測電場影像來判定對半導體製造製程參數之調整,該等半導體製造製程參數用於將基板幾何形狀圖案化為一半導體製造製程之部分。
29.一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至28中任一項之方法。
30.一種經組態以判定一半導體製造製程的一或多個度量衡度量之度量衡裝置,該裝置包含一或多個處理器,該一或多個處理器經組態以:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
31.一種包含一度量衡裝置之微影單元,該度量衡裝置經組態以:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
32.一種用一參數化模型預測製程資訊之方法,其包含:在參數化模型之潛在空間中判定參數化模型的給定輸入之潛在空間 表示;基於給定輸入的參考潛在空間表示將給定輸入之潛在空間表示變換成給定輸入之經變換潛在空間表示;及基於給定輸入之經變換潛在空間表示來預測製程資訊。
33.如條項32之方法,其中給定輸入與目標相關聯,且自經組態以產生給定輸入的複數個目標表徵裝置中之一者接收到;且其中變換及預測經組態以使得目標的經預測製程資訊係相同的,與目標表徵裝置中之哪一者產生給定輸入無關。
34.如條項32或33之方法,其中變換包含對給定輸入之潛在空間表示進行之一或多個數學運算。
35.如條項32至34中任一項之方法,其中變換係在潛在空間中進行。
36.如條項32至35中任一項之方法,其中參考潛在空間表示包含該參數化模型的先前接收到的輸入之潛在空間表示的加權組合及/或平均值,或來自經組態以產生給定輸入的特定目標表徵裝置的輸入之潛在空間表示。
37.如條項32至36中任一項之方法,其中製程資訊及給定輸入與半導體製造製程相關聯。
38.如條項32至37中任一項之方法,其中經預測製程資訊包含經預測影像或經預測製程量測值中之一或多者。
39.如條項37之方法,其中經預測製程量測包含以下中之一或多者:度量衡度量、xyz位置、尺寸、電場、波長、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角,或照明及/或偵測相位延遲角。
40.如條項32至39中任一項之方法,其中給定輸入包含輸入影像或輸入製程量測值中之一或多者。
41.一種用一參數化模型預測製程資訊之方法,其包含:基於維度資料在該參數化模型之一潛在空間中判定與該參數化模型的一給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於最佳製程條件集合之該潛在空間表示來預測該製程資訊。
42.如條項41之方法,其中經預測製程資訊包含目標的設計參數或度量衡量測配方參數中之一或多者。
43.如條項42之方法,其中設計參數包含與目標相關聯之臨界尺寸、間距、分段間距、線幾何形狀、接觸幾何形狀或孔幾何形狀中之一或多者。
44.如條項42之方法,其中度量衡量測配方參數包含以下中之一或多者:用於量測目標之波長、頻寬、孔徑、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角、照明及/或偵測相位延遲角,或劑量。
45.如條項41至44中任一項之方法,其中最佳製程參數集合定義用於量測目標的度量衡度量之最佳量測條件。
46.如條項45之方法,其中最佳製程參數集合包含強度、對比度、邊緣回應、繞射效率或疊對靈敏度中之一或多者。
47.如條項41至46中任一項之方法,其中給定輸入包含目標的經定義設計參數或經定義度量衡量測配方參數中之一或多者。
48.如條項32至47中任一項之方法,其中該參數化模型為一機器學習模型。
49.如條項42至48中任一項之方法,其中該參數化模型包含編碼器 -解碼器架構。
50.如條項32至49中任一項之方法,其中該潛在空間包含低維編碼。
51.一種用一參數化模型預測製程資訊之方法,其包含:在參數化模型之潛在空間中判定參數化模型的給定輸入之潛在空間表示;基於給定輸入的參考潛在空間表示將給定輸入之潛在空間表示變換成給定輸入之經變換潛在空間表示;基於該經變換潛在空間表示來判定與該給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於該給定輸入之該經變換潛在空間表示及與該給定輸入相關聯之該最佳製程參數集合之該潛在空間表示來預測該製程資訊。
52.一種用一參數化模型預測製程資訊之方法,其包含:在參數化模型之潛在空間中判定參數化模型的給定輸入之潛在空間表示;基於給定輸入的參考潛在空間表示將給定輸入之潛在空間表示變換成給定輸入之經變換潛在空間表示;基於給定輸入的經變換潛在空間表示來判定給定輸入的電場影像之潛在空間表示;基於該經變換潛在空間表示來判定與該給定輸入相關聯之一最佳製程參數集合之一潛在空間表示;及基於該給定輸入之該經變換潛在空間表示、該電場影像之該潛在空間表示及與該給定輸入相關聯之該最佳製程參數集合之該潛在空間表示來 預測該製程資訊。
53.一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項32至52中任一項之方法。
54.一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時使得該電腦:基於參數化模型之一或多個潛在空間中之維度資料來判定參數化模型的給定輸入的電場影像之潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
55.如條項54之媒體,其中一或多個潛在空間包含至少兩個潛在空間。
56.如條項54或55中任一項之媒體,其中一或多個潛在空間包含複數個潛在空間,其中複數個潛在空間中之個別潛在空間對應於參數化模型之不同機制。
57.如條項56之媒體,其中參數化模型之不同機制包含編碼機制、解碼機制、複電場參數判定機制,及/或相位檢索機制。
58.如條項54至57中任一項之媒體,其中一或多個潛在空間包含與參數化模型的給定輸入之不同獨立參數及對應維度資料相關聯之至少兩個潛在空間。
59.如條項54至58中任一項之媒體,其中該電場影像包含具有一振幅及一相位之一複電場影像,且該給定輸入包含與該複電場影像相關聯之一經量測振幅。
60.如條項54至59中任一項之媒體,其中判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可 藉由該參數化模型基於該一或多個潛在空間中之該維度資料及該給定輸入來預測。
61.一種用一參數化模型預測電場影像之方法,該方法包含:基於該參數化模型之一或多個潛在空間中之維度資料來判定該參數化模型的一給定輸入的一電場影像之一潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
62.如條項61之方法,其中一或多個潛在空間包含至少兩個潛在空間。
63.如條項61或62中任一項之方法,其中一或多個潛在空間包含複數個潛在空間,其中複數個潛在空間中之個別潛在空間對應於參數化模型之不同機制。
64.如條項63之方法,其中參數化模型之不同機制包含編碼機制、解碼機制、複電場參數判定機制,及/或相位檢索機制。
65.如條項61至64中任一項之方法,其中一或多個潛在空間包含與參數化模型的給定輸入之不同獨立參數及對應維度資料相關聯之至少兩個潛在空間。
66.如條項61至65中任一項之方法,其中該電場影像包含具有一振幅及一相位之一複電場影像,且該給定輸入包含與該複電場影像相關聯之一經量測振幅。
67.如條項61至66中任一項之方法,其中判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該一或多個潛在空間中之該維度資料及該給定輸入來預測。
68.一種包含一或多個處理器之度量衡裝置,其經組態以:基於參數化模型之一或多個潛在空間中之維度資料來判定參數化模型的給定輸入的電場影像之潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
69.如條項68之裝置,其中一或多個潛在空間包含至少兩個潛在空間。
70.如條項68或69中任一項之裝置,其中一或多個潛在空間包含複數個潛在空間,其中複數個潛在空間中之個別潛在空間對應於參數化模型之不同機制。
71.如條項70之裝置,其中參數化模型之不同機制包含編碼機制、解碼機制、複電場參數判定機制,及/或相位檢索機制。
72.如條項68至71中任一項之裝置,其中一或多個潛在空間包含與參數化模型的給定輸入之不同獨立參數及對應維度資料相關聯之至少兩個潛在空間。
73.如條項68至72中任一項之裝置,其中該電場影像包含具有一振幅及一相位之一複電場影像,且該給定輸入包含與該複電場影像相關聯之一經量測振幅。
74.如條項68至73中任一項之裝置,其中判定該電場影像之該潛在空間表示包含最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該一或多個潛在空間中之該維度資料及該給定輸入來預測。
75.一種使用機器學習演算法來判定半導體製造製程的一或多個度量衡度量之方法,其包含: 使用控制電路系統接收與未知電場影像相關聯之特徵向量,其中該特徵向量表示對應於電場影像之潛在空間表示的值;使用控制電路系統將特徵向量輸入至機器學習模型中,其中該機器學習模型包含生成式分類器,其用於基於對應於電場影像之潛在空間表示的經標記特徵向量來識別已知電場影像,其中已知電場影像為電場影像之潛在空間表示之較高維表示;使用控制電路系統自機器學習模型接收第一預測,其中第一預測指示第一特徵向量是否對應於已知電場影像;及回應於指示第一特徵向量對應於已知電場影像之第一預測,產生針對對應於已知電場影像之半導體製造製程的度量衡度量的推薦以用於在使用者介面上顯示。
本文中所揭示之概念可模擬或在數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。已在使用中之新興技術包括能夠藉由使用ArF雷射來產生193nm波長且甚至能夠藉由使用氟雷射來產生157nm波長之極紫外(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由用高能電子來撞擊材料(固體或電漿)以產生在20nm至5nm範圍內的波長,以便產生在此範圍內之光子。
儘管本文中所揭示之概念可用於在諸如矽晶圓之基板上成像,但應理解,所揭示概念可與任何類型之微影成像系統一起使用,例如用於在除矽晶圓以外之基板上成像之微影成像系統,及/或度量衡系統。此外,所揭示元件之組合及子組合可包含單獨實施例。舉例而言,預測複電場影像及判定諸如疊對之度量衡度量可藉由相同參數化模型及/或不同 參數化模型進行。此等特徵可包含單獨實施例,及/或此等特徵可在同一實施例中共同使用。
儘管可在本文中特定地參考在度量衡裝置之上下文中之本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成遮罩檢測裝置、微影裝置或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化器件)之對象的任何裝置之部分。此等裝置通常可稱為微影工具。此微影工具可使用真空條件或環境(非真空)條件。
儘管上文可能已特定地參考在光學微影之上下文中對本發明之實施例的使用,但應瞭解,在上下文允許之情況下,本發明不限於光學微影,且可用於其他應用(例如壓印微影)中。儘管上文已描述本發明之特定實施例,但應瞭解,可以與所描述不同之其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見的為,可在不脫離下文所闡述之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
90:參數化模型
91:編碼器或編碼器網路
92:輸入
93:潛在空間
94:解碼器或解碼器網路
95:輸出
96:部分
97:度量衡度量
v:度量衡度量
x:輸入
z:潛在空間

Claims (18)

  1. 一種判定一半導體製造製程的一或多個度量衡度量(metrology metrics)之方法,該方法包含:基於一參數化模型之一潛在空間(latent space)中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示;用該參數化模型,基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
  2. 如請求項1之方法,其中該電場影像包含具有一振幅及一相位之一複電場影像。
  3. 如請求項1或2中任一項之方法,其中該電場影像包含一複電場影像,且其中該給定輸入包含與該複電場影像相關聯之一經量測振幅。
  4. 如請求項1或2中任一項之方法,其進一步包含基於經判定一或多個度量衡度量來調整一或多個半導體製造製程參數。
  5. 如請求項1或2中任一項之方法,其中判定該電場影像之該潛在空間表示包含:最小化受一電場影像集合約束之一函數,該電場影像集合可藉由該參數化模型基於該潛在空間中之該維度資料及該給定輸入來預測。
  6. 如請求項1或2中任一項之方法,其中該參數化模型為一機器學習模型。
  7. 如請求項1或2中任一項之方法,其中該參數化模型包含編碼器-解碼器架構。
  8. 如請求項7之方法,其中該編碼器-解碼器架構包含可變編碼器-解碼器架構,該方法進一步包含用一機率性潛在空間訓練該可變編碼器-解碼器架構,該機率性潛在空間在一輸出空間中產生實現。
  9. 如請求項8之方法,其中該潛在空間包含低維編碼。
  10. 如請求項7之方法,其中該潛在空間中之該維度資料由該編碼器-解碼器架構之一編碼器編碼。
  11. 如請求項7之方法,其進一步包含用一複電場影像訓練集合訓練該參數化模型。
  12. 如請求項11之方法,其中該訓練包含:將該訓練集合中之該等複電場影像編碼成該潛在空間中之該維度資料;及將該潛在空間中之該維度資料變換成該訓練集合中之該等複電場影像之經復原版本以促進該訓練之校驗。
  13. 如請求項7之方法,其中基於該電場影像之該潛在空間表示來預測該電場影像包含:經由該編碼器-解碼器架構之一解碼器傳遞該電場影像之該潛在空間表示。
  14. 如請求項3之方法,其中該振幅包含一強度。
  15. 如請求項5之方法,其中該電場影像之該潛在空間表示包含一張量。
  16. 如請求項12之方法,其進一步包含反覆地(iteratively)提供額外複電場(complex electric field)影像作為該參數化模型的輸入,該等額外複電場影像係基於該等複電場影像之該等經復原版本與該訓練集合中之該等複電場影像匹配的一程度(extent)判定。
  17. 一種用一參數化模型預測電場影像之方法,該方法包含:基於該參數化模型之一或多個潛在空間中之維度資料來判定該參數化模型的一給定輸入的一電場影像之一潛在空間表示;及基於該電場影像之該潛在空間表示來預測該電場影像。
  18. 一種經組態以判定一半導體製造製程的一或多個度量衡度量之度量衡裝置,該裝置包含一或多個處理器,該一或多個處理器經組態以:基於一參數化模型之一潛在空間中之維度資料來判定一給定輸入的一電場影像之一潛在空間表示; 用該參數化模型基於該電場影像之該潛在空間表示來預測該電場影像;及基於經預測電場影像來判定該半導體製造製程的該一或多個度量衡度量。
TW109136834A 2019-11-29 2020-10-23 用參數化模型預測製程資訊之方法和系統 TWI764339B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP19212419.6A EP3828632A1 (en) 2019-11-29 2019-11-29 Method and system for predicting electric field images with a parameterized model
EP19212419.6 2019-11-29
EP20151169.8 2020-01-10
EP20151169 2020-01-10

Publications (2)

Publication Number Publication Date
TW202136924A TW202136924A (zh) 2021-10-01
TWI764339B true TWI764339B (zh) 2022-05-11

Family

ID=72659808

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109136834A TWI764339B (zh) 2019-11-29 2020-10-23 用參數化模型預測製程資訊之方法和系統

Country Status (4)

Country Link
US (1) US20230004096A1 (zh)
CN (1) CN114766012A (zh)
TW (1) TWI764339B (zh)
WO (1) WO2021104718A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3786713A1 (en) * 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
US20220414470A1 (en) * 2021-06-25 2022-12-29 Cognitiv Corp. Multi-Task Attention Based Recurrent Neural Networks for Efficient Representation Learning
US20230059313A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. On wafer dimensionality reduction
CN114091848A (zh) * 2021-11-04 2022-02-25 北京北方华创微电子装备有限公司 半导体工艺配方自动获取方法、系统及半导体工艺设备
DE102021213084A1 (de) 2021-11-22 2022-12-15 Carl Zeiss Smt Gmbh Verfahren zum Betreiben eines EUV-Reflektometers
DE102021213091A1 (de) 2021-11-22 2022-12-22 Carl Zeiss Smt Gmbh Verfahren zur Kalibrierung eines EUV-Reflektometers
US20230169255A1 (en) * 2021-12-01 2023-06-01 Kla Corporation Methods And Systems For Data Driven Parameterization And Measurement Of Semiconductor Structures
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
EP4418042A1 (en) * 2023-02-14 2024-08-21 ASML Netherlands B.V. Method and system for predicting process information from image data

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US11372340B2 (en) * 2011-04-06 2022-06-28 Kla Corporation Method and system for providing a quality metric for improved process control
EP3224676A1 (en) 2014-11-26 2017-10-04 ASML Netherlands B.V. Metrology method, computer product and system
WO2016202695A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications

Also Published As

Publication number Publication date
CN114766012A (zh) 2022-07-19
US20230004096A1 (en) 2023-01-05
WO2021104718A1 (en) 2021-06-03
TW202136924A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
TWI764339B (zh) 用參數化模型預測製程資訊之方法和系統
CN113196173A (zh) 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
US20220291590A1 (en) Modeling method for computational fingerprints
TW202117454A (zh) 用於在半導體製造程序中應用沉積模型之方法
CN112789558A (zh) 提供经过训练的神经网络以及确定实体系统的特性
WO2022042972A1 (en) Mapping metrics between manufacturing systems
US20240152060A1 (en) Method and system for predicting process information with a parameterized model
TWI845049B (zh) 用於不對稱誘發疊對誤差之校正的測量方法及系統
EP4075341A1 (en) Modular autoencoder model for manufacturing process parameter estimation
TWI806324B (zh) 用於製造程序參數估計之模組自動編碼器模型
EP3828632A1 (en) Method and system for predicting electric field images with a parameterized model
TWI807819B (zh) 確保橫越度量衡工具之參數量測匹配之系統與方法
EP4075340A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4254266A1 (en) Methods related to an autoencoder model or similar for manufacturing process parameter estimation
EP4075339A1 (en) Modular autoencoder model for manufacturing process parameter estimation
KR102404117B1 (ko) 계측에서의 데이터 추정 기술
CN116802647A (zh) 用于制造过程参数估计的模块式自动编码器模型
CN118265953A (zh) 获得表征制造过程的参数