TWI227520B - Disturbance-free, program-controlled plasma processing system and method - Google Patents

Disturbance-free, program-controlled plasma processing system and method Download PDF

Info

Publication number
TWI227520B
TWI227520B TW090121995A TW90121995A TWI227520B TW I227520 B TWI227520 B TW I227520B TW 090121995 A TW090121995 A TW 090121995A TW 90121995 A TW90121995 A TW 90121995A TW I227520 B TWI227520 B TW I227520B
Authority
TW
Taiwan
Prior art keywords
processing
plasma
processing program
result
program
Prior art date
Application number
TW090121995A
Other languages
English (en)
Inventor
Akira Kagoshima
Hideyuki Yamamoto
Shoji Ikuhara
Toshio Masuda
Hiroyuki Kitsunai
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TWI227520B publication Critical patent/TWI227520B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

經濟部智慧財產局員工消費合作社印製 1227520 A7 B7 ----------- ------ ------ 五、發明説明(j 發明背景 本發明係關於電漿處理裝置與處理方法,特別是關於 可以控制由於干擾之影響的電漿處理裝置與處理方法。 電漿處理裝置例如以:在真空處理室內導入蝕刻氣體 ’在減壓下,使產生電漿,使在此電漿中所產生之游離基 或離子與被處理物之晶圓表面反應,以進行蝕刻之裝置爲 所知悉。進行此種處理之乾蝕刻裝置係在被稱爲處理程式 之製造條件(氣體流量、氣體壓力、投入電力、蝕刻時間 等)之下進行触刻處理。前述處理程式在半導體裝置的特 定之製造工程(同一製程)中,係經常被保持爲一定。又 ’也有將前述1個之製程分割爲數個步驟,於各步驟變更 製造條件之情形。'’ 發明摘要 於半導體製造工程中,利用乾時刻裝置,實行製程之 情形,如前述般地,各晶圓處理地每次一定地設定被稱爲 處理程式之製造條件以進行晶圓加工。 但是’在最近之微細化進步之狀態下的乾鈾刻製程中 ’晶圓與蝕刻氣體之反應產生物堆積於處理室內壁,由此 堆積物產生被稱爲外氣之不需要的氣體,因此,處理室內 之環境因時間經過而變化,進而,由於處理室相關構件之 溫度變化、構件之消耗,處理室內環境也會變化。如此, 於乾蝕刻裝置存在各樣之千擾要因。 又’在鈾刻之前工程之微影(llthography)工程所形成 本紙張尺度適用中國國(CNS) ( 210X297公羞) —~ —: — 批衣 ^ 訂 線 (請先聞讀背面之注意事項再填寫本頁:> -4- 1227520 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明(j 之光罩的形狀尺寸之偏差也對蝕刻結果造成重要之影響。
即,即使利用一定之處理程式進行鈾刻處理,由於種 種之干擾,很困難獲得一定之性能。 V 本發明係有鑑於這些問題點而完成者,目的在於提供 可以抑制特別是由於干擾之影響之電漿處影控制系統與處 理控制方法。 爲了解決上述課題,依據本發明之1個形態之電漿處 理控制系統係包含:對收容在真空處理室內之試料施以處 理之電漿處理裝置;以及監視該處理裝置之處理中之參數 量之感應器;以及依據由該感應器來之監視輸出以及預先 設定之加工結果的預測式,賦予推測加工結果之加工結果 推測模型之手段;以及依據前述加工結果之推測模型的推 測結果,賦予使加工結果成爲目標値渉計算最適當之處理 條件之最適當處理程式計算模型之手段;以及依據利用最 適當處理程式計算.模型所產生之處理程式,控制前述電漿 處理裝置之控制器。 如依據本發明之別的形態,係一種對收容在真空處理 室內之試料施以電漿處理之電發處理方法,該處理方法係 包含:監視前述處理中之參數量之步驟;以及依據前述監 視結果,推測加工結果之步驟;以及依據前述加工結果之 推測結果,使加工結果成爲目標値地計算處理條件之補正 量,以產生最適當處理程式之步驟;以及依據前述產生之 最適當處理程式,控制前述電漿處理裝'置之步驟。 本纸張尺度適用中國國家標準(CNS ) A4規格(2Κ)Χ297公釐) 一 5 - I------------裝------訂-----1--•線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局S工涓費合作社印製 1227520 A7 _B7_ 五、發明説明(j 實施例之詳細說明 圖1係顯示本發明之實施例之乾蝕刻裝置的槪要圖。 圖1中,1係產生電漿1 c之電漿處理室、1 b係載置於 處理室內之晶圓台1 a上之晶圓。2係監視供給於乾蝕刻 裝置之氣體流量、氣體壓力、投入電力等之處理中的參數 量用之感應器,這些感應器係通常標準裝備在乾蝕刻裝置 。3係追加感應器,例如解析電漿光之光譜用之發光分光 感應器(〇ES:〇ptical Emission Spectroscopy) ' 分析電漿粒 子用之4重極質量分析裝置(QMS: Qua drup ole Mass S p e c 11· ◦ m e t r y ) ,4係依循表不處理程式6之資料,控制乾 蝕刻裝置之致動器,5係與處理程式或批次號碼、晶圓 I D之類的生產管理資訊統合而保存:處理中之參數量之資 料庫。又,前述處理程式係可以在晶圓處理中或每一晶圓 處理地變更。 圖2係顯示本發明之第1實施例之乾蝕刻處理系統之 全體構成。該裝置係包含:回饋(F B )控制系統以及前 饋(F F )控制系統。 圖2中,2 1係微影處理裝置,例如在半導體基板上 塗佈光阻,將該光阻例如於當·成目的之'場效電晶體( F E T )之閘極部份可以獲得期望之電極寬之閘極電極地 進行蝕刻加工。以下,將此電極寬之目標値或加工結果之 値稱爲C D ( C1· i t i c a 1 d i m e n s i ο η )値。2 2係量測前述蝕刻 後之光阻的 C D 値之 C D — S E M ( Scanning Electron Microscope )等之量測器,2 3係電漿蝕刻處理裝置.(鈾刻 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) ---------丨|裝·------丨訂!----線 (請先閱讀背面之注意事項再填寫本頁) -6- 經濟部智慧財產局員工消費合作社印製 1227520 A7 _ B7_ 五、發明説明(j 機),2 4係監視供給於電漿蝕刻處理裝置之氣雙流量、 氣體壓力、投入電力、〇E S、Q M S等之處理中的參數 .一《w松―:: 量用之感應器(以下,稱爲I η — S i t U感應器)。 2 5係表示加工結果推測模型之資訊,利用前述I η -S i t u感應器之監視輸出或預先設定之加工結果之預測 式,推測加工結果(例如,前述加工結果之C D値)。又 ,I η — S i t u感應器在晶圓處理中可以各晶圓地監視 之故,前述推測模型可以各晶圓地推測加工結果。又,此 推模型可以依據後述之加工結果之量測器輸出而修正。 2 6係表示最適當處理程式計算模型之資訊,依據前 述加工結果之推測模型之推測結果以及目標値2 7,例如 如圖之例1或例2般地修正最適當處理程式模型以產生最 適當處理程式。又,此計算模型可以將前述C D - S E Μ 等之量測器2 2之輸出如例3所示般地,當成前饋控制輸 入而加以利用。 2 8係表示可以使用之處理程式選擇手段,由儲存在 處理程式伺服器2 9之處理程式之中,選擇最接近最適當 處理程式計算模型所產生之最適當處理程式之處理程式, 當成可以使用處理程式而設定。 3 0係量測加工結果之C D値之C D — S Ε Μ (尺寸
量測用掃描電子顯微鏡(Critical Dimension-Scanning Elec’tron Microscope) ) ,3 1係量測其它之加工結果之X -S Ε Μ (剖面量測用掃描型電子顯微鏡(C r 〇 s s S e c t i ο η -Scanning Electron Microscope))等之加工結果的量測器,將 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ^ 裝 .—訂 I. 線- (請先閱讀背面之注意事項再填寫本頁) -7- 經濟部智慧財產局員工消費合作社印製 1227520 A7 B7 ... " " ......... ......... . _ ---- -- 五、發明説明(j 加工結果當成c D値或閘極形狀信號3 2輸出。又,前述 CD — SEM3 〇以及X - SEM3 1等之量測器係前述 電漿鈾刻處理裝置之各處理單位地,即各批次單位地取樣 晶圓而量測。因此,前述C D値或閘極形狀係各批次地可 以獲得。 圖3係說明本實施例之乾蝕刻裝置的回饋控制之流程 圖。首先,在步驟1中,設定加工目標値(C D値)。於 步驟2中,依據最適當處理程式計算模型,由加工目標値 計算達成目標之最適當處理程式。於步驟3中,選擇最接 近最適當處理程式而可以使用之處理程式,於步驟4中, 將該處理程式設定於蝕刻裝置2 3。於步驟5中,開始鈾 刻。於步驟6中,藉由I η - S i t u感應器監視進行触 刻之間的裝置的狀態。於步驟7中,如果1·片之晶圓的蝕 刻處理終了,於’步驟8中,利用加工結果推測模型,依據 前述I η - S i t u感應器之量測値,推測晶圓之加工結 果。於步驟6中,依據前述推測之加工結果與目標値,如 圖4所示般地,修正最適當處理程式計算模型,將修正之 最適當處理程式設定於蝕刻處理裝置2 3 °接著,進入步 驟2,處理下一晶圓。 又,如前述般地,各批次地各一片取樣晶圓,以c D —S E Μ 3 0或X - S E Μ 3 1等之加工結果的量測器量 測晶圓之實際尺寸,依據該量測結果,可以精度更好地修 正加工結果之推個模型。又,藉由此推測模型之修正,只 藉由前述取樣檢查’可以實行與晶圓之全數檢查匹敵之精 、紙張尺度適用中國"5^準(CNS ) Α4規格(210X297公漦) ; -8- I------—裝·------訂.-------丨—線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1227520 A7 _B7___ 五、發明説明(〇> 度好的檢查。 如此依據本控制方式,利用I η - S i t U感應器之 量測値,可以推測、回饋控制加工結果。又,利用I η -S 1 t u感應器之量測値之故,與只以C D - S Ε Μ 3 0 或X - S Ε Μ 3 1等之加工結果的量測器量測晶圓之實際 尺寸的方法(不使用I η — S i t u感應器之方法)相比 ,可以構成高速之回饋迴圏(各晶圓之回饋控制迴圈), 能夠抑制不良晶圓之大量生產。 接著,參考圖2與圖5說明本實施例之乾蝕刻裝置的 前饋控制。藉由微影處理裝置2 1所處理之晶圓的光阻加 工尺寸(例如C D値)係藉由C D — S E Μ等之量測器 2 2量測。最適當.處理程式計算模型2、. 6比較此量測値與 前述目標値2 7,推估抵消由微影工程之光阻加工尺寸的 目標値之前述偏差的加工量(C D移位量)(圖5中之Υ ),依據此推估,利用最適當處理程式計算模型,計算最 適當處理程式。接著,可以使用之處理程式選擇手段2 8 係由儲存在處理程式伺服器之處理程式之中,選擇最接近 最適當處理程式計算模型所產生之最適當處理程式之處理 程式,設定爲可以使用處理程式。 圖4中係顯示微影工程之加工結果比目標C D値大之 情形例。在此種情形,使光阻成爲目標C D値地以鈾刻處 理使之變細(變細處理),或以BARC/HLD (反射 防止膜)蝕刻處理使成爲目標C D値地加以調整。接著, 以成爲目標C D値之光阻或B A R C / H L D爲光罩進行 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) I I 裝 I 訂. 線 (請先閲讀背面之注意事項再填寫本頁) -9- 1227520 Α7 Β7 五、發明説明(7) ------------裝-- (請先閲讀背面之注意事項再填寫本頁) 蝕刻處理。在此情形,推估藉由當成目標之光阻的側蝕刻 所產生之C D移位量,依據此推估,如圖5所示般地,藉 由最適當處理程式計算模型,計算最適當處理程式。接著 ’選擇最接近計算之最適當處理程式之可以使用的處理程 式,蝕刻處理光阻。 接著,同樣地,以前述光阻之C D値爲基礎,藉由最 適當處理程式計算模型,計算最適當處理程式,選擇最接 近計算之最適當處理程式之可以使用之處理程式,進行晶 圓之蝕刻處理,終了鈾刻處理之工程。 線 圖6係以方塊圖顯示本發明之乾鈾刻裝置的其它例。 又,圖6中,關於與圖2所示部份爲相同之部份,賦予相 同標號,省略其說明。於此例中,不使用圖2所示之加工 結果推測模型。藉由如此,回饋之迴圏速度雖然變慢,但 .是可以進行利用由加工結果之量測器3 0、3 1來之實際 資料之回饋。因此,可以更正確修正最適當處理程式計算 模型。 經濟部智慧財產局g(工消費合作社卬製
圖1 6係顯示本發明之乾蝕刻裝置的其它例。又,圖 中,關於與圖2所示部份相同之部份,賦予相伺標號,省 略其說明。於此例中,與圖6之實施例相反,不使用c D •一 S E Μ 3 〇與X — S E Μ 3 1、以及加工結果3 2。如 本例般地,I η — S i t u感應器2 4與加工結杲推測模 型2 5可以維持高精度之情形,不需要C d - S e Μ等來 之模型補正之故。藉甶如此,可以實現不需要c D -S Ε Μ、X - S Ε Μ等之檢查裝置之處理方法,在半導體 本紙張尺度適用中國國家榇準(CNS ) Α4規格(2]0Χ297公釐) "10- 經濟部智慧財產局負工消費合作社印製 1227520 A7 _____B7 五、發明説明(9 製造中,可以削減檢查工程。 圖7係顯示本發明之乾蝕刻裝置的進而其它例。又, 圖7中,關於與圖2所示部份相同之部份,賦予相同標號 ,省略其說明。於此例中,代替圖2所示之I η — S i t u感應器2 4 ,利用光散射形狀推測手段(
Scatterometry )。光散射形狀推測手段係對設置於晶圓上之 複數的格子標記,將波長或入射角當成參數照射光,量測 反射率。接著,與預先藉由理論計算所作成之特徵訊息庫 比較,探索一致度良好之訊息庫波形,進而,藉由調整形 狀參數,可以推測藉由複數的格子標記所形成之晶圓的形 狀、尺寸。 :此處,如圖1 5之修正例般地〃,如利用光散射形狀推 測手段2 4 A,量測各批次取樣之晶圓的格子標記的加工 形狀,修正前述加工結果推測模型2 5 ,不進行藉由X -SEΜ之破壞檢查可以修正形狀推測精度。 將此光散射推測手段2 4 Α當成監視參數量用之量測 裝置(Integrated Metrology)組裝於餓刻處理裝置2 3,在 蝕刻裝置內量測蝕刻後之晶圓,推測尺寸、形狀。以此推 測結果爲基礎,修正最適當處理程式計算模型,此係與圖 2之情形相同。 圖8係顯示利用I η — S i t u感應器之加工結果推 測與加工控制之效果。在圖8中,作爲I η — S 1 t u感 應器之例,顯示量測處理中之電漿發光之例。於電發發光 中包含支配參數之触刻劑或離子之資訊,由電漿發光之峰 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) I------------丨裝---------訂-------線 (請先閱讀背面之注意事項再填寫本頁) -11- 經濟部智慧財4局員工消費合作社印製 1227520 A7 ____ B7 五、發明説明(^ 値強度或光譜形狀之變化,可以推測加工結果之變化。 又,電漿發光之變化僅有少許之故,期望對於電漿發 光,施以某些之數値處理,可以感度良好地抽出發光光譜 之變化成分。演算處理有例如對於標準光譜,採取比或差 分之方法。或統計解析之手法,例如,如可以使用主成分 分析,由多數之發光峰値之中,只濾波取得變化之峰値成 分。 在圖8之左欄中,顯示對於電漿發光施以數値處理之 結果。圖中之*印係顯示對測比堆積造成影響之發光峰値 之變化。由此發光峰値之分析結果,依據加工結果推測模 型,可以推測加工結果。此樣子係顯示於圖8之中央部, 與指定之加工形狀K顯示於最上段)相比,對應發光峰値 之變化,可以推測側壁堆積增加,推拔角隨之增加。 依據這些結果,計算最適當處理程式進行加工控制。 最適當處理程式計算係藉由對於處理程式進行補正而被實 現。因應由加工目標値之偏差量,例如,在推拔角與目標 値一致之情形,不加·上補正,在推拔角大之情形,使補正 量變大。藉由此,如圖8之右欄所示般地,可以使推拔角 保持一定。此最適當處理程式計算之方法之後敘述。又, 此處,作爲1 n — s i t u感應器’雖使用檢測電漿發光 之感應器’在此以外’例如也可以使用:放電電壓( V P p )或偏壓電壓(V d c )或阻抗監視器。. 圖9係以方塊圖顯示本實施例之乾蝕刻裝置的蝕刻控 制C, 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇>< 297公釐) ----------抑衣-------1T------^ (請先閲讀背面之注意事項再填寫本頁) -12 - 1227520 經濟部智慧財產局Μ工涓費合作社印製 A7 B7 五、發明説明()〇 作爲監視參數量,進而監視加工結果之感應器9 1可 以具備:輸出發光分光器等之多數的資料之感應器、電漿 阻抗監視器般之對電漿的狀態感度高之感應器 '其它之壓 力或溫度或電壓、電力之入射、反射等種種之感應器。又 ,如發光分光器般地,也可以設置1個可以同時取得多數 之資料的感應器.。這些感應器每一定時間地,例如每一秒 地,輸出表示乾蝕刻裝置之狀態的信號。此每一次之輸出 ,感應器資料之數目爲數十個至數千個。 信號壓縮部9 2係壓縮這些多數之資料,產生裝置狀 態信號。裝置狀態信號之數目雖依情形而變,有爲數個至 數十個之情形。於此信號壓縮係可以使用主成分分柝等之 統計解析法。 ’ 加工結果推測部9 3係由前述裝置狀態信號之時間變 化,依據平均化或微分操作,產生各晶圓之處理狀態信號 〇 此處,圖9之加工結果預測式9 4係由前述產生之各 晶圓的處理狀態信號預測處理後之晶圓的加工結果之預測 式,預先儲存在資料庫。進而,前述加工結果推測部9 3 利用前述處理狀態信號以及預測式,預測晶圓之加工形狀 。即’在晶圓內有加工形狀之偏差時,也計算此偏差。 最適當處理程式計算模型9 5輸入前述預測結果以及 處理之目標値9 6 ’加工結果成爲目標値地,計算處理條 件之補正量。將此被補正之處理條件(最適當處理程式) 傳達於裝置控制部9 7,控制鈾刻裝置9 8,進行下一晶 本紙張尺度適用中國國家標準(〔\5)八4規抬(210/297公釐) I I I 批衣I . -訂 t 絲 (請先閲讀背面之注意事項再填寫本頁) -13- 經濟部智慧財產局Μ工涓費合作社印製 1227520 A7 ____B7_ 五、發明説明(1 圓之處理。又,前述加工結果預測式可以藉由將此預測精 度之檢定與藉由C D - S E Μ等之加工形狀的量測器之實 測結果比較而進行。 圖1 0係顯示藉由回饋控制或前饋控制之安定化之效 果。縱軸係C D增益,顯示藉由C D値之加工的增加量。 生產管理上,此C D增益以稍微正的値保持爲一定較爲理 想。但是,由於在反應器內壁面之反應產生物之堆積等, 雖然電漿或化學狀態稍微變化之故,在加工上長期之變動 會產生。在此圖中將此賦予名稱爲批次間變動。特別在使 反應器開放於大氣,去除內部之堆積物之全淸除後,至反 應器內壁面之狀態安定爲止之間,變動產生。又,於批次 內,由於反應產生物之堆積或內壁面之溫度變化等,短期 之變動(批次內變動)產生。進而,由於光微影工程或鈾 刻工程之加工之偏差變軌也會產生。 習知上對於此種變動,藉由內壁面之溫度調整等之硬 體改善,或以適當之間隔(例如,每一批次或每一晶圓) 進行淸除以去除堆積物,藉由使反應器之狀態安定化以控 制在裝置加工之餘裕以內。但是,伴隨裝置之微細化,加 工餘裕一變小,在習知之方法中,產生安定化之界限。對 於此’如本實施例所示般地,藉由施以回饋控制或前饋控 制,如圖1 〇下段所示般地,抑制批次間變動、批次內變 動、.偏差變動,可以控制在裝置加工之餘裕以內。 圖1 1係說明產生圖9所示之加工結果預測式用之處 理流程圖。首先,於步驟S 1中,利用鈾刻處理裝置,進 、紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) I--------— 裝------、玎-------ά (請先閱讀背面之注意事項再填寫本頁) -14- 經濟部智慧財產局員工消費合作社印製 1227520 A7 __B7 五、發明説明(& 行試料(晶圓)之蝕刻處理。於步驟s 2中,於資料壓縮 邰壓縮監視參數量之感應器的資料,於步驟S 3中,將壓 縮之資料儲存在處理狀態信號資料庫。於步驟s 4中,以 例如C D — S E Μ等量測前述處理終了之晶圓的加工形狀 ’於步驟S 5中,保存於加工結果資料庫。於步驟s 6中 ’藉由多重回歸分析求得前述實測加工形狀與處理狀態信 號之相關關係式,產生加工結果預測式。 圖1 2係顯示本發明之乾蝕刻裝置之進而其他之控制 例。在此例中,作爲最適當處理程式計算模型之模型化方 法係利用在統計處理一般被使用之回應曲面模型。又,圖 1 3係顯示圖1 2之例之最適當處理程式計算模型構築用 之處理。、 首先’將作爲目標之鈾刻性能之項目設爲A、Β、C ’設定於鈾刻裝置之處理程式參數設爲a、b、c、d、 e 、ί之6項目。A、B、C例如爲選擇比、側蝕刻量、 推拔角度,a、b、c、d、e、f係例如個別顯示氣體 流量、壓力、電壓、電力、溫度、時間。首先,於步驟1 中’進行利用田口法(Taguchi method )之評估實驗,於步 驟2中,選擇對均勻性造成影響之處理程式參數,由可以 控制參數排除。這些參數(在本圖中爲d、e、f )作爲 固定處理程式參數,設爲經常固定,藉由各晶圓之回饋控 制(:R u η — t 〇 — R u η控制)以防止均勻性劣化。 於步驟3中,利用實驗計畫法,取得模型化所必要之 資料,於步驟4中,製作最適當處理程式計算模型。於圖 本紙張尺度適用中國國家標準(CMS ) Α4規格(210Χ297公釐) — 裝 . I - 線 (請先閱讀背面之注意事項再填寫本頁) -15- 經濟部智慈財產局員Η消脅含作社邱製 1227520 Α7 Α7 Β7 五、發明説明()3 1 1中,對於可以容易理解最適當處理程式計算模型之槪 念之處理程式參數a、b、c,假定只有蝕刻性能A、B ,貪虫刻性能A、C,以及蝕刻性能B、C分別相關之3次 元之模型。實際上,藉由回應曲面法所產生之最適當處理 程式計算模型係以鈾刻性能A、B、C爲輸入,以處理程 式參數a 、b、c爲輸出之多次元之模型。於本構築例中 ,爲了使鈾刻性能變化,採取使模型之傾斜變化之方法。 如此,將利用被修正之模型被導出之被更新的處理程式參 數a ’ 、b ’ 、c ’與固定處理程式參數d、e、f當成 下一晶圓之處理條件而給予。於步驟5中,依循前述蝕刻 條件,實行鈾刻處理。 圖1 4係說明可以使用之處理程式選擇手段之可以使 用處理程式選擇方法。於某製程中,在處理第1片之晶圓 之情形,首先,藉由最適當處理程式計算模型,以C D移 位量以及C D推拔,之目標値爲基礎,算出以α表示之處理程 式Ν 〇 · 2 0,以此處理程式實行處理。此處爲了使說明 簡便,雖設目標値爲2變數,在2變數以上之情形也相同 〇 . 第1片之晶圓的蝕刻處理終了後,藉由加工結果推測 模型或C D - S Ε Μ等之量測器以量測加工結果。此量測 結杲如□所示般地’設爲由當成目標者有偏差。在此情形, 判斷當初之計算模型由於時間經過變化等而變動.,使當初 之處理程式(此處,處理程式Ν 〇 · 2 0 )與該加工結果 一致地移動或傾斜模型,進行模型修正(移動初期之最適 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 裝------訂---------線 (請先閲讀背面之注意事項再填寫本頁) -16~ I227520 A7 _ _B7 __ 五、發明説明(& 當處理程式計算模型,設爲修正後之最適當處理程式計算 模型(1 ) ) 〇 (請先閱讀背面之注意事項再填寫本頁) 在第2片晶圓之蝕刻處理時,使用被修正之最適當處 程式計算模型(〗),由目標値選擇最適當處理程式(口 所不之弟2片晶圓之處理程式Ν ο · 1 0 )。 •但是,模型修正後,模型成爲圖中所示之「修正後之 最適當處理程式計算模型(2 )」之情形,在目標値之最 適當處理程式不存在。因此,在此情形,發出警報,變成 不進行蝕刻處理。藉由此,可以防患裝置成爲異常之情形 ,產出很多之不良於未然。又,此警報也可以當成前述稱 爲全淸除之維護處理之實行判斷使用 '。又,在以上之說明 中’作爲電漿、處:册裝置雖以電獎鈾刻裝置爲代表例而做說 明,但是本發明也可以適用於電漿C V D裝置之類的其它 的電漿處理裝置。 如以上說明般地,如依據本實施例,以監視參數量用 之感應器輸出或加工結果之量測器的量測結果爲基礎,施 以回饋控制或前饋控制之故,可以抑制基於時間經過變化 經濟部智慧財產局員工消費合作社印製 _之批次間變動、批次內變動以及偏差變動,實施精度好 之裝置加工。 ®面之簡單說明 圖1保顯不本發明之實施例的乾鈾刻裝置之槪要圖 圖2係顯示乾蝕刻裝置的全體構成圖。 圖3係說明乾蝕刻裝置之回饋控制圖。 -—_____ ^紙適用中國國ϋ準(CNS ) A4規格(210X297公釐) ~~ ~ --- 17 1227520 A7 B7 —-——________ ___ 五、發明説明(、 圖4係說明最適當處理程式計算模型之修正圖。 圖5係說明最適當處理程式之計算圖。 圖6係顯不乾触刻裝置的其它例之圖。 圖7係顯不乾餓刻裝置之進而其它之例圖。. 圖8係顯示利用I η — S i t u感應器之加工結果推 測與加工控制之效果圖。 圖9係說明乾蝕刻裝置的蝕刻控制圖。 圖1 0係顯示藉由回饋控制或前饋控制之安定化之效 果®。 圖11係說明產生加工結果預測式用之處理圖。 圖1 2係顯示乾鈾刻裝置之進而其它之例圖:。 π隱1 · 3係顯示最適當處理程式計算模型構築用之處理 圖。 圖1 4係說明可以使用處理程式選擇手段之可以使用 處ί里程式選擇方法圖。 圖1 5係顯示乾蝕刻裝置之進而其它之例圖。 圖1 6係顯示乾鈾刻裝置之進而其它之例圖。 11 批衣 — 訂 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員r\消費合作社印製 明漿 說電 之 : 號 1 .標 3 式 , 程 器理 應處 感 : 2 , 置 , 庫裝 圓料理 晶資處 : : 影 b 5 微 TX 5 ·. , 器 1 台動 2 圓致, 日日··訊 :4 資 a , 理 1 器管 , 應產 室感生 理加: 處附 7
s U ·t D .1 c S Μ 器 應 感 : 段 4 手 2 測 , 推 置狀 裝形 Ht 理 1¾ 處射 ,.¾散 蝕光 漿: 電 A : 4 3 2
S N 一釐 公 7 9 2 A7 1227520 B7 五、發明説明(、 ,2 5 :加工結果推測模型,2 6 :最適當處理程式計算 模型,2 7 :目標値,2 8 :可以使用處理程式選擇手段 ,2 9 :處理程式伺服器,3〇:C D — S E Μ,3 1 : X — S Ε Μ ----------裝-----丨訂--------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慈財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) -19-

Claims (1)

1227520 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1 . 一種電漿處理控制系統,包含: 一電漿處理裝置,用以對收容在真空處理室內之一試 料,執行一電漿處理操作; 一感應器,用以監視於該處理設備之處理操作時之多 數處理參數; . 手段,用以提供一已處理結果評估模型,該模型基於 來自感應器之監視輸出與一預設已處理結果評估等式,來 評估一已處理結果; 手段,用以提供一最適當處理程式計算模型,該模型 計算最適當處理條件,使得該已處理結果基於已處理結果 評估模型之評估結果,而變成一目標値; 可以使用處理程式選擇手段,用以判斷爲最適當處理 程式計算模型所計算之最適當處理程式的有效性,且選擇 最接近爲該最適當處理程式計算模型所計算之最適當處理_ 程式之先前儲存處理程式之一,藉以決定一有用處理程 式;及 .一控制器,用以基於由該可以使用處理程式選擇手段 所決定之可用處理程式,來控制電漿處理裝置。 2 .如申請專利範圍第1項所述之電漿處理控制系 統,其中該電漿處理裝置包含一已處理結果測量器,'用以 量測取得爲該處理之結果的試料的形狀,及該測量器基於 該儀器之量測結果,來校正該已處理結果評估模型。. 3 .如申請專利範圍第1至第2項之其中1項記載之 電漿處理控制系統,其中前述最適當處理程式計算模型係 -----------裝---I---訂---------絲 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -20 1227520 as B8 C8 D8 六、申請專利範圍 包含:判斷該模型計算之最適當處理程式之妥當性,選擇 可以使用之處理程式之可以使用處理程式選擇手段。 (請先閲讀背面之注意事項再填寫本頁) 4 .如申請專利範圍第3項記載之電漿處理控制系統 ,其中前述可以使用處理程式選擇手段係由預先儲存之處 理程式中,選擇最接近前述最適當處理程式計算模型計算 之最適當處理程式之處理程式。 5 ,如申請專利範圍第1項所述之電漿處理控制系 統,其中該最適當處理程式計算模型提供手段,其包含一 儀器,用以在處理前量測該試料的形狀,及該測量器基於 使用最適當處理程式計算模型之儀器的量測結果,而對該 最適當處理程式計算操作施加前饋控制,以計算該等處理 條件:,使得已處理結果變成一目標値。 6 . —種電漿處理控制系統,包含: 一電漿處理裝置,用以對收容在真空處理室內之一試‘ 料,執行一電漿處理操作; 量測手段,用以量測爲電漿處理裝置所處.理之試料的 已處理結果;: 經濟部智慧財產局員工消費合作社印製 最適當處理程式計算模型提供手段,用以提供一最適 當處理程式計算模型,其計算多數最適當處理條件,使得 已處理結果基於已處理結果量測手段的量測結果與目標 値,而變成一目標値; 可用處理程式選擇手段,用以判斷爲最.適當處理程式 計算模型所計算之最適當處理程式之有效性,並選擇最接 近爲最適當處理程式計算模型所計算之最適當處理程式的 本紙張尺度適用中國國家標準(CNS ) A4規格(2】0Χ297公釐) _ 9Ί _ 1227520 A8 B8 C8 D8 六、申請專利範圍 先前儲存處理程式之一作爲可用在電漿處理裝置中之可用 處理程式;.及 (請先閱讀背面之注意事項再填寫本頁) 一控制器,用以基於爲可用處理程式選擇手段所選擇 之處理程式,控制該電漿處理裝置。 ,7 ,如申請專利範圍第6項所述之電漿處理控制系 統,、其中該最適當處理程式計算模型提供手段包含一儀 器,:用以在處理前,量測該試料的形狀,及手段,用以基 於該儀器之量測結果,對最適當處理程式計算處理施加前 饋控制,以計算處理條件,並使用最適當處理程式計算模 型,使得一已處理結果變成目標値。 8 .如申請專利範圍第1項所述之電漿處理控制系 統,更包含形狀推測手段,其包含一散射儀,用以評估一 已處理結杲。 9 .如申請專利範圍第1項所述之電漿處理控制系: 統,/其中該電漿處理裝置爲一電漿蝕刻機。 1 〇 . —種電漿處理控制方法,其係對收.容在真空處 理室內之試料施以電漿處理之電漿處理控制方法,其特徵 經濟部智慧財產局員工消費合作社印製 爲包含: 監視前述處理中之參數量之步驟;以及 依據前述監視結果,推測處理結果之步驟;以及 依據前述處理結果之推測結果,使處理結果成爲目標 値地計算處理條件之補正量,以產生最適當處理程式之步 驟;以及 依據前述產生之最適當處理程式,.控制前述電漿處理 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一99- 1227520 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 裝置之步驟。 1 1 .如申請專利範圍第1 〇項記載之電漿處埋控制 方法,其中依據前述監視結果推測處理結果之步驟係包含 :具.備推測模型,依據由處理結果所獲得之前述試料的形 狀之量測結果,修正前述推測模型之步驟。.. 1 2 · —種電漿處理控制方法,其係對收容在真空處 理室內之試料施以電漿處理之電漿處理控制方法,其特徵 :爲包含: 依據處理結果之量測器之量測結果以及目標値,產生 最適當處理程式之步驟;以及 判斷前述最適當處理程式之妥當性之步驟;以及 依據判·斷:爲具南妥當性之處理程式,控制前述電漿處 理裝置之步驟。 1 3 .如申請專利範圍第1項所述之電漿處理控制系’ 統,其中該系統包含手段,用以將多項目的處理條件事先 分成兩組處理條件,一組處理條件影響一晶圓.表面之處理 結果的均勻性,另一組處理條件並不影響均勻性,並只使 得不影響均勻性之處理條件爲可控制。: : 1 4 ·如申請專利範圍第1 〇項至第1 2項所述之任 一電漿處理控制方法,其中,該處理步驟的最開始,含 有:: 將複數項目所成之處理條件,事先分成兩組處理條, 件,一組處理條件影響一晶圓表面之處理結果的均勻性, 另一組處理條件並不影響均勻性之步驟;及 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) ---------^--— 裝-----—訂-------絲 (請先閱讀背面之注意事項再填寫本頁) 1227520 as B8 C8 D8 六、申請專利範圍 就均勻性的觀點,使不影響均勻性之處理條件最佳化 之步驟; 且生成前述最適當處理程式的步驟係,僅將不影響均 勻性之處理結果視爲對象,計算處理條件的校正量並修正 之,以使得處理結果和目標値一致,並聯合事先進行最佳 化的影響均勻性的處理條件,而生成最適當處理程式。 1 5 ·如申請專利範圍第8項所述之電漿處理控制系 統,其中上述之形狀推定手段係以非破壞爲基礎,量測對 每一批次取樣之一晶圓的光柵標記的處理形狀並校正該已 .處理結果評估模型。 ----------------裝— -------訂-------^絲 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 附件 第90121995號專利申請案 中文說明書修正本(含申請專利範圓 民國.93年10月 申請日期 90年 9月 5日 案 號 90121995 類 別 A4 C4
經濟部智恶財1局員工消費合作社印K |1專利説明書 發明 一、U名稱 新型 中 文 無干擾、處理程式控制的電漿處理糸統與方法 英 文 姓 名 (1) 鹿子鳩昭 (2) 山本秀之 (3) 幾原祥二 國 籍 (1)日本國東京都千代田區九之内一丁目五番一號新九大樓日立製 作所鹧知的所有權本部内 一發明 一、創作 住、居所 (2)日本國東京都千代田區九之内一丁目五番一號新九大樓日立製 作所臌知的所有權本部内 (3 日本國山口縣下松市東豊并1:九四番地 日立工程技術( 股)笠戶事業所内 姓 名 (名稱) (1)日立製作所股份有限公司 株式会社日立製作所 國 籍 (1)日本 三、申請人 住、居所 (事務所) (1)日本國東京都千代田區九之内一丁目六番六號 代表人 姓 名 (1)庄山悅彥 本纸張尺度適用中國國家標準(CNS ) A4規袼(210X 297公釐)
TW090121995A 2001-06-29 2001-09-05 Disturbance-free, program-controlled plasma processing system and method TWI227520B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001198830A JP3708031B2 (ja) 2001-06-29 2001-06-29 プラズマ処理装置および処理方法

Publications (1)

Publication Number Publication Date
TWI227520B true TWI227520B (en) 2005-02-01

Family

ID=19036210

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090121995A TWI227520B (en) 2001-06-29 2001-09-05 Disturbance-free, program-controlled plasma processing system and method

Country Status (4)

Country Link
US (6) US6733618B2 (zh)
JP (1) JP3708031B2 (zh)
KR (4) KR100463256B1 (zh)
TW (1) TWI227520B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
JP2005527983A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 データハンドリング、ストレージ及び操作のための方法とシステム
EP1546876A4 (en) * 2002-08-28 2008-11-19 Tokyo Electron Ltd METHOD AND SYSTEM FOR DYNAMICALLY MODELING AND RECOVERY OPTIMIZATION OF SEMICONDUCTOR ENGRAVING PROCESS
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
TWI220764B (en) * 2002-12-06 2004-09-01 Winbond Electronics Corp The detective method for the dry-etching machine
US7122096B2 (en) * 2003-03-04 2006-10-17 Hitachi High-Technologies Corporation Method and apparatus for processing semiconductor
JP4363861B2 (ja) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 半導体製造装置
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2004342806A (ja) * 2003-05-15 2004-12-02 Fujitsu Ltd 半導体装置の製造方法
CN101256945B (zh) * 2003-06-20 2011-08-03 东京毅力科创株式会社 处理方法和处理系统
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
JP2005026292A (ja) * 2003-06-30 2005-01-27 Fujitsu Ltd 半導体装置及びその製造方法、半導体製造装置
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
JP2005038976A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp 最適エッチングパラメタ自動設定システムおよびエッチング出来ばえ評価システム
DE10339992B4 (de) * 2003-08-29 2008-07-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Strukturelements kritischer Abmessung bzw. einer Gateelektrode eines Feldeffekttransistors sowie Ätzsteuerung
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8050900B2 (en) 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
CN100517596C (zh) * 2004-06-29 2009-07-22 优利讯美国有限公司 减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置
JP4868727B2 (ja) * 2004-09-27 2012-02-01 株式会社東芝 自動検査レシピ作成装置及び作成方法
US7624003B2 (en) * 2005-01-10 2009-11-24 Applied Materials, Inc. Split-phase chamber modeling for chamber matching and fault detection
US7596421B2 (en) * 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
JP2007073751A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
JP2007157973A (ja) * 2005-12-05 2007-06-21 Fujitsu Ltd 半導体装置の製造プロセス制御システムおよび半導体装置の製造プロセス制御方法
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US20090326697A1 (en) * 2006-11-17 2009-12-31 Hejian Technology (Suzhou) Co., Ltd. Semiconductor manufacturing automation system and method for using the same
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
US20090023101A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Lithography track systems and methods for electronic device manufacturing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP5050830B2 (ja) * 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US7847248B2 (en) * 2007-12-28 2010-12-07 Mds Analytical Technologies, A Business Unit Of Mds Inc. Method and apparatus for reducing space charge in an ion trap
JP5192850B2 (ja) * 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
JP4486692B2 (ja) * 2008-03-14 2010-06-23 株式会社日立国際電気 基板処理装置
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
JP2009267159A (ja) * 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101040883B1 (ko) * 2009-06-29 2011-06-16 세종대학교산학협력단 전산지능을 이용한 플라즈마 장비의 감시 및 제어 방법
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5334787B2 (ja) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5688227B2 (ja) 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
KR20110101483A (ko) * 2010-03-08 2011-09-16 삼성전자주식회사 플라즈마 장치의 제어 방법 및 시스템
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8392136B2 (en) 2010-07-09 2013-03-05 Kla-Tencor Corporation In-place management of semiconductor equipment recipes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014065269A1 (ja) * 2012-10-24 2014-05-01 東京エレクトロン株式会社 補正値算出装置、補正値算出方法及びコンピュータプログラム
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8790743B1 (en) * 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6088867B2 (ja) * 2013-03-15 2017-03-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及び分析装置
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6501601B2 (ja) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理プログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP2016103496A (ja) * 2014-11-27 2016-06-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide
JP6806704B2 (ja) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6584350B2 (ja) * 2016-03-17 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
SG11201810017VA (en) * 2016-06-02 2018-12-28 Universal Instruments Corp Semiconductor die offset compensation variation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN110741488B (zh) * 2017-06-13 2024-02-02 东京毅力科创株式会社 用于图案化磁隧道结的方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
JP6778666B2 (ja) 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP7032099B2 (ja) * 2017-10-20 2022-03-08 三菱重工業株式会社 解析装置、解析方法、プログラム
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7033907B2 (ja) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP7060373B2 (ja) * 2017-12-21 2022-04-26 株式会社日立ハイテク プラズマ処理装置の運転方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7161896B2 (ja) * 2018-09-20 2022-10-27 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7202138B2 (ja) * 2018-10-22 2023-01-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP7080804B2 (ja) * 2018-11-20 2022-06-06 株式会社Fuji プラズマ処理用の処理条件決定方法およびプラズマ処理用の処理条件決定装置
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7108562B2 (ja) * 2019-02-22 2022-07-28 株式会社日立製作所 処理の制御パラメータの決定方法、及び計測システム
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7448909B2 (ja) * 2019-06-27 2024-03-13 住友重機械工業株式会社 成膜方法、及び成膜装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7442305B2 (ja) * 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7413081B2 (ja) * 2020-02-28 2024-01-15 東京エレクトロン株式会社 基板処理システム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
KR20230027035A (ko) * 2020-05-27 2023-02-27 램 리써치 코포레이션 플라즈마 툴 내 센서 데이터 압축
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230096706A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Model-based characterization of plasmas in semiconductor processing systems

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960000375B1 (ko) * 1991-01-22 1996-01-05 가부시끼가이샤 도시바 반도체장치의 제조방법
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
CA2180231C (en) * 1996-06-28 2006-10-31 William Gordon Parr Portable semi-automatic computer code key cutting machine
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
JPH10335309A (ja) 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム
JP4066483B2 (ja) 1997-11-28 2008-03-26 ソニー株式会社 半導体製造方法及び製造装置
JP3077656B2 (ja) 1997-12-22 2000-08-14 日本電気株式会社 半導体製造装置のレシピ修正方法
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
TW507305B (en) * 1999-09-18 2002-10-21 Samsung Electronics Co Ltd Method of measuring etched state of semiconductor wafer
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6562248B1 (en) * 2001-03-26 2003-05-13 Advanced Micro Devices, Inc. Active control of phase shift mask etching process
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
US6650423B1 (en) * 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
TWI809119B (zh) * 2018-06-18 2023-07-21 日商東京威力科創股份有限公司 在製造設備中特性之降低干擾即時感測

Also Published As

Publication number Publication date
US20050022932A1 (en) 2005-02-03
US20060124243A1 (en) 2006-06-15
JP3708031B2 (ja) 2005-10-19
US6733618B2 (en) 2004-05-11
US20030113945A1 (en) 2003-06-19
KR20070032744A (ko) 2007-03-22
KR100779178B1 (ko) 2007-11-23
US20070193687A1 (en) 2007-08-23
US6881352B2 (en) 2005-04-19
JP2003017471A (ja) 2003-01-17
KR100463256B1 (ko) 2005-01-07
KR100499226B1 (ko) 2005-07-01
KR20040058162A (ko) 2004-07-03
KR20030003641A (ko) 2003-01-10
KR20050016729A (ko) 2005-02-21
US20090120580A1 (en) 2009-05-14
US7601240B2 (en) 2009-10-13
US20030003607A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
TWI227520B (en) Disturbance-free, program-controlled plasma processing system and method
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US8173451B1 (en) Etch stage measurement system
JP5069114B2 (ja) モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
TW567555B (en) Etching system and etching method
US7632690B2 (en) Real-time gate etch critical dimension control by oxygen monitoring
JP3799314B2 (ja) エッチング処理装置およびエッチング処理方法
JP2006074067A (ja) プラズマ処理装置および処理方法
US20070097763A1 (en) Manufacturing method of semiconductor integrated circuit device
JP2009295658A (ja) 半導体製造装置の校正方法、ならびに半導体装置の製造システムおよび製造方法
US8173450B1 (en) Method of designing an etch stage measurement system
JP4344674B2 (ja) プラズマ処理装置
Rizquez et al. Optimizing the Critical Dimension of the STI etch process by integrating inline scatterometry measurements and Feedback R2R control
JP2008091388A (ja) プラズマ処理装置
GB2430799A (en) Real-time gate etch critical dimension control by oxygen monitoring

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent