KR100779178B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100779178B1
KR100779178B1 KR1020070012565A KR20070012565A KR100779178B1 KR 100779178 B1 KR100779178 B1 KR 100779178B1 KR 1020070012565 A KR1020070012565 A KR 1020070012565A KR 20070012565 A KR20070012565 A KR 20070012565A KR 100779178 B1 KR100779178 B1 KR 100779178B1
Authority
KR
South Korea
Prior art keywords
recipe
processing
plasma
result
sample
Prior art date
Application number
KR1020070012565A
Other languages
English (en)
Other versions
KR20070032744A (ko
Inventor
아키라 가고시마
히데유키 야마모토
쇼지 이쿠하라
도시오 마스다
히로유키 기츠나이
준이치 다나카
나츠요 모리오카
겐지 다마키
Original Assignee
가부시끼가이샤 히다치 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 히다치 세이사꾸쇼 filed Critical 가부시끼가이샤 히다치 세이사꾸쇼
Publication of KR20070032744A publication Critical patent/KR20070032744A/ko
Application granted granted Critical
Publication of KR100779178B1 publication Critical patent/KR100779178B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

본 발명의 목적은 외란에 의한 영향을 억제할 수 있는 플라즈마처리제어시스템 및 방법을 제공하는 것이다.
본 제어시스템은 진공처리실 내에 수용한 시료에 처리를 실시하는 플라즈마처리장치(23)와 처리 중의 공정량을 모니터하는 센서(24)와, 센서로부터의 모니터출력 및 미리 설정한 가공결과의 예측식에 의거하여 가공결과를 추정하는 가공결과 추정모델(25)과, 가공결과의 추정모델의 추정결과에 의거하여 가공결과가 목표치가 되도록 처리조건의 보정량을 계산하는 최적 레시피계산모델(26)을 포함하고, 최적 레시피계산모델이 생성한 레시피에 의거하여 플라즈마처리장치(23)를 제어한다.

Description

플라즈마처리장치{PLASMA PROCESSING APPARATUS}
도 1은 본 발명의 실시예에 관한 드라이에칭장치의 개요를 나타내는 도,
도 2는 드라이에칭장치의 전체구성을 나타내는 도,
도 3은 드라이에칭장치의 피드백제어를 설명하는 도,
도 4는 최적 레시피계산모델의 수정을 설명하는 도,
도 5는 최적 레시피의 계산을 설명하는 도,
도 6은 드라이에칭장치의 다른 예를 나타내는 도,
도 7은 드라이에칭장치의 또 다른 예를 나타내는 도,
도 8은 In-Situ센서를 사용한 가공결과 추정과 가공제어의 효과를 나타내는 도,
도 9는 드라이에칭장치의 에칭제어를 설명하는 도,
도 10은 피드백제어 또는 피드포워드제어에 의한 안정화의 효과를 나타내는 도,
도 11은 가공결과 예측식을 생성하기 위한 처리를 설명하는 도,
도 12는 드라이에칭장치의 또 다른 예를 나타내는 도,
도 13은 최적 레시피계산모델구축을 위한 처리를 나타내는 도,
도 14는 사용 가능한 레시피선택수단의 사용 가능 레시피선택방법을 설명하 는 도,
도 15는 드라이에칭장치의 또 다른 예를 나타내는 도,
도 16은 드라이에칭장치의 또 다른 예를 나타내는 도면이다.
본 발명은 플라즈마처리장치 및 처리방법에 관한 것으로, 특히 외란에 의한 영향을 억제할 수 있는 플라즈마처리장치 및 처리방법에 관한 것이다.
플라즈마처리장치로서는 예를 들면 진공처리실 내에 에칭가스를 도입하고, 감압하에서 플라즈마를 발생시키고, 이 플라즈마 중에 발생하는 래디컬 또는 이온을 피처리물인 웨이퍼 표면에 반응시켜 에칭을 하는 장치가 알려져 있다. 이와 같은 처리를 행하는 드라이에칭장치는 레시피라 불리우는 제조조건(가스유량, 가스압력, 투입전력, 에칭시간 등)을 기초로 에칭처리를 행한다. 상기 레시피는 반도체디바이스의 특정한 제조공정(동일공정)에 있어서는 항상 일정하게 유지되고 있다. 또한 상기 하나의 공정을 수단계로 분할하여 각 단계별로 제조조건을 변경하는 경우도 있다.
반도체제조공정에 있어서, 드라이에칭장치를 사용하는 공정을 실행하는 경우, 상기한 바와 같이 레시피라 불리우는 제조조건을 웨이퍼처리마다 매회 일정하게 설정하여 웨이퍼가공을 행한다.
그러나 최근의 미세화가 진행된 상태에서의 드라이에칭공정에서는 웨이퍼와 에칭가스의 반응생성물이 처리실 내벽에 퇴적하고, 이 퇴적물로부터 아웃가스라 불리우는 불필요한 가스가 발생하여 이 때문에 처리실 내의 환경이 경시변화한다. 또한 처리실 관련부품의 온도변화, 부품의 소모에 의해서도 처리실 내 환경은 변화한다. 이와 같이 드라이에칭장치에는 다양한 외란요인이 존재한다.
또 에칭의 전공정인 리소그래피공정으로 형성하는 마스크의 형상치수의 불균일도 에칭결과에 중요한 영향을 미친다.
즉 일정한 레시피를 사용하여 에칭처리를 행하여도 각종 외란에 의해 일정한 성능을 얻는 것은 곤란하다.
본 발명의 목적은 이들 문제점을 감안하여 이루어진 것으로, 특히 외란에 의한 영향을 억제할 수 있는 플라즈마처리제어시스템 및 처리제어방법을 제공하는 것이다.
상기 과제를 해결하기 위한 본 발명의 일 형태에 따르는 공정처리제어시스템은, 진공처리실 내에 수용한 시료에 처리를 실시하는 플라즈마처리장치와, 그 처리장치의 처리 중의 공정량을 모니터하는 센서와, 그 센서로부터의 모니터출력 및 미리 설정한 가공결과의 예측식에 의거하여 가공결과를 추정하는 가공결과 추정모델을 부여하는 수단과, 상기 가공결과 추정모델의 추정결과에 의거하여 가공결과가 목표치가 되도록 최적의 처리조건을 계산하는 최적 레시피계산모델을 부여하는 수단과, 상기 최적 레시피계산모델을 사용하여 생성한 레시피에 의거하여 상기 플라 즈마처리장치를 제어하는 제어기를 포함한다.
본 발명의 다른 형태에 의하면, 진공처리실 내에 수용한 시료에 플라즈마처리를 실시하는 플라즈마처리방법으로서, 그 처리방법은 상기 처리 중의 공정량을 모니터하는 단계와, 상기 모니터결과에 의거하여 가공결과를 추정하는 단계와, 상기 가공결과의 추정결과에 의거하여 가공결과가 목표치가 되도록 처리조건의 보정량을 계산하여 최적 레시피를 생성하는 단계와, 상기 생성한 최적 레시피에 의거하여 상기 플라즈마처리장치를 제어하는 단계를 포함한다.
도 1은 본 발명의 실시예에 관한 드라이에칭장치의 개요를 나타낸다.
도 1에 있어서 1은 플라즈마(1c)를 생성하는 플라즈마처리실, 1b는 처리실 내의 웨이퍼스테이지(1a) 위에 얹어 놓은 피처리물인 웨이퍼이다. 2는 드라이에칭장치에 공급하는 가스유량, 가스압력, 투입전력 등의 처리 중의 공정량을 모니터하기 위한 센서이고, 이들 센서는 통상 드라이에칭장치에 표준 장비된다. 3은 추가센서로서, 예를 들면 플라즈마광의 스펙트럼을 해석하기 위한 발광분광센서(OES : Optical Emission Spectroscopy), 플라즈마입자의 질량을 분석하기 위한 4중극 질량분석장치(QMS : Quadrupole Mass Spectrometry), 4는 레시피(6)를 나타내는 데이터에 따라 드라이에칭장치를 제어하는 엑츄에이터, 5는 처리 중의 공정량을 레시피 또는 로트번호, 웨이퍼ID와 같은 생산관리정보와 결합하여 보존하는 데이터베이스이다. 또한 상기 레시피는 웨이퍼처리 중 또는 웨이퍼처리마다 변경 가능하다.
도 2는 본 발명의 제 1 실시예에 관한 드라이에칭처리시스템의 전체구성을 나타낸다. 상기 장치는 피드백(FB)제어계 및 피드포워드(FF)제어계를 포함한다.
도 2에 있어서 21은 포토리소그래피처리장치로서, 예를 들면 반도체기판 위에 레지스트를 도포하고, 그 레지스트를 예를 들면 목적으로 하는 field-effect transistor(FET)의 게이트부분에 소망의 전극폭의 게이트전극이 얻어지도록 에칭가공한다. 이하 이 전극폭의 목표치 또는 가공결과의 값을 CD(crtical dimension)치라 칭한다. 22는 상기 에칭 후의 레지스트의 CD치를 계측하는 CD-SEM(scanning Electron Microscope) 등의 계측기, 23은 플라즈마에칭처리장치(에처), 24는 플라즈마에칭처리장치에 공급하는 가스유량, 가스압력, 투입전력, OES, QMS 등의 처리 중의 공정량을 모니터하기 위한 센서(이하, In-Situ센서라 칭함)를 나타낸다. 25는 가공결과 추정모델을 나타내는 정보이고, 상기 In-Situ센서의 모니터출력 또는 미리 설정한 가공결과의 예측치를 사용하여 가공결과(예를 들면 상기 가공결과 CD치)를 추정한다. 또한 In-Situ센서는 웨이퍼처리 중에 웨이퍼별로 모니터 가능하기 때문에 상기 추정모델은 웨이퍼마다 가공결과를 추정할 수 있다. 또 이 추정모델은 뒤에서 설명하는 가공결과의 측정기출력에 의거하여 수정할 수 있다.
26은 최적 레시피계산모델을 나타내는 정보이고, 상기 가공결과의 추정모델의 추정결과 및 목표치(27)에 의거하여 최적 레시피계산모델을 예를 들면 도면의 예 1 또는 예 2에 나타내는 바와 같이 수정하여 최적 레시피를 생성한다. 또 이 계산모델은 상기 CD-SEM 등의 계측기(22)의 출력을 예 3에 나타내는 바와 같이 피드포워드제어입력으로서 이용할 수 있다.
28은 사용 가능 레시피선택수단을 나타내고, 레시피서버(29)에 저장한 레시피 중으로부터 최적 레시피 계산모델이 생성한 최적 레시피에 가장 가까운 레시피 를 선택하여 사용 가능 레시피로서 설정한다.
30은 가공결과의 CD치를 측정하는 CD-SEM(치수측장용 주사형 전자현미경 ;Critical Dimension-Scanning Electron Microscope), 31은 그 밖의 가공결과를 측정하는 X-SEM[단면측정용 주사형 전자현미경;Cross Section-Scanning Electron Microscope) 등의 가공결과의 측정기를 나타내고, 가공결과를 CD치 또는 게이트형상신호(32)로서 출력한다. 또한 상기 CD-SEM(30) 및 X-SEM(31) 등의 측정기는 상기 플라즈마에칭처리장치의 처리단위마다, 즉 로트단위마다 웨이퍼를 샘플링하여 계측한다. 이 때문에 상기 CD치 또는 게이트형상은 로트별로 얻어진다.
도 3은 본 실시예의 드라이에칭장치의 피드백제어를 설명하는 플로우이다. 먼저 단계(1)에 있어서 가공목표치(CD치)를 설정한다. 단계(2)에 있어서 최적 레시피계산모델에 의거하여 가공목표치로부터 목표치를 달성하는 데에 최적인 레시피를 계산한다. 단계(3)에 있어서 최적레시피에 가장 가까운 사용 가능한 레시피를 선택하고, 단계(4)에 있어서 그 레시피를 에칭처리장치(23)에 설정한다. 단계(5)에 있어서 에칭을 개시한다. 단계(6)에 있어서 에칭을 행하고 있는 동안의 장치의 상태를 In-Situ센서에 의하여 모니터링한다. 단계(7)에 있어서 1매의 웨이퍼의 에칭처리가 종료하면 단계(8)에 있어서 가공결과 추정모델을 사용하여 상기 In-Situ센서의 측정치에 의거하여 웨이퍼의 가공결과를 추정한다. 단계(9)에 있어서 상기 추정한 가공결과와 목표치에 의거하여 도 4에 나타내는 바와 같이 최적 레시피 게산모델을 수정하고, 수정한 최적 레시피를 에칭처리장치(23)에 설정한다. 이어서 단계(2)로 진행하여 다음의 웨이퍼를 처리한다.
또 상기한 바와 같이 각 로트별로 웨이퍼를 1매씩 샘플링하여 웨이퍼의 실치수를 CD-SEM(30) 또는 X-SEM(31) 등의 가공결과의 측정기로 측정하고, 그 측정결과에 의거하여 가공결과의 추정모델을 정밀도 좋게 수정할 수 있다. 또 이 추정모델의 수정에 의하여 상기 샘플링검사만에 의하여 웨이퍼의 모든 수 검사에 필적하는 정밀도 좋은 검사를 실행할 수 있다.
이와 같이 본 제어방식에 의하면 In-Situ센서의 측정치를 사용하여 가공결과를 추정하고, 피드백제어할 수 있다. 또 In-Situ센서의 측정치를 사용하므로 웨이퍼의 실치수를 CD-SEM(30) 또는 X-SEM(31) 등의 가공결과의 측정기만으로 측정하는 방법(In-Situ센서를 사용하지 않는 방법)에 비하여 고속의 피드백루프(웨이퍼마다의 피드백제어루프)구성할 수 있어 불량 웨이퍼의 대량생산을 억제할 수 있다.
다음에 본 실시예의 드라이에칭장치의 피드포워드제어를 도 2와 도 5를 참조하여 설명한다. 포토리소그래피처리장치(21)에 의하여 처리한 웨이퍼의 레지스트가공치수(예를 들면 CD치)는 CD-SEM 등의 측정기(22)에 의하여 측정한다. 최적 레시피계산모델(26)은 이 측정치와 상기 목표치(27)를 비교하여 포토리소그래피공정에 있어서의 레지스트가공치수의 목표치로부터의 상기 어긋남을 상쇄하는 가공량(CD시프트량)을 견적하고(도 5 중 Y), 이 견적에 의거하여 최적 레시피계산모델을 사용하여 최적 레시피를 계산한다. 이어서 사용 가능한 레시피선택수단 (28)은 레시피서버에 저장한 레시피 중으로부터 최적 레시피계산모델이 생성한 최적 레시피에 가장 가까운 레시피를 선택하여 사용 가능 레시피로서 설정한다.
도 4에 있어서는 포토리소그래피공정에 있어서의 가공결과가 목표CD치보다도 큰 경우의 예를 나타내고 있다. 이와 같은 경우는 레지스트를 목표 CD치가 되도록 에칭처리로 세밀하게 하거나(슬리밍처리), 또는 BARC/HLD(반사방지막) 에칭처리로 목표CD치가 되도록 조정한다. 이어서 목표CD치가 된 레지스트, 또는 BARC/HLD를 마스크로서 에칭처리한다. 이 경우 목표로 하는 레지스트의 사이드에칭에 의하여 생기는 CD시프트량을 예상하여 이 예상에 의거하여 도 5에 나타내는 바와 같이 최적 레시피계산모델에 의하여 최적 레시피를 계산한다. 이어서 계산한 최적 레시피에 가장 가까운 사용 가능한 레시피를 선택하여 레지스트를 에칭처리한다.
다음에 마찬가지로 상기 레지스트의 CD치를 기초로 최적 레시피계산모델에 의하여 최적 레시피를 계산하고, 계산한 최적 레시피에 가장 가까운 사용 가능한 레시피를 선택하여 웨이퍼의 에칭처리를 행하고 에칭처리의 공정을 종료한다.
도 6은 본 발명의 드라이에칭장치의 다른 예를 블록도로 나타낸다. 또한 도 6에 있어서 도 2에 나타내는 부분과 동일부분에 대해서는 동일부호를 붙이고 그 설명을 설명한다. 이 예에 있어서는 도 2에 나타내는 가공결과추정모델은 사용하지 않는다. 이와 같이 함으로써 피드백의 루프속도는 느려지나, 가공결과의 측정기 (30, 31)로부터의 실데이터를 사용한 피드백을 행할 수 있다. 이 때문에 최적 레시피계산모델을 더욱 정확하게 수정할 수 있다.
도 16은 본 발명의 드라이에칭장치의 다른 에를 나타낸다. 또한 도면에 있어서 도 2에 나타내는 부분과 동일부분에 대해서는 동일부호를 붙이고 그 설명을 생략한다. 이 예에 있어서는 도 6의 실시예와 반대로 도 2에 나타내는 CD-SEM(30)과 X-SEM(31) 및 가공결과(32)는 사용하지 않는다. 본예와 같이 In-Situ센서(24) 와 가공결과 추정모델(25)을 높은 정밀도로 유지할 수 있는 경우, CD-SEM 등으로부터의 모델보정은 불필요하게 되기 때문이다. 이와 같이 함으로써 CD-SEM, X-SEM 등의 검사장치를 필요로 하지 않는 처리방법을 실현할 수 있어 반도체제조에 있어서 검사공정을 삭감할 수 있다.
도 7은 본 발명의 드라이에칭장치의 또 다른 예를 나타내는 도면이다. 또한 도 7에 있어서 도 2에 나타내는 부분과 동일부분에 대해서는 동일부호를 붙이고 그 설명을 생략한다. 이 예에 있어서는 도 2에 나타내는 In-Situ센서(24)를 대신하여 광산란형상 추정수단(Scatterometry)을 사용한다. 광산란형상 추정수단은 웨이퍼 위에 설치한 복수의 격자형 마크에 파장 또는 입사각을 파라미터로서 광을 조사하여 반사율을 측정한다. 다음에 미리 이론계산에 의하여 작성하여 둔 특징라이브러리와 비교하여 일치도가 좋은 라이브러리파형을 탐색하고, 다시 형상파라미터를 조정함으로써 복수의 격자형 마크에 의하여 형성되는 웨이퍼의 형상, 치수를 추정할 수 있다.
여기서 도 15의 수정예와 같이 이 광산란형상 추정수단(24A)을 사용하여 로트별로 샘플한 웨이퍼의 격자형 마크의 가공형상을 측정하고, 상기 가공결과 추정모델(25)을 수정하도록 하면 X-SEM에 의한 파괴검사를 행하는 일 없이 형상추정정밀도를 수정할 수 있다.
이 광산란형상 추정수단(24A)을 공정량을 모니터하기 위한 측정장치 (Integrated Metrology)로서 에칭처리장치(23)에 조립하여 에칭 직후의 웨이퍼를 에칭장치 내에서 계측하여 치수, 형상을 추정한다. 추정결과를 기초로 최적 레시 피 계산모델을 수정하는 것은 도 2의 경우와 동일하다.
도 8은 In-Situ센서를 사용한 가공결과추정과 가공제어의 효과를 나타낸다. 도 8에서는 In-Situ센서의 예로서 처리 중의 플라즈마발광을 측정하는 예를 나타낸다. 플라즈마발광에는 공정을 지배하는 에천트나 이온의 정보가 포함되어 있어 플라즈마발광의 피크강도나 스펙트럼형상의 변화로부터 가공결과의 변화를 추정할 수 있다.
또한 플라즈마발광의 변화는 약간이므로, 플라즈마발광에 대하여 무엇인가의 수치처리를 실시하여 발광스펙트럼의 변화성분을 감도좋게 추출하는 것이 바람직하다. 연산처리로서는 예를 들면 표준스펙트럼에 대하여 비나 차분을 취하는 방법이 있다. 또는 통계해석적인 방법, 예를 들면 주성분 분석을 사용하면 많은 발광피크 중으로부터 변화한 피크성분만을 필터링하여 인출할 수 있다.
도 8의 좌측란에는 플라즈마발광에 대하여 수치처리를 행한 결과를 나타내고 있다. 도면의 *표는 측벽 퇴적물에 영향을 미치는 발광피크의 변화를 나타낸다. 이 발광피크의 분석결과로부터 가공결과 추정모델에 의거하여 가공결과를 추정할 수 있다. 이 형상은 도 8의 중앙부에 나타내고 있고, 소정의 가공형상(최상단에 나타낸다)에 비하여 발광피크의 변화에 대응하여 측벽 퇴적물이 증가하여 테이퍼각이 증가하고 있는 것이 추정된다.
이들 결과에 의거하여 최적 레시피를 계산하여 가공제어를 행한다. 최적 레시피계산은 처리레시피에 대하여 보정을 행함으로써 실현된다. 가공목표치로부터의 어긋남량에 따라 예를 들면 테이퍼각이 목표치에 일치하고 있는 경우는 보정을 가하지 않고, 테이퍼각이 큰 경우는 보정량을 크게 한다. 이에 의하여 도 8의 우측란에 나타내는 바와 같이 테이퍼각을 일정하게 유지할 수 있다. 이 최적 레시피계산의 방법은 뒤에서 설명한다. 또한 여기서는 In-Situ센서로서 플라즈마발광을 검출하는 센서를 사용하였으나, 이 외에도 예를 들면 방전전압(Vpp)이나 바이어스전압(Vdc), 또는 임피던스모니터를 사용할 수도 있다.
도 9는 본 실시예에 관한 드라이에칭장치의 에칭제어를 블록도로 나타낸다.
공정량을 모니터하고, 다시 가공결과를 모니터하는 센서(91)로서는 발광분광기 등의 다수의 데이터를 출력하는 센서, 플라즈마 임피던스 모니터와 같이 플라즈마의 상태에 감도가 높은 센서, 그 밖의 압력이나 온도나 전압, 전력의 입사, 반 사 등의 각종 센서를 구비할 수 있다. 또 발광분광기와 같이 다수의 데이터를 동시에 취득할 수 있는 센서가 1개만 설치되어도 좋다. 이들 센서는 일정시간마다, 예를 들면 1초마다 드라이에칭장치의 상태를 나타내는 신호를 출력한다. 이 1회의 출력당, 센서데이터의 수는 수십개 내지 수천개이다.
신호압축부(92)는 이들 다수의 데이터를 압축하여 장치상태신호를 생성한다. 장치상태신호의 수는 경우에 따라 변하나, 수개 내지 수십개의 경우가 있다. 이 신호압축에는 주성분 분석 등의 통계적 해석법을 사용할 수 있다.
가공결과추정부(93)는 상기 장치상태신호의 시간변화로부터 평균화나 미분조작에 의해 웨이퍼마다의 처리상태신호를 생성한다.
여기서 도 9의 가공결과예측식(94)은 상기 생성한 웨이퍼마다의 처리상태신호로부터 처리 후의 웨이퍼의 가공결과를 예측하는 예측식으로 미리 데이터베이스 에 저장하여 둔다. 또한 상기 가공결과추정부(93)는 상기 처리상태신호 및 예측식을 사용하여 웨이퍼의 가공형상을 예측한다. 또한 웨이퍼 내에서 가공형상의 불균일이 있을 때에는 이 불균일도 계산한다.
최적 레시피계산모델(95)은 상기 예측결과 및 처리의 목표치(96)를 입력하여 가공결과가 목표치가 되도록 처리조건의 보정량을 계산한다. 이 보정된 처리조건(최적 레시피)을 장치제어부(97)에 건네어 에칭장치(98)를 제어하여 다음의 웨이퍼처리를 행한다. 또한 상기 가공결과 예측식은 그 예측정밀도의 검정을 CD-SEM 등의 가공형상의 측정기에 의한 실측결과와 비교함으로써 행할 수 있다.
도 10은 피드백제어 또는 피드포워드제어에 의한 안정화의 효과를 나타낸다. 세로축은 CD게인이고, CD치의 가공에 의한 증가량을 나타낸다. 생산관리상 이 CD게인은 약간의 양(+)의 값으로 일정하게 유지되는 것이 이상적이다. 그러나 리액터 내벽면에의 반응생성물의 퇴적 등에 의하여 플라즈마나 케미스트리의 상태가 약간이기는 하나 변화하여 가기 때문에 가공에 장기적인 변동이 생긴다. 이것을 이 도면에서는 로트간 변동이라 이름붙이고 있다. 특히 리액터를 대기개방하여 내부의 퇴적물을 제거하는 모든 청소(full cleaning) 후로부터 리액터 내벽면의 상태가 안정되기까지의 사이에 변동이 생긴다. 또 로트내에 있어서도 반응생성물의 퇴적이나 내벽면의 온도변화 등에 의하여 단기적인 변동(로트내 변동)이 생긴다. 또한 포토공정이나 에칭공정의 가공에 의한 불균일 변동도 생긴다.
종래부터 이와 같은 변동에 대해서는 내벽면의 온도조정 등의 하드적인 개선에 의하여 또는 적당한 간격으로(예를 들면 로트별이나 웨이퍼별) 세정을 행하여 퇴적물을 제거하여 리액터의 상태를 안정화시킴으로써 디바이스가공의 마진 이내에 수납하고 있다. 그러나 디바이스의 미세화에 따라 가공 마진이 작아지면 종래의 방법에서는 안정화의 한계가 생기고 있다. 이에 대하여 본 실시예에 나타내는 바와 같이 피드백제어 또는 피드포워드제어를 실시함으로써 도 10 하단에 나타내는 바와 같이 로드간 변동·로트내 변동·불균일 변동을 억제하여 디바이스가공의 마진 이내에 수납하는 것이 가능하게 된다.
도 11은 도 9에 나타내는 가공결과예측식을 생성하기 위한 처리를 설명하는 플로우이다. 먼저 단계(S1)에 있어서 에칭처리장치를 사용하여 시료(웨이퍼)의 에칭처리를 행한다. 단계(S2)에 있어서 공정량을 모니터하는 센서의 데이터를 데이터압축부에 있어서 압축하고, 단계(S3)에 있어서 압축한 데이터를 처리상태신호 데이터베이스에 저장한다. 단계(S4)에 있어서 상기 처리가 종료한 웨이퍼의 가공형상을 예를 들면 CD-SEM 등으로 측정하고, 단계(S5)에 있어서 가공결과 데이터베이스에 보존한다. 단계(S6)에 있어서 상기 실측한 가공형상과 처리상태신호의 상관 관계식을 중회귀분석에 의하여 구하고 가공결과 예측식을 생성한다.
도 12는 본 발명의 드라잉칭장치의 또 다른 제어예를 나타낸다. 이 예에서는 최적 레시피계산모델의 모델화방법으로서 통계처리에 일반적으로 사용되고 있는 응답곡면모델을 이용하였다. 또 도 13은 도 12의 예에 대한 최적 레시피계산모델구축을 위한 처리를 나타낸다.
먼저 목표로 하는 에칭성능의 항목을 A, B, C로 하고, 에칭장치에 설정하는 레시피파라미터가 a, b, c, d, e, f의 6항목이라고 한다. A, B, C는 예를 들면 선 택비, 사이드에칭량, 테이퍼각도라는 것으로, a, b, c, d, e, f는 각각 예를 들면 가스유량, 압력, 전압, 전력, 온도, 시간을 나타낸다. 먼저 단계(S1)에 있어서 다구치법을 사용한 평가실험을 행하여 단계(S2)에 있어서 균일성에 영향을 미치는 레시피파라미터를 선택하여 제어 가능한 파라미터로부터 제외한다. 이들 파라미터(본 도면에서는 d, e, f)는 고정레시피 파라미터로서 항시 고정함으로써 웨이퍼마다의 피드백제어(Run-to-Run제어)에 의하여 균일성이 열화하는 것을 방지한다.
단계(S3)에 있어서 실험계획법을 사용하여 모델화에 필요한 데이터를 취득하고, 단계(S4)에 있어서 최적 레시피계산모델을 작성한다. 도 11에 있어서는 최적레시피계산모델의 개념을 용이하게 이해할 수 있도록 레시피라파미터(a, b, c)에 대하여 에치성능(A, B), 에칭성능(A, C) 및 에치성능(B, C)만이 각각 관여하고 있는 3차원의 모델을 가정하였다. 실제로는 응답곡면법에 의하여생성되는 최적 레시피계산모델은 에치성능(A, B, C)을 입력으로 하여 레시피파라미터(a, b, c)를 출력으로 하는 다차원의 모델이다. 본 구축예에 있어서는 에칭성능을 변화시키기 위하여 모델의 경사를 변화시키는 방법을 취하였다. 이와 같이 하여 수정된 모델을 사용하여 유도되는 갱신된 레시피파라미터(a', b', c')와 고정레시피 파라미터(d, e, f)를 다음 웨이퍼의 처리조건으로서 부여한다. 단계(S5)에 있어서 상기 에칭조건에 따라 에칭처리를 실행한다.
도 14는 사용 가능한 레시피선택수단의 사용 가능한 레시피선택방법을 설명하는 도면이다. 소정의 공정에 있어서, 1매째의 웨이퍼를 처리하는 경우, 먼저 최적 레시피계산모델에 의하여 CD시프트량 및 CD테이퍼의 목표치를 기초로 ① 에서 나타내는 No.20이 산출되어 이 레시피로 처리가 행하여진다. 여기서는 설명을 간단하게 하기 위하여 목표치를 2변수로 하였으나, 2변수 이상의 경우도 동일하다.
1매째 웨이퍼의 에칭처리종료 후, 가공결과 추정모델 또는 CD-SEM 등의 계측기에 의하여 가공결과를 측정한다. 이 측정결과가 ②에 나타내는 바와 같이 목표로 하고 있던 것으로부터 어긋나 있었다고 한다. 이 경우는 당초의 계산모델이 경시변화 등에 의하여 변동하고 있다고 판단하여 모델을 당초의 레시피(여기서는 레시피No.20)가 해당 가공결과에 일치하도록 이동 또는 경사시켜 모델수정을 행한다[초기의 최적 레시피계산모델을 이동하여 수정 후의 최적 레시피계산모델(1)로 한다].
2매째 웨이퍼의 에칭처리시에는 수정된 최적 레시피계산모델(1)을 사용하여 목표치로부터 최적 레시피(④에 나타내는 2매째 웨이퍼의 레시피No.10)를 선택한다.
그러나 모델수정 후에 모델이 도면 중에 나타나 있는 「수정 후의 최적 레시피계산모델(2)」이 된 경우는 목표치에서의 최적 레시피는 존재하지 않는다. 따라서 이 경우는 알람을 내어 에칭처리는 행하지 않게 된다. 이에 의하여 장치가 이상이 된 경우, 많은 불량을 내는 것을 미연에 방지할 수 있다. 또 이 알람은 상기 모두 청소라는 메인티넌스처리의 실행판단으로서 사용할 수도 있다. 또한 이상의 설명에서는 플라즈마처리장치로서 플라즈마에칭장치를 대표예로서 설명하였으나, 본 발명은 플라즈마CVD장치와 같은 다른 플라즈마처리장치에도 적용할 수 있다.
이상 설명한 바와 같이 본 실시예에 의하면, 공정량을 모니터하기 위한 센서출력 또는 가공결과 측정기의 측정결과를 기초로 피드백제어 또는 피드포워드제어를 실시하기 때문에 경시변화 등에 의거하는 로드간 변동·로드 내 변동 및 불균일변동을 억제하여 정밀도 좋은 디바이스가공을 실시할 수 있다.

Claims (5)

  1. 처리에 적합한 레시피에 의하여 시료를 플라즈마처리하는 제 1 수단과,
    상기 제 1 수단으로 플라즈마처리 중의 모니터값에 의하여 레시피를 수정하고, 상기 수정된 레시피에 의거하여 다음의 시료를 플라즈마처리하는 제 2 수단을 구비한 것을 특징으로 하는 플라즈마처리장치.
  2. 진공처리실 내에 플라즈마를 발생시키고, 상기 플라즈마 중의 라디칼 또는 이온에 의하여 진공처리실 내에 탑재된 시료를 플라즈마처리하는 플라즈마처리장치와,
    상기 플라즈마처리장치에서의 시료의 처리 전에 상기 시료의 형상을 측정하는 전측정기와,
    상기 플라즈마처리장치에서의 시료의 처리 후에 상기 시료의 형상을 측정하는 후측정기와,
    상기 플라즈마처리장치의 레시피 파라미터마다, 에칭 성능결과를 관련짓는 최적 레시피 모델을 적어도 하나 가지고, 가공결과 목표값과 상기 전측정기의 측정결과의 차분으로부터 목표 가공량을 산출하고, 상기 목표 가공량과 상기 최적 레시피로부터 레시피 파라미터의 적어도 하나의 파라미터를 변경하는 수단과,
    상기 최적 레시피 모델은, 동일 시료의, 상기 전측정기의 측정결과와 상기 후측정기의 측정결과의 차분으로부터 모델을 수정하는 수단을 포함하고,
    에칭마다 레시피 파라미터의 적어도 하나를 갱신하는 것을 특징으로 하는 플라즈마처리장치.
  3. 제 2항에 있어서,
    상기 전측정기와 상기 후측정기는 동일 측정기로 이루어지는 것을 특징으로 하는 플라즈마처리장치.
  4. 처리에 적합한 레시피를 기초로 시료를 플라즈마처리하고, 상기 처리결과를 계측하여 얻어진 계측결과와,
    미리 정해진 목표값과의 편차에 의거하여 레시피를 수정하고, 상기 수정된 레시피를 기초로 복수의 레시피 중에서 하나를 선택하여 다음의 플라즈마처리를 행하는 것을 특징으로 하는 플라즈마처리장치.
  5. 처리에 적합한 레시피를 기초로 시료를 플라즈마처리하고, 그 처리상황을 모니터하는 인 시츄(in-situ) 센서에 의하여 얻어진 모니터정보와,
    미리 정해진 목표값과의 편차에 의거하여 최적 레시피를 구하여, 상기 최적 레시피를 기초로 복수의 적합한 레시피 중에서 하나를 선택하여 다음의 플라즈마처리를 행하는 것을 특징으로 하는 플라즈마처리장치.
KR1020070012565A 2001-06-29 2007-02-07 플라즈마처리장치 KR100779178B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001198830A JP3708031B2 (ja) 2001-06-29 2001-06-29 プラズマ処理装置および処理方法
JPJP-P-2001-00198830 2001-06-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020050005254A Division KR20050016729A (ko) 2001-06-29 2005-01-20 플라즈마처리제어시스템 및 처리제어방법

Publications (2)

Publication Number Publication Date
KR20070032744A KR20070032744A (ko) 2007-03-22
KR100779178B1 true KR100779178B1 (ko) 2007-11-23

Family

ID=19036210

Family Applications (4)

Application Number Title Priority Date Filing Date
KR10-2001-0054377A KR100463256B1 (ko) 2001-06-29 2001-09-05 플라즈마처리제어장치 및 처리제어방법
KR10-2004-0045674A KR100499226B1 (ko) 2001-06-29 2004-06-18 플라즈마처리장치
KR1020050005254A KR20050016729A (ko) 2001-06-29 2005-01-20 플라즈마처리제어시스템 및 처리제어방법
KR1020070012565A KR100779178B1 (ko) 2001-06-29 2007-02-07 플라즈마처리장치

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR10-2001-0054377A KR100463256B1 (ko) 2001-06-29 2001-09-05 플라즈마처리제어장치 및 처리제어방법
KR10-2004-0045674A KR100499226B1 (ko) 2001-06-29 2004-06-18 플라즈마처리장치
KR1020050005254A KR20050016729A (ko) 2001-06-29 2005-01-20 플라즈마처리제어시스템 및 처리제어방법

Country Status (4)

Country Link
US (6) US6733618B2 (ko)
JP (1) JP3708031B2 (ko)
KR (4) KR100463256B1 (ko)
TW (1) TWI227520B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140109267A (ko) * 2013-03-04 2014-09-15 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법

Families Citing this family (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
KR100638948B1 (ko) * 2002-08-28 2006-10-25 도쿄 엘렉트론 리미티드 반도체 에칭 공정의 동적 모델링 및 레서피 최적화 방법 및시스템
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
TWI220764B (en) * 2002-12-06 2004-09-01 Winbond Electronics Corp The detective method for the dry-etching machine
JP4363861B2 (ja) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 半導体製造装置
US7122096B2 (en) * 2003-03-04 2006-10-17 Hitachi High-Technologies Corporation Method and apparatus for processing semiconductor
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2004342806A (ja) * 2003-05-15 2004-12-02 Fujitsu Ltd 半導体装置の製造方法
JP4694150B2 (ja) 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
CN101256945B (zh) * 2003-06-20 2011-08-03 东京毅力科创株式会社 处理方法和处理系统
US7158851B2 (en) 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
JP2005026292A (ja) * 2003-06-30 2005-01-27 Fujitsu Ltd 半導体装置及びその製造方法、半導体製造装置
JP2005038976A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp 最適エッチングパラメタ自動設定システムおよびエッチング出来ばえ評価システム
DE10339992B4 (de) * 2003-08-29 2008-07-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Strukturelements kritischer Abmessung bzw. einer Gateelektrode eines Feldeffekttransistors sowie Ätzsteuerung
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
JP2008504975A (ja) * 2004-06-29 2008-02-21 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重化エッチング処理時にアスペクト比に依存するエッチングを低減する方法と装置
JP4868727B2 (ja) * 2004-09-27 2012-02-01 株式会社東芝 自動検査レシピ作成装置及び作成方法
US7624003B2 (en) * 2005-01-10 2009-11-24 Applied Materials, Inc. Split-phase chamber modeling for chamber matching and fault detection
US7596421B2 (en) * 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
JP2007073751A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
JP2007157973A (ja) * 2005-12-05 2007-06-21 Fujitsu Ltd 半導体装置の製造プロセス制御システムおよび半導体装置の製造プロセス制御方法
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US20090326697A1 (en) * 2006-11-17 2009-12-31 Hejian Technology (Suzhou) Co., Ltd. Semiconductor manufacturing automation system and method for using the same
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
US20090023101A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Lithography track systems and methods for electronic device manufacturing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP5050830B2 (ja) * 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US7847248B2 (en) * 2007-12-28 2010-12-07 Mds Analytical Technologies, A Business Unit Of Mds Inc. Method and apparatus for reducing space charge in an ion trap
JP5192850B2 (ja) * 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
JP4486692B2 (ja) * 2008-03-14 2010-06-23 株式会社日立国際電気 基板処理装置
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8721836B2 (en) 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
JP2009267159A (ja) * 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101040883B1 (ko) * 2009-06-29 2011-06-16 세종대학교산학협력단 전산지능을 이용한 플라즈마 장비의 감시 및 제어 방법
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5334787B2 (ja) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5688227B2 (ja) 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
KR20110101483A (ko) * 2010-03-08 2011-09-16 삼성전자주식회사 플라즈마 장치의 제어 방법 및 시스템
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8392136B2 (en) 2010-07-09 2013-03-05 Kla-Tencor Corporation In-place management of semiconductor equipment recipes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5877908B2 (ja) * 2012-10-24 2016-03-08 東京エレクトロン株式会社 補正値算出装置、補正値算出方法及びコンピュータプログラム
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6088867B2 (ja) * 2013-03-15 2017-03-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及び分析装置
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6501601B2 (ja) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理プログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP2016103496A (ja) * 2014-11-27 2016-06-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide
WO2016190905A1 (en) 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6584350B2 (ja) * 2016-03-17 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
SG11201810017VA (en) * 2016-06-02 2018-12-28 Universal Instruments Corp Semiconductor die offset compensation variation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6476370B2 (ja) 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102489707B1 (ko) * 2017-06-13 2023-01-17 도쿄엘렉트론가부시키가이샤 자기 터널 접합부를 패터닝하기 위한 프로세스
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6778666B2 (ja) * 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP7032099B2 (ja) * 2017-10-20 2022-03-08 三菱重工業株式会社 解析装置、解析方法、プログラム
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7060373B2 (ja) * 2017-12-21 2022-04-26 株式会社日立ハイテク プラズマ処理装置の運転方法
JP7033907B2 (ja) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019245729A1 (en) * 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7161896B2 (ja) * 2018-09-20 2022-10-27 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7202138B2 (ja) * 2018-10-22 2023-01-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7080804B2 (ja) * 2018-11-20 2022-06-06 株式会社Fuji プラズマ処理用の処理条件決定方法およびプラズマ処理用の処理条件決定装置
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7108562B2 (ja) * 2019-02-22 2022-07-28 株式会社日立製作所 処理の制御パラメータの決定方法、及び計測システム
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7448909B2 (ja) * 2019-06-27 2024-03-13 住友重機械工業株式会社 成膜方法、及び成膜装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
JP7442305B2 (ja) * 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7413081B2 (ja) 2020-02-28 2024-01-15 東京エレクトロン株式会社 基板処理システム
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US20230207267A1 (en) * 2020-05-27 2023-06-29 Lam Research Corporation Sensor data compression in a plasma tool
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230096706A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Model-based characterization of plasmas in semiconductor processing systems

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335309A (ja) * 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240554A (en) * 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
CA2180231C (en) * 1996-06-28 2006-10-31 William Gordon Parr Portable semi-automatic computer code key cutting machine
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
JP4066483B2 (ja) 1997-11-28 2008-03-26 ソニー株式会社 半導体製造方法及び製造装置
JP3077656B2 (ja) 1997-12-22 2000-08-14 日本電気株式会社 半導体製造装置のレシピ修正方法
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
TW507305B (en) * 1999-09-18 2002-10-21 Samsung Electronics Co Ltd Method of measuring etched state of semiconductor wafer
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6562248B1 (en) * 2001-03-26 2003-05-13 Advanced Micro Devices, Inc. Active control of phase shift mask etching process
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
US6650423B1 (en) * 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335309A (ja) * 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140109267A (ko) * 2013-03-04 2014-09-15 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법
KR102185808B1 (ko) * 2013-03-04 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법

Also Published As

Publication number Publication date
US20070193687A1 (en) 2007-08-23
US20050022932A1 (en) 2005-02-03
KR20030003641A (ko) 2003-01-10
US20090120580A1 (en) 2009-05-14
TWI227520B (en) 2005-02-01
US20030003607A1 (en) 2003-01-02
US6881352B2 (en) 2005-04-19
JP2003017471A (ja) 2003-01-17
KR20070032744A (ko) 2007-03-22
KR100499226B1 (ko) 2005-07-01
US7601240B2 (en) 2009-10-13
US20030113945A1 (en) 2003-06-19
US6733618B2 (en) 2004-05-11
KR100463256B1 (ko) 2005-01-07
KR20050016729A (ko) 2005-02-21
KR20040058162A (ko) 2004-07-03
US20060124243A1 (en) 2006-06-15
JP3708031B2 (ja) 2005-10-19

Similar Documents

Publication Publication Date Title
KR100779178B1 (ko) 플라즈마처리장치
US6916396B2 (en) Etching system and etching method
US9824866B2 (en) Plasma processing method
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US7906032B2 (en) Method for conditioning a process chamber
US8173451B1 (en) Etch stage measurement system
JP2006074067A (ja) プラズマ処理装置および処理方法
JP3799314B2 (ja) エッチング処理装置およびエッチング処理方法
KR101066971B1 (ko) 시료의 에칭처리방법
US7632690B2 (en) Real-time gate etch critical dimension control by oxygen monitoring
JP4344674B2 (ja) プラズマ処理装置
US8173450B1 (en) Method of designing an etch stage measurement system
Sofge Virtual Sensor Based Fault Detection and Classification on a Plasma Etch Reactor
GB2430799A (en) Real-time gate etch critical dimension control by oxygen monitoring

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131101

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141104

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161020

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181101

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 13