CN110741488B - 用于图案化磁隧道结的方法 - Google Patents

用于图案化磁隧道结的方法 Download PDF

Info

Publication number
CN110741488B
CN110741488B CN201880039098.8A CN201880039098A CN110741488B CN 110741488 B CN110741488 B CN 110741488B CN 201880039098 A CN201880039098 A CN 201880039098A CN 110741488 B CN110741488 B CN 110741488B
Authority
CN
China
Prior art keywords
substrate
chlorine
organic solvent
plasma
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880039098.8A
Other languages
English (en)
Other versions
CN110741488A (zh
Inventor
晴云·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110741488A publication Critical patent/CN110741488A/zh
Application granted granted Critical
Publication of CN110741488B publication Critical patent/CN110741488B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了用于蚀刻磁阻随机存取存储器器件的方法和设备,所述磁阻随机存取存储器器件包括至少一个磁隧道结堆叠体结构,所述磁隧道结堆叠体结构包括设置在第一磁性层与第二磁性层之间的绝缘层。所述方法包括以下步骤:在有效地使第一磁性层和第二磁性层以及绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使基底与含氯等离子体接触。接下来,在对去除金属氯化物有效的条件下,用有机溶剂处理接触步骤的所得产物。该处理可以包括通过溶解金属氯化物或通过使金属氯化物与反应性有机溶剂反应或者二者而冲洗掉金属氯化物。

Description

用于图案化磁隧道结的方法
相关申请的交叉引用
本申请涉及并要求于2017年6月13日提交的美国临时专利申请序列号62/518,851的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及在低温下对半导体基底上的磁隧道结进行等离子体蚀刻。
背景技术
磁阻随机存取存储器(magnetroresistive random access memory,MRAM)的性能不断提高。一种类型的MRAM使用含CoFeB/MgO/CoFeB作为膜堆叠体的一部分的磁隧道结(“magnetic tunnel junction,MTJ”)堆叠体。包括大部分金属膜的堆叠体的MTJ的图案化限于物理溅射,其在实现垂直轮廓的能力方面是有限的。因此,溅射在紧密间距应用中无法提供足够的结果。使用含氯气体的等离子体化学通常需要高温,原因是金属卤化物的相对低的挥发性。在这些高温等离子体技术中,氯原子的侧向扩散可以在器件中导致与金属或金属氧化物的过度反应,这导致MTJ和器件的劣化或破坏。新的和改进的产生磁隧道结的方法是高度期望的。
发明内容
本发明提供了对于上述一个或更多个缺点和遗漏的解决方案。
在一个广义方面,本发明是用于蚀刻基底的方法,所述基底包括设置在第一磁性层与第二磁性层之间的绝缘层,所述方法包括:在有效地使第一磁性层和第二磁性层以及绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使基底与含氯等离子体接触。接下来,在有效地去除金属氯化物的条件下,用有机溶剂处理接触步骤(使基底与氯接触)的所得基底。该处理可以包括通过溶解金属氯化物或者通过使金属氯化物与反应性有机溶剂反应或者二者来冲洗掉金属氯化物。在一个实施方案中,第一磁性层和第二磁性层包含钴和铁。在一个实施方案中,绝缘层为金属氧化物,例如镁氧化物。
本发明的方法包括由含氯气体形成含氯等离子体的步骤,其中含氯气体为氯、三氯化硼、或其混合物。在一个实施方案中,含氯气体用第二惰性气体稀释。
在本发明的一个实施方案中,有机溶剂为醇、醚或丙酮。通常,有机溶剂包括能够与金属卤化物反应的溶剂。
在一个实施方案中,使被加工的基底分层并图案化以产生包括至少一个磁隧道结堆叠体结构的磁阻随机存取存储器器件,其中堆叠体结构包括镁氧化物的绝缘层。在一个实施方案中,堆叠体结构的第一磁性层和第二磁性层二者包含钴和铁。
在一个实施方案中,在用有机溶剂处理基底之前,从基底去除含氯气体。
在一个实施方案中,对第一蚀刻的基底进行测量以确定通过氯等离子体和有机溶剂处理去除的金属的程度是否与预定的操作目标相对应。例如,测量包括:产生蚀刻的基底的垂直轮廓,将垂直轮廓与目标垂直轮廓进行比较,以及调节用于加工基底的操作变量以形成具有垂直轮廓与目标垂直轮廓间的容差的MRAM结构。
在一个实施方案中,基于测量来调节方法的至少一个操作变量以满足至少一个操作目标。例如,至少一个操作变量为温度、压力、氯浓度、氯流量、有机溶剂的类型、有机溶剂的流量、氯与基底的接触时间或其组合。在一个方面,操作目标是蚀刻的基底与期望图案的垂直一致性。例如,基底的垂直一致性包括用氯等离子体加工基底直至达到20nm或更小的间隔和线或者间隔和柱的密度。因此,在一个方面,操作目标可以为基底的侧向蚀刻的程度。
在一个实施方案中,调节至少一个操作变量以减小或增大基底的蚀刻的程度,优选地以实现期望的目标,例如蚀刻的程度、或蚀刻的基底与期望的目标垂直度的垂直一致性。
在调节至少一个操作变量之后,该方法可以通过这样进行:在有效地使第一磁性层和第二磁性层以及绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使蚀刻的基底与含氯等离子体进一步接触;在有效地从所得结构去除金属氯化物的条件下,用有机溶剂处理接触步骤的所得基底以提供蚀刻的基底。或者,如果基底不满足操作目标,则可以丢弃第一基底或后来的基底,并且可以向该方法中引入新的基底。在这一点上,该方法还可以包括:在有效地使第一磁性层和第二磁性层以及绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使第二基底在第二操作变量下与含氯等离子体接触;在有效地去除金属氯化物的条件下,用有机溶剂处理接触步骤的所得第二基底以提供蚀刻的第二基底。
在一个实施方案中,在已经形成蚀刻的基底之后调节至少一个操作变量,或者其中在处理基底的同时调节至少一个操作变量。
在一个实施方案中,基底为经历加工以形成包括至少一个磁隧道结堆叠体结构的磁阻随机存取存储器器件的半导体晶片。
在另一个广义方面,本发明是用于蚀刻包括设置在第一磁性层与第二磁性层之间的绝缘层的结构的设备。该设备包括被配置为容纳至少一个包括所述结构的基底的至少一个等离子体室,所述室被配置为包含含氯气体的等离子体。该设备还包括用于将含氯气体引入所述室的入口。所述室可以被配置为包括允许将另外的材料引入室中和用于向室中吹扫含氯气体的其他入口和出口。在某些实施方案中,在与等离子体接触之后,对室进行吹扫并将基底转移至不同的室以用有机溶剂处理。可以使用一种或更多种溶剂。第二室可以包括适当的入口和出口。在从基底冲洗掉金属卤化物之后,基底可以转移回第一室用于进行另外的蚀刻,或者可以从装置移出。
在另一个示例性实施方案中,等离子体加工设备可以对具有堆叠结构的多层材料进行蚀刻,所述堆叠结构中依次堆叠有第一磁性层、绝缘层、第二磁性层和掩模材料。等离子体加工设备包括:其中产生等离子体的被配置为划分加工空间的加工室;被配置为将加工气体供给到加工空间中的气体供给单元;和被配置为控制气体供给单元的控制单元。气体可以为与第一磁性层和第二磁性层以及绝缘层中的金属反应的含氯气体。
本发明提供了相对于MTJ中的金属磁性层的金属溅射的改进。此外,较低的等离子体温度提供较小的功率、物理装置要求、以及氯与金属或金属氧化物层的有限扩散(否则将导致MTJ和半导体器件的劣化或破坏)。本发明允许开发可以制造垂直的柱状物轮廓并且能够实现紧密间距应用的图案化。
附图说明
注意,附图仅示出了本发明的示例性实施方案,并因此不应视为限制其范围,原因是本发明可以承认其他同样有效的实施方案。
图1A至1C示出了在其根据本发明的方法的一个实施方案加工时的具有柱状物(pillar)的基底,以及在本发明的方法中使用和制造的结构。
图2示出了本发明的设备。
图3示出了根据本发明的用于处理具有金属磁性结构的基底的工艺流程图。
具体实施方式
图1A至1C一般地示出了根据本发明进行处理的基底的进展。基底10包括在绝缘覆盖层或第一绝缘层(例如,镁氧化物层12)的上方的适当的掩模图案11、具有或不具有钽(Ta)的第一磁性金属层13例如钴铁硼(CoFeB)、第二绝缘层14例如镁氧化物层、和第二磁性金属层15例如CoFeB。掩模图案可以为给定厚度的钽、钛氮化物等。第二绝缘层14可以可替选地由铝氧化物、铝氮化物、铝氮氧化物或其他合适的材料制成。通常,第二绝缘层14(隧道层)为镁氧化物。第一磁性层13和第二磁性层15可以可替选地由钴铁钽、镍铁、钴、钴铁、钴铂、钴钯、铁铂、或者镍钴和铁的合金形成。这些层可以位于底部基底区域的上方,底部基底区域可以包括:16任意常规层;钌(Ru)间隔层17或其他材料例如钛、钽、铜或银;以及另外的底部层18例如钴铂合金(例如(Co/Pt)xN/Co),它们全部都在制造具有MRAM器件的基底的过程中布置。在本发明的蚀刻工艺之前,使用常规方法形成基底10的所有层。例如,可以使用物理气相沉积(PVD)方法形成一些层或所有层。通常,每个层的厚度为10埃至30埃,并且每个层可以为不同的厚度。第一绝缘层(可以被称为覆盖层)可以为3埃至20埃。基底10在本文中也可以被称为结构、晶片、半导体晶片、半导体基底、MRAM基底等。
在本发明的低温氯等离子体蚀刻之后,在掩模图案11下方形成金属卤化物。具体地,形成钴和铁的卤化物。注意,这些金属卤化物在一些有机溶剂(例如,甲醇和乙醇)中具有给定的溶解度。低温等离子体由此经由侧向扩散形成金属卤化物层21、22、23和24。氯等离子体不与第二磁性层15下方的层反应。
接下来,在具有或不具有形成有机金属配合物的有机配体化合物的情况下使用有机溶剂使所得基底经历湿法蚀刻去除步骤。有机溶剂用于溶解金属卤化物和/或有机金属配合物,从而制造如图1C所示的由层11、12、13、14构成的新结构。该新结构可以被称为柱状物。本发明中使用的工艺可以根据需要而重复以形成图1C中的由层11至14构成的结构。如本文中所使用的,术语柱状物是指在蚀刻掉掩模下方的绝缘层和磁性层之后在基底上形成的凸起结构。
等离子体蚀刻中的含氯气体可以由氯气(Cl2)、氯化硼(BCl2)或其混合物提供。含氯气体可以在添加或不添加其他气体(例如,氢气、氧气、二氧化碳、氨气、氩气及其混合物)的情况下使用。如果使用其他气体,则按所使用的全部气体的体积计,含氯气体的浓度可以为0.1%至99.9%。在本发明的实施中,等离子体的温度为30℃或更低。通常,温度为-30℃至30℃。更通常地,温度为0℃至25℃。进行等离子体蚀刻的压力可以为有效地实现期望的蚀刻的任何压力。因此,压力可以是低于大气压、大气压和超过大气压的。含氯气体可以连续地、间歇地或以单次进料供给至基底。反应的时间将根据气体、温度、压力、氯浓度、基底和其他变量而变化。
为了提供期望水平的金属卤化物形成,可以通过在给定条件下进行常规测试来容易地确定氯与基底接触的时间。因此,首先可以在给定的温度下、在给定的压力下用给定进料的含氯气体处理基底给定量的时间。
在用氯等离子体处理之后,然后可以对基底进行测试以确定侧向扩散的程度。例如,氯的侧向扩散的程度可以通过如下来确定:对基底进行湿法蚀刻以去除金属氯化物,然后进行分析测试以确定金属层是否已经从掩模图案被侧向去除。如果金属层已经在朝向掩模图案的内部的侧向方向被过多地去除,则可以调节操作参数以实现较少的蚀刻。虽然不希望受到理论的束缚,但是应理解,氯将在侧向方向上穿透金属层,向外向内移动,当氯侧向移动通过金属层时形成金属氯化物。如果金属层在侧向方向上的去除程度小于期望,则还可以调节操作参数以实现更大的蚀刻。一旦建立了操作参数,就可以使该过程自动化以蚀刻基底。
如果发生了过度的侧向扩散,则可以调节变量例如,通过调节温度、基底与氯的接触时间、稀释剂的存在、压力、基底上方的含氯气体的浓度和/或流量、以及其他给定变量,并用新的工艺条件加工新的基底。对所得第一蚀刻的基底进行测量以确定通过氯等离子体和有机溶剂处理去除的金属的程度是否与预定的操作目标相对应。在一个方面,测量包括产生蚀刻的基底的垂直轮廓,将该垂直轮廓与目标垂直轮廓进行比较,以及调节用于加工基底的操作变量以形成具有垂直轮廓与目标垂直轮廓间的容差的MRAM结构。在一个方面,基底的垂直一致性包括用氯等离子体加工基底直至达到20nm或更小的间隔和线或者间隔和柱的密度。
在一个实施方案中,基于测量来调节该方法的至少一个操作变量以满足至少一个操作目标。例如,至少一个操作变量为温度、压力、氯浓度、氯流量、有机溶剂的类型、有机溶剂的流量、氯与基底的接触时间或其组合。在一个方面,操作目标为用以实现目标容差的蚀刻的基底与期望图案的垂直一致性。
氯与基底的接触时间是指在向室中引入氯之后并且在从室去除氯之前氯与基底物理接触的时间的量。可以基于例如室中的氯的浓度、含氯反应物的类型、流量、温度、压力、稀释剂的存在或其组合来调节接触时间以实现期望的反应。
在接触步骤完成之后,去除含氯气体使得不再发生进一步接触。这可以通过例如排空发生反应的室,通过使室充满不含氯气体及其组合来实现。可能有利的是,在对基底进行进一步操作(例如,转移至不同的室以用于进行湿法蚀刻)之前使用抽空和向室中供给不含氯气体二者以确保该室没有氯。
在使氯气分离于基底之后,使用合适的有机溶剂(例如醇,如甲醇、乙醇和异丙醇;醚;丙酮或其他常见的有机溶剂)使基底经历湿法蚀刻步骤。溶剂溶解来自等离子体蚀刻步骤的金属卤化物或以其他方式去除来自等离子体蚀刻步骤的金属卤化物。例如,已知三氯化铁在甲醇中的溶解度为83g/100mL。二氯化钴在甲醇中的溶解度为38.5g/100mL。氯化镁在乙醇中的溶解度为7.4g/100mL。因此有机溶剂将金属卤化物从基底冲掉。除了这样的有机溶剂之外,还可以单独使用或者与醇、醚或丙酮结合使用与金属卤化物反应以形成配合物的有机溶剂。例如,可以使用乙酰丙酮或环戊二烯(cyclopentadinyl)形成有机金属配合物。根据形成的金属卤化物的类型可以使用其他反应性溶剂。
等离子体蚀刻和湿法蚀刻通常在单独的室(其也可以被称为模块)中进行。可以根据期望蚀刻的目标量而重复等离子体和湿法蚀刻。可能期望使用设备在室之间转移被蚀刻的基底(其也可以被称为晶片,如该术语在半导体领域中通常被理解的)使得基底不接触周围大气以防止可以导致某些绝缘层(例如,镁氧化物层)的劣化的水分接触基底。
参照图2,示出了本发明的多室设备。在图2中,基底W在等离子体室100的内部空间中。等离子体室可以为任何形状,在一个实施方案中是圆柱体。等离子体室100可以包括圆形基部,所述基部可以由金属(例如,铝)制成并且可以用作用于等离子体产生的第一电极。如果需要,基部可以包括加热管线或冷却管线以帮助控制等离子体的温度。同样地,等离子体室100可以套有传热流体以控制温度。连续监测等离子体室100内的温度,其中将温度信息馈送至可以调节传热流体的流的温度的控制器(未示出)。等离子体室100配备有用于从气体供给部102输送含氯气体的管线101。可以经由管线101供给多种气体,或者可替选地,对于不同的气体可以包括多个入口管线。如果等离子体室100中积聚了过度的压力,则可以包括气体出口管线105以对室进行吹扫或用作释放阀。等离子体室100可以任选地配备有连接至真空发生器104的真空管线103。例如,如果需要,真空发生器104被调节为产生低至10托的真空。当接合真空时,气体管线101通常被关闭。
在通过例如重复抽空然后进行惰性气体吹扫去除氯之后,基底W可以经由转移模块110转移至湿法蚀刻室120。转移模块110可以是例如机械臂、机器人或用于移动基底W的任何合适的机构。转移模块110的关键方面在于其保持无水气的惰性气氛使得基底W不被污染,否则可能使镁氧化物层损坏。在从等离子体室100转移至湿法蚀刻室120之后,基底W用溶剂冲洗。可以经由入口管线121供给溶剂以在基底W上进行洗涤。溶剂可以在基底W上进行冲洗,再循环,或以其他方式与待冲洗的侧向表面紧密接触。湿法蚀刻室120可以包括一个或更多个喷头(shower head)以向基底W施加溶剂。所得的包含金属卤化物和/或金属卤化物配合物的溶剂经由出口管线122从湿法蚀刻室120去除。为了去除所有溶剂,在去除或进一步加工之前,湿法蚀刻室120还可以被抽空、加热和用气体吹扫。如果需要,基底W可以经由转移模块110从湿法蚀刻室120返回等离子体室100。可以重复这些步骤直至根据期望去除足够的金属。湿法蚀刻室120可以包括用于从设备移出基底的第二转移模块。或者,湿法蚀刻室120可以配备有门或其他开口以允许手动移出基底W,或者以其他方式从湿法蚀刻室120移出基底W。
图3中示出了工艺流程图。在第一步301中,使待蚀刻的包括铁磁结构(例如CoFe层和MgO层)的基底与含有氯的、室温或更低的等离子体接触给定的接触时间,温度、压力、流量、浓度、稀释剂的量和/或其他变量。此后,使所得的经氯处理的基底经历步骤302中的测试以确定在侧向方向的氯扩散程度。该测试可以这样进行:在湿法蚀刻步骤之前通过适当的分析技术,或者可替选地通过对湿法蚀刻已经去除金属卤化物之后的基底进行测试,从而显示出在待处理的结构上的侧向蚀刻的程度。在步骤303中确定是否存在过多的侧向扩散。如果侧向扩散过多,则在步骤304中作出工艺改变,并且在步骤301中使新的基底暴露于氯。如果侧向扩散的程度适当,则在步骤305中确定是否进行另外的等离子体蚀刻。如果氯处理完成,则基底处理完成,如由框306所示。在步骤307中,然后,可以根据给出待在基底上产生的结构的需要用另外的氯蚀刻和湿法蚀刻步骤处理基底。在达到期望的蚀刻程度之后,在步骤308中,将基底从设备移出并且工艺处理结束。
例如,对于与本申请具有共同的受让人的美国专利申请20140141532中的实施例,示出了MTJ堆叠体的基底制备的一般方面,该公开的申请的内容通过引用整体并入。
等离子体加工室可以包括设置在加工室中的第一电极、设置成面向第一电极的第二电极、配置为向第一电极施加具有第一频率的功率的第一电源单元、和配置为向第二电极施加具有第二频率的功率的第二电源单元。此外,第二电源单元可以向第二电极施加具有作为第二频率的约1MHz或更小的频率的功率以在加工室中产生等离子体。在这种情况下,由于向第二电极施加具有相对低的频率的功率,因此在除了待蚀刻的基底之外的位置处产生等离子体。因此,离子可以被第二电极垂直地吸引,并且基底的侧壁的垂直性可以因此得到改善。
第二电源单元可以向第二电极施加具有作为第二频率的约400kHz或更小的频率的功率以在加工室中产生等离子体。在这种情况下,由于向第二电极施加具有约400kHz的相对低的频率的功率,因此在除了基底之外的位置处产生等离子体。因此,待蚀刻的多层材料的侧壁的垂直性可以得到改善。
第一电源单元可以向第一电极施加约100W至约300W的功率以在加工室中产生等离子体。在这种情况下,由于向第一电极施加相对低的功率,因此在等离子体点火的范围的下限处产生低密度等离子体,并且例如,蚀刻的绝缘层或第一磁性层可以以具有大分子结构的有机金属配合物的形式排出到外部。
等离子体加工室还可以包括被配置为使加工空间减压至预定压力水平的排气单元和被配置为控制排气单元的控制单元。此外,控制单元可以控制排气单元以使加工空间中的压力为约10毫托至约30毫托。在这种情况下,通过将加工空间内的压力设定得低,可以减小加工空间内产生的等离子体的密度,并且通过延长离子平均自由程,可以改善蚀刻轮廓的垂直性。
基于本说明书,本发明的其他修改实施方案和替代实施方案对于本领域技术人员而言是明显的。因此,将认识到,本发明不受这些示例布置的限制。因此,本说明书被解释为仅仅是说明性的并且是出于教导本领域技术人员实施本发明的方式的目的。应理解,本文示出和描述的本发明的形式应被视为当前的优选实施方案。可以在实现方式和体系结构中进行各种改变。例如,等效要素可以代替本文中举例说明和描述的那些,并且本发明的某些特征可以独立于其他特征的使用来进行利用,在受益于本发明的说明书之后,所有这些对于本领域技术人员而言将是明显的。

Claims (19)

1.一种用于蚀刻基底的方法,所述基底包括设置在第一磁性层与第二磁性层之间的绝缘层,所述方法包括:
在有效地使所述第一磁性层和所述第二磁性层以及所述绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使所述基底与含氯等离子体接触;
在有效地从所得基底去除所述金属氯化物的条件下,用有机溶剂处理接触步骤的所得基底以提供蚀刻的基底,
其中在用所述有机溶剂处理所述基底之前从基底去除含氯气体。
2.根据权利要求1所述的方法,其中所述含氯等离子体由含氯气体形成,其中所述含氯气体为氯气、三氯化硼或其混合物。
3.根据权利要求2所述的方法,其中所述含氯气体用第二惰性气体稀释。
4.根据权利要求1所述的方法,其中所述有机溶剂为醇、醚或丙酮。
5.根据权利要求4所述的方法,其中所述有机溶剂包括能够与金属卤化物反应的溶剂。
6.根据权利要求1所述的方法,其中所述绝缘层为镁氧化物。
7.根据权利要求1所述的方法,其中所述第一磁性层和所述第二磁性层二者均包含钴和铁。
8.根据权利要求1所述的方法,还包括对所述蚀刻的基底进行测量以确定通过所述氯等离子体和有机溶剂处理去除的金属的程度是否与预定的操作目标相对应。
9.根据权利要求8所述的方法,其中基于所述测量来调节所述方法的至少一个操作变量以满足至少一个操作目标。
10.根据权利要求9所述的方法,其中所述至少一个操作变量为温度、压力、氯浓度、氯流量、有机溶剂的类型、有机溶剂的流量、氯与基底的接触时间及其组合。
11.根据权利要求8所述的方法,其中所述操作目标为所述蚀刻的基底与期望图案的垂直一致性。
12.根据权利要求8所述的方法,其中所述操作目标为所述基底的侧向蚀刻的程度。
13.根据权利要求8所述的方法,其中调节所述至少一个操作变量以减小或增大所述基底的蚀刻的程度。
14.根据权利要求13所述的方法,还包括:
在有效地使所述第一磁性层和所述第二磁性层以及所述绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使所述蚀刻的基底与含氯等离子体接触;
在有效地去除所述金属氯化物的条件下,用有机溶剂处理所述蚀刻的基底的接触步骤的所得基底以提供第二蚀刻的基底。
15.根据权利要求13所述的方法,还包括:
丢弃所述基底,并且引入新的第二基底;
在有效地使所述第一磁性层和所述第二磁性层以及所述绝缘层的至少一部分转化成金属氯化物的条件下,在不大于30摄氏度的温度下使所述第二基底与含氯等离子体接触;
在有效地从所得结构去除所述金属氯化物的条件下,用有机溶剂处理接触步骤的所得第二基底以提供蚀刻的第二基底。
16.根据权利要求13所述的方法,其中在已形成所述蚀刻的基底之后调节所述至少一个操作变量,或者其中在处理所述基底的同时调节所述至少一个操作变量。
17.根据权利要求13所述的方法,所述测量包括:产生所述蚀刻的基底的垂直轮廓,将所述垂直轮廓与目标垂直轮廓进行比较,以及调节用于加工所述基底的操作变量以形成具有垂直轮廓与目标垂直轮廓间的容差的MRAM结构。
18.根据权利要求17所述的方法,其中将所述基底加工成低至20nm或更小的间隔和线或者间隔和柱的密度。
19.根据权利要求1所述的方法,其中所述基底为半导体晶片,所述半导体晶片被加工以形成包括至少一个磁隧道结堆叠体结构的磁阻随机存取存储器器件。
CN201880039098.8A 2017-06-13 2018-06-11 用于图案化磁隧道结的方法 Active CN110741488B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762518851P 2017-06-13 2017-06-13
US62/518,851 2017-06-13
PCT/US2018/036864 WO2018231695A1 (en) 2017-06-13 2018-06-11 Process for patterning a magnetic tunnel junction

Publications (2)

Publication Number Publication Date
CN110741488A CN110741488A (zh) 2020-01-31
CN110741488B true CN110741488B (zh) 2024-02-02

Family

ID=64563691

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880039098.8A Active CN110741488B (zh) 2017-06-13 2018-06-11 用于图案化磁隧道结的方法

Country Status (6)

Country Link
US (1) US10651372B2 (zh)
JP (1) JP7466824B2 (zh)
KR (1) KR102489707B1 (zh)
CN (1) CN110741488B (zh)
TW (1) TWI773771B (zh)
WO (1) WO2018231695A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117941493A (zh) * 2021-09-07 2024-04-26 朗姆研究公司 用于加工硫族化物的技术和装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011030529A1 (ja) * 2009-09-09 2011-03-17 株式会社アルバック 磁気抵抗素子の製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0614518B2 (ja) * 1984-01-27 1994-02-23 株式会社日立製作所 表面反応の制御方法
US6024885A (en) * 1997-12-08 2000-02-15 Motorola, Inc. Process for patterning magnetic films
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6893893B2 (en) * 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6964928B2 (en) * 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US7105361B2 (en) * 2003-01-06 2006-09-12 Applied Materials, Inc. Method of etching a magnetic material
US6933239B2 (en) * 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
KR100923299B1 (ko) * 2003-01-28 2009-10-23 삼성전자주식회사 자기 램의 자기 터널 접합층 형성 방법
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
JP2005277249A (ja) 2004-03-26 2005-10-06 Sony Corp プラズマ処理方法および磁気記憶装置の製造方法
US9276226B2 (en) * 2010-05-19 2016-03-01 Northwestern University Organic-inorganic hybrid multilayer gate dielectrics for thin-film transistors
JP2012204408A (ja) * 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
JP5918108B2 (ja) * 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2014183184A (ja) * 2013-03-19 2014-09-29 Tokyo Electron Ltd コバルト及びパラジウムを含む膜をエッチングする方法
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP2017033982A (ja) * 2015-07-29 2017-02-09 東京エレクトロン株式会社 多層膜をエッチングする方法
US9653310B1 (en) * 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
JP6499980B2 (ja) * 2016-01-04 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011030529A1 (ja) * 2009-09-09 2011-03-17 株式会社アルバック 磁気抵抗素子の製造方法

Also Published As

Publication number Publication date
TWI773771B (zh) 2022-08-11
KR20200007883A (ko) 2020-01-22
JP7466824B2 (ja) 2024-04-15
CN110741488A (zh) 2020-01-31
JP2020523794A (ja) 2020-08-06
TW201921746A (zh) 2019-06-01
WO2018231695A1 (en) 2018-12-20
KR102489707B1 (ko) 2023-01-17
US10651372B2 (en) 2020-05-12
US20180358548A1 (en) 2018-12-13

Similar Documents

Publication Publication Date Title
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US6933239B2 (en) Method for removing conductive residue
TWI602241B (zh) Etching processing method and substrate processing apparatus
US20190013211A1 (en) Tantalum-containing material removal
KR101858162B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
WO2013175897A1 (ja) 基板処理装置及び基板処理方法
KR20160068002A (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
JP2022522419A (ja) 側壁洗浄によるイオンビームエッチング
KR20170023850A (ko) 유기 화합물 가스 환경에서의 구리 함유층들의 중성 빔 에칭
CN110741488B (zh) 用于图案化磁隧道结的方法
JP2024509747A (ja) 高アスペクト比プラズマエッチングのための金属系ライナー保護
US7214327B2 (en) Anisotropic dry etching of Cu-containing layers
US10633743B2 (en) System and method for controllable non-volatile metal removal
JP2005158761A (ja) 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US10692734B2 (en) Methods of patterning nickel silicide layers on a semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant