CN1319141C - 监测方法和系统以及原位测量工具 - Google Patents

监测方法和系统以及原位测量工具 Download PDF

Info

Publication number
CN1319141C
CN1319141C CNB2004100481489A CN200410048148A CN1319141C CN 1319141 C CN1319141 C CN 1319141C CN B2004100481489 A CNB2004100481489 A CN B2004100481489A CN 200410048148 A CN200410048148 A CN 200410048148A CN 1319141 C CN1319141 C CN 1319141C
Authority
CN
China
Prior art keywords
etch
etch process
substrate
measurement
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100481489A
Other languages
English (en)
Other versions
CN1619788A (zh
Inventor
马修芬顿·岱维司
约汉M·亚马提诺
连雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1619788A publication Critical patent/CN1619788A/zh
Application granted granted Critical
Publication of CN1319141C publication Critical patent/CN1319141C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一种用于监测一蚀刻工艺的方法与系统。该蚀刻工艺可以使用量测信息,例如关键尺寸或层的厚度等,被监测。该量测信息是通过在该蚀刻工艺中所实施的关于该蚀刻工艺的离位与原位监测,例如光谱分析、干涉测量法、散射测量法,与反射测量法等所提供。离位量测信息与原位监测可以被用于监测,例如蚀刻工艺的一终点、形成于一基底的蚀刻纵深分布的一特征,以及一集成电路工艺的错误检测等。

Description

监测方法和系统以及原位测量工具
技术领域
本发明是有关于半导体基底工艺系统,且特别是有关于用于监测一蚀刻工艺的一方法与系统。
背景技术
为了增加运算速度,许多集成微电子电路中的电子装置(例如晶体管、电容器等等)变的越来越小。制造此种装置的方法包括在一基底上的一材料层上形成一图案化掩模(例如光刻胶掩模),接着使用该图案化掩模作为一蚀刻掩模蚀刻该材料层。蚀刻掩模通常为其下方的材料层(或是其它层)的所欲形成的结构(亦即被蚀刻的结构)的一重复结构(replica)。因此蚀刻掩模与其下的层所欲形成的结构具有相同的图案尺寸(topographic dimension)。
传统上,一蚀刻掩模的图形,例如线(line)、行(column)、开口(opening)、线与线之间的间距(space)等等的最小宽度(width)需要被测量。此最小宽度经常被称为“关键尺寸”(critical dimension,CD)。在先进超大规模集成电路(advanced ultra large scale integrated circuit,ULSI circuit)中,关键尺寸通常为亚微米级(sub-micron),例如约为20nm到200nm之间。此外,被蚀刻的材料层的厚度测量也是必须的。蚀刻工艺配方(recipe)的参数(parameter),通常是通过晶圆上形成的图案化掩模的关键尺寸测量,以及蚀刻的材料层的厚度测量选择的统计结果来选择。
当使用一图案化掩模来蚀刻一材料层时,精确的蚀刻工艺的监测是关键的。特别是,用于蚀刻工艺上的工艺变动会降低所形成的组件的尺寸的精确度,并且对于形成于基底上的一群(group)或一组(batch)组件的尺寸会造成一较宽的统计分布(statistical distribution)(亦即较大的标准差σ)。
因此,在集成电路的制造过程中,蚀刻工艺的监测是需要加以改善的。
发明内容
本发明是有关于用于监测一蚀刻工艺的方法与系统。该蚀刻工艺可以使用量测信息(例如,关键尺寸(CD)或层(layer)的厚度等)被监测。该量测信息是通过在该蚀刻工艺中所实施的关于该蚀刻工艺的离位(ex-situ)与原位(in-situ)监测(例如,光谱分析、干涉测量法、散射测量法,与反射测量法等)所提供。离位量测信息与原位监测可以被用于监测,例如蚀刻工艺的一终点、形成于一基底的蚀刻纵深分布(depth profile)的一特征(feature),以及一集成电路工艺的错误检测(fault detection)等。
离位量测信息在该蚀刻工艺之前或之后被实施。离位量测信息被提供到一蚀刻反应器,并且被使用于蚀刻工艺与原位监测中。离位(ex-situ)测量可以使用与蚀刻反应器(例如与蚀刻系统相同的模块)整合的测量仪器被执行,或是通过未与蚀刻反应器(例如与独立机台(stand alone equipment))整合的测量仪器所提供。原位监测可以使用连接到蚀刻反应器的测量仪器来执行。
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合所附图式,作详细说明如下。
附图说明
图1为依据本发明的一实施例所绘示的用于监测一蚀刻工艺的一方法的一流程图。
图1A绘示一原位量测工具,其中具有干涉与/或光谱测量组件与一光学电磁发射(optical electromagnetic emission,OES)监测组件。
图1B为一工艺腔体的一示意图,绘示用于从工艺腔体中的一晶圆到一光收集(light collecting)装置的反射光的一路径。
图2A与图2B为依据本发明的一实施例所绘示的用于监测一掩模移除工艺的一方法的一流程图。
图3A与图3B绘示具有被移除的一图案化掩模的一基底的剖面示意图。
图4绘示在一掩模移除工艺中,垂直蚀刻率与水平蚀刻率之间的相关性的一图形。
图5A与图5B为依据本发明的另一实施例所绘示用于监测一掩模移除工艺的一方法的一流程图。
图6A与图6B为一系列的图形,绘示在一掩模移除工艺中从一基底反射的辐射的光谱强度的改变。
图7为一图形,绘示反射的辐射的光谱的最小值的光谱位置,与被移除的图案化掩模的特征的宽度之间的相关性。
图8A与图8B为依据本发明的另一实施例所绘示的监测一材料层蚀刻工艺的一方法的一流程图。
图9A与图9B为剖面示意图,绘示具有被蚀刻材料层的一基底。
图9C绘示在稳定的强度周期中一干涉条纹的强度对时间的一图形。
图9D绘示一干涉条纹的强度对频率的一图形。
图9E绘示在逐渐减少的强度周期中一干涉条纹的强度对时间的一图形。
图9F绘示在图9E中之时间T10到T11之间一干涉条纹的强度对频率的一图形。
图9G绘示在图9E中的时间T10到T12之间一干涉条纹的强度对频率的一图形。
图9H绘示在图9E中之时间T10到T13之间一干涉条纹的强度对频率的一图形。
图10绘示被用于执行部分的本发明的具有一原位监测模块的一蚀刻反应器的一示意图。
图11绘示一种被用于实施本发明的某一部分的示范的工艺系统一示意图。
10:程序
11、12、13、14、15、16、18:步骤
50:量测模块
54:光纤
55:信号收集装置
56、73:信号线
59:光谱仪
60:处理器
61:控制器
71:窗口
72:反射之光
74:光线形成光学组件
75:晶圆
76:等离子
100:程序
101、102、104、105、106、108、110、112、114、116、118、120、122:步骤
R1、R2、R3、R4、R5、R6、R7、R8、R9:光线
200:基底
202:层
203:区域
205:表面
206、207、216、218:宽度
209:箭号
210:图案化掩模
214、215、224:高度
220、230、250:结构
221、205:表面
275:深度
300:图形
304、306:位置
314:角度
316:箭号
400:程序
401、402、404、406、408:步骤
510、520:图形
511:光谱位置
513、515:波长
518:最小值
600:图形
606、608、610、612:测量
616:箭号
650:程序
651、652、654、656、658、660、662、664、666、668、670:
步骤
800:TRANSFORMATM系统
801:主体
802:工艺腔体
803:转移腔体
804:机器手臂
805:工厂接口
806:工具
T1、T2、T3、T4、T5、T10、T11、T12、T13、T14:时间
t1、t2、t6、t7、t8、t9:周期
f1、f2:频率
I3、I4、I5、I6:波峰强度
304、305、306、307:波峰
1000:蚀刻反应器
1006:固定组件
1007:支持系统
1010:工艺腔体
1012:天线
1012a、1012b:组件
1014:晶圆
1015:边环
1016:基底底座
1018:等离子电源
1019、1024:匹配网络
1020:介电盖板
1022:偏压电源
1026、1028:入口
1029:环状气体通道
1030:器壁
1032:加热组件
1034:电性接地
1036:真空泵
1037、1039:导管
1038:气体面板
1040:控制器
1042:存储器
1044:中央处理器
1046:支持电路
1049:气体导管
1050:混合物
1053:反应体积
1055:等离子
1062:升降机械
1063:节流阀
1066:散热片
1060:静电吸盘
1072:升降柱
1076、1078:电源供应器
1080:限制电极
1088:导孔
具体实施方式
本发明是有关于用于监测一蚀刻工艺的一方法与一系统。该蚀刻工艺可以使用量测信息(例如,关键尺寸(CD),或层的厚度等)被监测。该量测信息是通过在该蚀刻工艺中所实施的关于该蚀刻工艺的离位(ex-situ)与原位(in-situ)监测(例如,光谱分析、干涉测量法、散射测量法,与反射测量法等)所提供。离位量测信息与原位监测可以被用于监测,例如蚀刻工艺的一终点、形成于一基底的蚀刻纵深分布的一特征(feature),以及一集成电路工艺的错误检测(fault detection)等。一集成电路工艺的错误检测,可以包括,例如监测该蚀刻工艺,以决定当被蚀刻的特征(feature)的关键尺寸(CD)落在一预设的范围之外时,来终止该工艺。
离位(ex-situ)量测在蚀刻工艺之前或之后被实施。离位量测信息被提供到一蚀刻反应器,并且被使用于蚀刻工艺与原位(in-situ)监测中。离位(ex-situ)测量可以使用与蚀刻反应器(例如与蚀刻系统相同的模块)整合的测量仪器被执行,或是通过未与蚀刻反应器(例如,与独立机台整合的测量仪器所提供。原位监测可以使用连接到蚀刻反应器的测量仪器来执行。
图1绘示本发明用于监测一蚀刻工艺的程序10的方法的一流程图。程序10包括用于测试与生产基底的方法的一系列的工艺步骤。
程序10从步骤11开始,接着执行步骤12。在步骤12中,量测信息是通过离位(ex-situ)的方式提供给蚀刻工艺。在一实施例中,该离位量测信息包括,例如关键尺寸(CD)信息,亦即该结构的宽度,以及例如一图案化掩模的高度。离位量测信息通常被提供给一组基底中的每一基底。此离位测量可以使用与蚀刻反应器(例如与蚀刻系统相同的模块)整合的测量仪器被执行,或是通过未与蚀刻反应器(例如,与独立机台整合的测量仪器所提供。
在一实施例中,此离位量测信息,例如可通过使用应用材料公司(Applied Material of Santa Clara,California)的CENTURATM工艺系统的TRANSFORMATM量测模块来测定。TRANSFORMATM量测模块使用一或多种非破坏性(non-destructive)光学测量技术,例如光谱分析、干涉测量法、散射测量法、反射测量法,以及椭圆率测量法等。测得的参数包括,例如基底上所制造的结构的图案尺寸(topographicdimension)与分布(profile),以及已图案化或毯覆式(blanket)介电与导电膜的一厚度。用于结构的关键尺寸的测量,公知上会测量该基底上多数个区域,例如统计学上有效的多数个区域(例如5个到9个,或是更多个区域),然后加以平均。
或者是,量测信息可以从未与蚀刻反应器整合的测量仪器(例如独立机台),被提供到蚀刻反应器。独立机台可以使用,例如扫描式电子显微镜(scanning electron microscopy,SEM)、原子力量测方法(atomic force metrology,AFM)、光学量测,以及表面分布(表面profiling)等方式提供量测信息。
离位量测信息可以包括,例如有关基底上所制造的结构的图案尺寸(topographic dimension)与分布(profile)的信息。此外,毯覆式(blanket)膜或已图案化膜(例如介电与导电膜)的膜厚度,以及关于形成于该基底上的膜厚度均匀度(uniformity)的图案(topographic)结构的图像(mapping)信息(例如该基底上所测量的位置)也可以被提供。
因为离位测量被用以设定其后的工艺的参数,因此所测得资料中任何异常会引起工艺参数不正确的设定,而获得不正确处理的基底。该些异常可以来自,例如不良的光刻胶图案化结果,或是由局部(local)晶圆表面状况或缺陷(defect)造成的测量误差。为了避免具有使用不正确的测量资料所建立的工艺参数,一光学偏离滤除器(optional outlierfilter)可以被用以从该资料组移除任何不正确的离位资料测量。在步骤13(绘示于虚线框格中以表示此步骤不一定是必须的(optional)),一偏离滤除器被用于离位测量资料,以移除任何偏离值资料点。偏离滤除器也可以检查测量结果之,例如平均值(means)、最大值(maximum)、最小值(minimum)、标准差(standard deviation),以及配适度(goodness of fit)等,以决定是否基底已具有良好的光刻胶图案。步骤14通过询问(query)基底是否通过“良好”图案化的基准(criteria),以决定基底是否该接受步骤15中所叙述的蚀刻工艺。若步骤14中的结果并未通过“良好”图案化的基准,基底并不会接受蚀刻工艺,而将会被系统加上标记(marked)以便被再测量(remeasurement)、再检查(re-examination),或是再加工(rework)。
在步骤15中,蚀刻工艺被执行。用以执行蚀刻工艺的蚀刻反应器包括,例如被用于实时测量与蚀刻工艺相关的一原位监测设备的计量(metrics)。在蚀刻工艺中执行原位实时(real time)监测,有助于决定,例如,与蚀刻率无关的蚀刻工艺的终点。此外,此原位监测可以提供与蚀刻工艺相关的错误检测(例如,蚀刻工艺中偏离一预定范围之外的不均匀度(non-uniformity)),以及提供相关于使用基底的离位图像(mapping)所确认的元素的特定关键尺寸(CD)信息。
在一实施例中,原位量测工具可以包括,例如应用材料公司(Applied Material of Santa Clara,California)的EyeDTM量测模块。如图1A所示,EyeDTM腔体模块50,包括,例如二部分。其中一部分包括,例如一干涉(interferometric)与/或光谱(spectrometric)测量组件,用以测量结构的膜厚度与/或宽度。另一部份包括,例如一光学电磁发射(optical electromagnetic emission,OES)监测组件,以监测该腔体等离子状态。
干涉与/或光谱测量组件可以被用以执行,例如一干涉监测技术(例如,计算时域(time domain)中的干涉条纹(interference fringe),以及测量条纹的频域(frequency domain)的位置等),以实时测量形成于基底上的结构的蚀刻纵深分布。从一晶圆75反射的光72被光线形成光学组件(beam-forming optics)74所收集,并且该信号通过一信号线73被传输到一光谱仪59。该信号被光谱仪59与一处理器60所分析。分析结果可以被用以产生控制指令,以通过控制器61来控制反应器腔体。若该组件被用以控制一蚀刻工艺的终点,该终点被称为“干涉终点”(interferometric endpoint,IEP)。从宽频(broadband)光源58,例如水银灯(mercury lamp)、氘气灯(deuterium lamp)或是氡气灯(xenon lamp)来的外部光线,可以通过光纤(fiber optic cable)54作为入射到晶圆的光线。此光源可以被用于作为或取代等离子的光源。通过EyeDTM的膜厚度测量与控制(或终点)的详细说明,例如已经揭露于美国专利号6,413,867的专利中(其标题为“使用光谱干涉测量法的膜厚度控制”,公开于2002年7月2日),以及美国专利申请号10/286,402的申请案(其标题为“基底蚀刻工艺的干涉终点决定”,申请于2002年11月1日)。该组件也可以使用一或多种非破坏性光学测量技术,例如光谱分析、散射测量法、反射测量法等,以测量结构的宽度。如图1B所示,从晶圆75表面与接口反射的光72通过一窗口71,被光线形成光学组件74与信号线73所收集。该信号可通过一光谱仪(spectrometer)59与一处理器60来分析(绘示于图1A),以决定结构的宽度。以下,如何决定结构的宽度将会被详细说明叙述。图1A与1B中的图标并不是以实际大小等比例绘制,而是被简化了来用于举例说明。若想更加了解本发明,请同时参考图3A与图3B。
另一EyeDTM腔体模块,包括一光学电磁发射(OES)用以监测腔体等离子状态。光学电磁发射(OES)监视器可以被用以决定腔体匹配(chamber matching)程度,以及工艺与/或系统错误的来源。从等离子76发出的光学电磁发射(OES)信号,通过一信号收集装置55来收集,并且该信号通过一信号线56来传输,并通过光谱仪59与处理器60来分析信号。分析结果可以被用以产生控制指令,以及通过控制器61被用来控制该反应器腔体。此应用的详细说明已被揭露于美国专利申请号10/628,001的申请案(其标题为“通过全面(comprehensive)等离子监测的半导体等离子腔体匹配与错误来源的自动化决定方法”,申请于2003年7月25日)。
在图1的步骤16中,程序10会询问是否该组基底中所有基底都已经被处理过。若步骤16的询问的答案为否,在程序10中会接着进行步骤12。若步骤16的询问的答案为是,在程序10中会接着进行步骤18。程序10终止于步骤18。
在一蚀刻工艺的实施例中,通过在移除工艺中,执行离位关键尺寸(CD)量测信息与原位监测,可以监测光刻胶移除工艺的一终点。在一实施例中,原位监测使用了移除工艺的一垂直蚀刻率与一水平蚀刻率之间的一相关性的计量法(metric)来实施。在另一实施例中,原位监测使用了从基底反射的辐射的光谱的最小值的一光谱位置,以及图案化掩模的结构的宽度之间的一相关性的计量法来实施。
可用于简化移除工艺的一工艺系统包括,例如用于测量图案化掩模的结构的关键尺寸(CD)(例如宽度)的一量测模块,以及用于执行蚀刻工艺(例如移除工艺)的一蚀刻反应器。蚀刻反应器包括,例如用于监测被蚀刻的结构的一计量法的一原位模块(例如从基底反射的辐射的光谱强度,或垂直蚀刻率与水平蚀刻率之间的一相关性)。
图2A与图2B绘示用于监测程序100的掩模移除工艺的终点的一蚀刻工艺实施例的一流程图。程序100包括,例如一系列可用以测试与生产基底的工艺步骤。
图3A与图3B绘示使用本发明方法移除掩模的基底的剖面示意图。图3A与图3B中的图标并不是以实际大小等比例绘制,而是被简化了来用于举例说明。若想更加了解本发明,请同时参考图2A与图2B以及图3A与图3B。
程序100从步骤101开始,接着执行步骤102。在步骤102中,例如于图3A的基底200(例如硅晶圆)上形成一层202与一图案化掩模210。为了方便起见,此处相关的示意图、剖面图以及各别的参考数字是有关于一测试或生成的(product)基底200。
层202可以包括一单一材料膜(single material film),例如,介电膜、金属膜,或其它任何用于集成电路的膜,或是未图案化(如图所示)或是已图案化的一多层膜堆(multi-layered film stack)。在接下来的蚀刻工艺中,层202可以使用图案化掩模210作为一蚀刻掩模被蚀刻。层202可以通过使用任何公知的薄膜沉积技术,例如原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD),以及等离子辅助化学气相沉积(PECVD)等,加上,例如CENTURATM、ENDURATM中各别的工艺反应器,或是其它应用材料公司(Applied Material of Santa Clara,California)的工艺系统来形成。
图案化掩模210包括,例如一光刻胶掩模、一非晶碳(amorphouscarbon,α-carbon)掩模、一硬掩模(hard mask),或是应用材料公司(Applied Material of Santa Clara,California)的一先进图案化膜(advanced patterning film,APFTM)等。在一实施例中,图案化掩模210包括一光刻胶掩模。请参照图3A,图案化掩模210具有一高度214,并包括各自具有宽度206与218的结构220与230(例如线(line)、壁(wall)、行(column)等)。宽度206小于宽度218,或是图案化掩模210中任何其它结构的一宽度。因此,结构220具有最小宽度(亦即关键尺寸(CD)),因此通常为图案化光罩的结构中最难制造之处。
光刻胶掩模210可以使用,例如一微影工艺来制造,其中被形成的装置的一特征(feature)的图案以光学方式被转换到一光刻胶层上。随后光刻胶被显影(developed),然后光刻胶未曝光(expose)的部分被移除,而剩下的光刻胶则形成图案化掩模210。当图案化掩模210为一光刻胶掩模时,层202可以选择性地更包括,例如一抗反射涂布(optional anti-reflective coating,ARC)。随着图案化掩模的结构的尺寸日益缩小,图案转换工艺中的不准确度(inaccuracy)会受限于微影工艺固有(inherent)的光学限制(optical limitation),例如光的反射而有增加的趋势。通过控制用于暴露光刻胶的光的反射,光学抗反射涂布(ARC)可以增加微影工艺的分辨率(resolution)。在一实施例中,微影工艺形成的结构220,具有的最小宽度206大约在80nm到120nm之间。形成图案化掩模210的工艺被叙述于,例如美国专利申请号10/218,244的申请案(申请于2002年8月12日,代理人案号为7454),在此一并列入参考。
在步骤104中,基底200被检测(inspect),并且图案化掩模210的结构的图案尺寸(topographic dimension)被测量。在一实施例中,测得的尺寸包括,例如关键尺寸,亦即结构220的宽度206,以及图案化掩模210的高度214。通常一测试基底组(batch)中的每一测试基底200的宽度206与高度214会被测量。此测量可以使用例如蚀刻反应器相关的一离位量测工具来执行。在一实施例中,此光学测量工具包括,例如应用材料公司(Applied Material of Santa Clara,California)的CENTURATM工艺系统的TRANSFORMATM量测模块。
TRANSFORMATM量测模块可以使用一或多种非破坏性(non-destructive)光学测量技术,例如光谱分析、干涉测量法、散射测量法、反射测量法,以及椭圆率测量法等。测得的参数包括,例如基底上所制造的结构的图案尺寸(topographic dimension)与分布(profile),以及已图案化或毯覆式(blanket)介电与导电膜的一厚度。用于结构220的关键尺寸(CD)的测量,公知上会测量该基底200上多数个区域,例如统计学上有效的多数个区域(例如5个到9个,或是更多个区域),然后加以平均。
因为微影工艺本身的光学限制(optical limitation),传统上,光刻胶图案化掩模210,包括了其下方的层,例如,层202中将被蚀刻的特征的结构的放大后的图像(scaled-up image)(例如结构220与230等)。因此,在微影工艺之后,以及在该掩模可以用作为蚀刻掩模之前,光刻胶掩模210必须经由移除被减少到结构的宽度。
在步骤105中,一偏离滤除器(outlier filter)可以被选择性地(optionally)用于高度值与宽度值,因此偏离值的资料点可以从资料组中被移除。此偏离值可能由,例如不良的局部晶圆表面状况或是缺陷(defect)所引起。若偏离值没有移除,则可能会导致所建立的基底工艺参数有错误。
在步骤106中,图案化掩模210被移除以减少结构220与230的宽度到一默认值。如图3B所示,步骤106将结构220移除到一预设的宽度216。同时,步骤106中会以相同于宽度206被减少的比例(ratio),减少图案化掩模210中任何其它结构(例如,结构230的宽度218)的宽度。一移除(trim)工艺包括,例如一等向性(isotropic)蚀刻工艺(例如等向性等离子蚀刻工艺),其具有一高侧边(lateral)蚀刻率,并且对于图案化掩模210的材料(例如光刻胶)与下方的层202的材料之间具有一高选择比(selectivlty)。传统上,在移除工艺后,图案化掩模210的特征的宽度(例如结构220与230等)会缩减大约35%到80%之间。通过得知图案化掩模210的宽度与高度,本方法会在等向性蚀刻被执行时设立一移除时间(trim time)。移除时间的值与被移除的总量有关。
步骤106可以使用一蚀刻反应器被执行,例如,CENTURATM系统中的分立等离子源DPS II(decoupled plasma source,DPS)模块。分立等离子源DPS II模块(以下将会参考图10被叙述)使用一感应源(inductive source)(亦即天线)来产生一高密度等离子。
在一实施例中,光刻胶掩模210可以通过以下方式被移除。使用分立等离子源DPS II模块,以流率(flow rate)为2sccm到200sccm之间的溴化氢(hydrogen bromide,HBr)、流率为5sccm到100sccm之间的氧气(oxygen,O2)(因此,例如溴化氢∶氧气的流量比为1∶10到10∶1)、流率为10sccm到200sccm之间的氩气(argon,Ar)。施加大约200W到1000W之间的电源到一感应耦接天线(inductively connected antenna),并施加0W到300W之间的一阴极偏压电源(cathode bias power)。将晶圆底座(wafer pedestal)的温度保持于0℃到80℃之间,并将工艺腔体的压力维持于2mTorr到30mTorr之间。在一工艺的实施例中,溴化氢(HBr)的流率为60sccm,氧气(O2)的流率为28sccm(亦即溴化氢∶氧气的流量比大约为2∶1),而氩气(Ar)的流率为60sccm。并且施加600W的电源到一感应耦接天线,阴极偏压电源为100W。并保持晶圆底座的温度为60℃,压力为4mTorr。
在一实施例中,蚀刻反应器,更包括一原位量测工具,用以实时测量关于被蚀刻的基底的结构的高度(例如,结构220的高度214)之一计量。在移除工艺中,原位量测工具使用一宽频辐射源(broadbandradiation source)来产生波长范围在紫外光(ultra-violet)与深紫外光之间(大约在200nm到800nm之间)的辐射(亦即发射辐射)来照射基底200。通常,此辐射实质上垂直于基底200,并且照射的区域的图案尺寸(topographic dimension)一般大约小于1mm。此外,原位测量工具照射基底200上与具有关键尺寸,例如宽度206的结构(例如结构220)的一区域。
为了增加测量的准确度(accuracy),入射辐射的强度可以选择性地被调变(modulate)与/或被脉冲化,并且辐射可以选择性地被极化。入射辐射的频率的调变大约为10Hz。入射辐射可以使用一截波器(chopper)被脉冲化。在一实施例中,可以得到使用入射辐射,在大约垂直于结构220的侧壁(sidewall)的方向(如图3B中箭号209所示)线性极化(linearly polarized)的结果。通常,原位测量工具可用以执行干涉与/或光谱测量(以下将参考图10被叙述)。在一实施例中,此原位测量工具包括,例如应用材料公司(Applied Material of Santa Clara,California)的EyeDTM
例如,由原位(in-situ)测量工具发出的入射辐射(光线(ray)R1)照射到结构220后,部分(光线R3)从表面221被反射回去,而部份(光线R5)则进入结构220。部份的光线R5接着穿过表面205下方结构进入层202(光线R6)而被其吸收,部分则陷于(trapped in)(光线R7)结构220(例如光刻胶)的材料中而被其吸收,而部分则被反射回去(光线R4)。相对地,一部份的入射辐射(光线R2)会照射到接近结构220的区域203,其中一部分会进入层202(光线R8)而被吸收,而一部分则会从层202反射回去(光线R9)。
程序100使用原位测量工具以执行一干涉测量技术(例如计算时域(time domain)中的干涉条纹(interference fringe),以及测量条纹的频域(frequency domain)的位置等),以测量图案化掩模210的结构220的高度。在一实施例中,原位测量工具在移除工艺中使用由光线R9、R4与R3形成的干涉图像(interference pattern),来测量并决定结构220的高度215相对于结构的一预选择的高度(例如起始(starting)高度214)的改变。在该些测量中,一部份的入射辐射也可以作为参考辐射(reference radiation)。在一实施例中,干涉测量使用辐射的光谱中至少一波长来执行。
在一实施例中,当移除工艺进行时,原位测量工具周期性地实时测量图案化掩模210的结构220的一过渡(intermediate)高度(亦即高度215)(例如,每100毫秒执行一次测量)。移除工艺与测量会持续到过渡宽度207等于或小于预设的宽度216时才会终止。为了增加测量高度215与宽度207的准确度,可以通过使用一些测试基底200重复该些测量,并且被统计地处理(例如平均)。
在步骤108中,移除工艺的介于垂直蚀刻率与水平蚀刻率之间的相关性被定义。此相关性可以使用步骤106中执行的测量结果来定义。在本发明的一实施例中,在移除工艺中水平移除(亦即蚀刻)率会正比于垂直移除率。因此,任何移除工艺配方(recipe)可以通过介于水平蚀刻率与垂直蚀刻率之间的一相对固定比例被描述。此处“水平移除率”与“垂直移除率”各别是有关于图案化掩模210的结构在移除工艺中的一侧边(lateral)蚀刻率以及结构的高度的减少率。
请参照图3B,水平移除率例如,是有关于结构220的宽度206的减少率,而垂直移除率是有关于结构220的高度214的减少率。在移除工艺中,使用原位测量的结果于被移除的图案化掩模210的结构220的垂直尺寸(亦即高度215),此结构的宽度(亦即宽度207)可以被计算。程序100使用具有预移除(pre-trimmed)高度214与起始宽度206的结构220的所计算得的目标高度224与预设的宽度216之间的相关性,于该移除工艺之终点的闭回路(close-loop)控制。因此,结构220可以被控制移除到预设的宽度216。
在步骤110中,提供各别具有图案化掩模210(例如光刻胶掩模)之一组生成的基底。在移除工艺之前,每一生成的基底结构220的宽度206与高度214会被测量。在一实施例中,可以使用相同的测量工具(例如TRANSFORMATM量测模块),以及依照上述步骤104中有关测试基底的相同的方法来执行该些测量。
在步骤112中,使用上述(在步骤108中)所定义的,介于被移除的结构的起始宽度206(在步骤110中被测量)、移除工艺的垂直移除率,以及被移除的结构预设的目标宽度216之间的相关性,每一生成的基底的结构220的目标高度224可以被计算。
在步骤114中,生成的基底的图案化掩模210,可以使用具有用以实时测量掩模210的结构的高度的原位测量工具的一蚀刻反应器被移除。在移除工艺中,原位测量工具实时测量被移除的图案化掩模210(例如光刻胶掩模)的结构220的过渡高度(亦即高度215),并且传输测量结果到蚀刻反应器的一处理器(或控制器)。在一实施例中,移除工艺可通过使用包括上述步骤106中所描述的原位测量工具的分立等离子源DPS II模块来实施。
在步骤116中,若结构220的高度(亦即过渡高度215)已经被移除到在上述步骤112中被计算的目标高度224,则程序100会终止。若有关步骤116的询问的回答为否,程序100则接着进行步骤114以继续移除工艺,以及实时原位测量结构220的过渡高度。若有关步骤116的询问的回答为是,则程序100之后会接着进行一选择性(optional)步骤116。
在步骤118中,层202可以通过使用移除工艺后剩下的图案化掩模210作为一蚀刻掩模被原位蚀刻。在步骤120中,程序100会询问是否该组基底中所有生成的基底都已经被处理过。若步骤120的询问的答案为否,在程序100中会接着进行步骤110。若步骤120的询问的答案为是,在程序100中会接着进行步骤122。程序100终止于步骤122。
图4为依据本发明的一实施例,绘示在步骤106中,在测试基底200上执行的测量结果的一图形300。其中,图形300绘示移除工艺中,图案化掩模210的一结构(例如结构220)的一宽度(y轴302)与该结构的一高度(x轴304)的关系。在图形300中,角度314与水平移除率与垂直移除率之间的一比率有关。测量306与308各别是有关于被移除的结构220的宽度206与高度214。综上所述,测量310与312是有关于被移除的结构220的预设的宽度216与目标高度224。使用图形300(被简化绘示为一线性图形),在步骤106中,目标高度224可以如图所示使用箭号316被计算。
图5A-5B为依据本发明的另一实施例所绘示用于一掩模移除工艺的终点侦测的程序400的一方法的一流程图。
程序400包括一系列的工艺步骤,用于测试与生成的基底以完成该方法。在图2A与图2B以及图5A与图5B中,相同的参考数字被使用于相似的工艺步骤中。若想更加了解本发明,请同时参考图5A与图5B以及图3A与图3B。
程序400从步骤401开始,执行步骤102,接着执行步骤402。在步骤402中,测试基底200被传输到,例如包括原位光学测量工具的一蚀刻反应器(例如CENTURATM系统的分立等离子源DPS II模块),此处可参考前述程序100的步骤104的叙述。程序400使用原位测量工具以执行光谱测量。步骤402使用,例如参考上述步骤106所述的移除工艺,以及配合同时执行从基底200反射的一部份的辐射的光谱强度的测量,来移除图案化掩模210。此处“光谱强度”被用以描述辐射光谱中能量的分布。
在一实施例中,原位测量工具使用从基底200上具有结构220的区域反射的一部份的辐射执行该些测量。移除工艺周期性地被终止(例如每100毫秒一次),以测量被移除的结构220的过渡宽度207。该些测量可以使用,例如参考上述程序100的步骤104所叙述的EyeDTM量测模块被原位(in-situ)执行。
在移除工艺中,从表面221、205与区域203反射回来的辐射的光谱强度(亦即光线R3、R4与R9)并没有明显的改变。然而,结构220选择性地陷住(trap)并吸收波长与结构的过渡宽度207符合的辐射(亦即光线R7)。此外,具有一宽度D,并且从具有一折射系数N的材料被形成的结构220,会选择性地陷住(trap)并吸收具有一波长λMIN正比于λD=N×D的辐射。应当注意,关于具有波长λMIN的辐射被陷在结构220中的现象,与共振(resonance)现象以及该辐射在结构中内部全反射(internal reflection)有关。
为了测量图案化掩模210所照射的结构(例如结构220)的宽度,程序400使用原位测量工具以执行一光谱测量技术(亦即辐射强度对一波长的测量),在移除工艺的一实施例中,原位测量工具定义关于一预选择的参考波长的从基底200反射的辐射的光谱的一最小值的光谱位置λMIN
选择性地陷住入射辐射的一部分(光线R7)的结果是,从具有结构220的区域反射的辐射(光线R3、R4与R9),在波长λMIN具有一低光谱强度(亦即被反射的辐射的光谱的一最小值)。在移除工艺进行中,结构220的宽度D减少,并且较短的波长被陷在结构220中,以及被吸收。因此,在移除工艺中,反射的辐射的光谱的最小值的光谱位置(亦即波长λMIN)会往更短波长的方向改变。
在一实施例中,当移除工艺进行时,原位测量工具周期性地实时测量图案化掩模210的结构220的一过渡(intermediate)高度(亦即高度215)(例如,每100毫秒执行一次测量)。移除工艺与测量会持续到过渡宽度207等于或小于预设的宽度216时才会终止。为了增加测量高度215与宽度207的准确度,可以通过使用一些测试基底200重复该些测量,并且被统计地处理(例如平均)。
在一实施例中,在移除工艺中,原位测量工具实时测量反射的辐射的光谱的最小值λMIN的光谱位置,以及结构220的过渡宽度207。移除工艺与原位测量会持续到过渡宽度207等于或小于预设的目标宽度216时才会终止。为了增加测量宽度207的准确度,可以通过使用一些测试基底200重复该些测量,并且被统计地处理(例如平均)。
在步骤404中,反射的辐射的光谱的最小值λMIN的光谱位置与结构220的过渡宽度207之间的相关性,可以使用在步骤402中执行的测量结果来定义。此相关性可用于实时计算起始宽度206,以及使用在移除工艺中执行的最小值λMIN的光谱位置的原位测量结果来侦测结构220的目标宽度216。
在步骤406中,一组基底中生成的基底的图案化掩模210,可以使用包括用以实时测量反射的辐射的光谱的最小值λMIN的光谱位置的原位测量工具的蚀刻反应器被移除。在一实施例中,步骤406使用的分立等离子源DPS II模块,包括了参考上述步骤402所述的原位测量工具。原位测量工具被调整,以照射在具有被移除的图案化掩模210(例如光刻胶掩模)的结构220的区域的生成的基底上。在移除工艺中,原位测量工具实时测量最小值λMIN的光谱位置,并且传输该些测量结果到蚀刻反应器的一处理器(或控制器)。
在步骤408中,若结构220的高度(亦即过渡高度215)已经被移除到在上述步骤112中被计算的目标高度224,则程序100会终止。若有关步骤408的询问的回答为否,程序400则接着进行步骤406以继续移除工艺,以及实时原位测量结构220的过渡宽度207。若有关步骤408的询问的回答为是,则程序100之后会接着进行一选择性(optional)步骤118。
在步骤118中,层202可以使用移除后剩下的图案化掩模210作为一蚀刻掩模被原位蚀刻。在步骤120中,程序400会询问是否该组基底中所有基底都已经被处理过。若步骤120的询问的答案为否,在程序400中会接着进行步骤406。若步骤120的询问的答案为是,在程序400中会接着进行步骤122。程序400终止于步骤122。
图6A-6B为一系列的图形,绘示在移除工艺中的基底200反射的辐射的光谱强度的改变,依据本发明的一实施例。第一个图形510绘示入射辐射的强度(y轴502)相对于一波长(x轴504)的关系。第二个图形520绘示在移除工艺中,具有结构220之基底200上的一区域反射的辐射的强度(y轴522)对波长(x轴514)的改变。请参照图6B,在时间T1时,在开始移除工艺之前,反射的辐射的光谱强度包括,一最小值518具有对应于结构220的起始宽度206的一光谱位置(亦即波长λMIN)511。当移除工艺继续(如图6B中虚线部分所示),在时间T2时,结构220的宽度减少到过渡宽度207,并且最小值518的光谱位置改变到小于波长511的波长513。在时间T3时,结构220被移除到目标宽度516,因此最小值518的光谱位置减少到波长515。
图7为依据本发明的一实施例,绘示在步骤402中,在测试基底200上执行的测量结果的一图形600。此外,图形600绘示图案化掩模210的结构的一宽度(y轴602),与从具有此结构的基底200上的区域反射的辐射的光谱的最小值的光谱位置(亦即波长λMIN)(x轴604)的关系。在图形600中,测量608与606是有关于波长511与结构220的起始宽度206,并且测量612与610各别是有关于波长515与结构220的目标宽度(波长511与515在参考上述图5B中被叙述)。使用图形600(被简化绘示为一线性图形),在步骤408中,目标高度216可以如图所示使用箭号616被计算。
图8A与图8B绘示一蚀刻工艺的实施例中,用于监测在一材料层中被蚀刻的特征的一程序650的一流程图。程序650包括一系列可用以测试与生产基底的工艺步骤。
图9A与图9B为使用本发明方法所绘示之,具有在一材料层中被蚀刻的特征的一基底的剖面示意图。图9A与图9B中的图标并不是以实际大小等比例绘制,而是被简化了来用于举例说明。若想更加了解本发明,请同时参考图8A与图8B以及图9A与图9B。
程序650从步骤651开始,接着执行步骤652。在步骤652中,例如于图9A的基底200(例如硅晶圆)上形成一层202与一图案化掩模210。为了方便起见,此处相关的示意图、剖面图以及各别的参考数字是有关于一测试或生成的基底200。
层202可以包括一单一材料膜,例如,介电膜、金属膜,或其它任何用于集成电路的膜,或是未图案化(如图所示)或是已图案化的一多层膜堆(multi-layered film stack)。在接下来的蚀刻工艺中,层202可以使用图案化掩模210作为一蚀刻掩模被蚀刻。层202可以通过使用任何公知的薄膜沉积技术,例如原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD),以及等离子辅助化学气相沉积(PECVD)等,加上,例如CENTURATM、ENDURATM中各别的工艺反应器,或是其它应用材料公司(Applied Material of Santa Clara,California)的工艺系统来形成。
图案化掩模210包括,例如一光刻胶掩模、一非晶碳(amorphouscarbon,α-carbon)掩模、一硬掩模(hard mask),或是应用材料公司(Applied Material of Santa Clara,California)的一先进图案化膜(advanced patterning film,APFTM)等。在一实施例中,图案化掩模210包括一光刻胶掩模。请参照图9A,图案化掩模210具有开口(opening),其中一宽度206之一结构250被定义。
光刻胶掩模210可以使用,例如一微影工艺来制造,其中被形成的装置的一特征的图案以光学方式被转换到一光刻胶层上。随后光刻胶被显影,然后光刻胶未曝光的部分被移除,而剩下的光刻胶则形成图案化掩模210。当图案化掩模210为一光刻胶掩模时,层202可以选择性地更包括,例如一光学抗反射涂布(ARC)。随着图案化掩模的结构的尺寸日益缩小,图案转换工艺中的不准确度(inaccuracy)会受限于微影工艺固有(inherent)的光学限制,例如光的反射而有增加的趋势。通过控制用于暴露光刻胶的光的反射,光学抗反射涂布(ARC)可以增加微影工艺的分辨率。在一实施例中,微影工艺形成的结构220,具有的最小宽度206大约在80nm到120nm之间。形成图案化掩模210的工艺被叙述于,例如美国专利申请号10/218,244的申请案(申请于2002年8月12日),在此一并列入参考。
在步骤654中,基底200被检测(inspect),并且图案化掩模210的结构的图案尺寸被测量。在一实施例中,测得的尺寸包括,例如关键尺寸(CD),亦即图案化掩模210的结构250的宽度206,以及被蚀刻的层202的一厚度。通常一测试基底组(batch)中的每一测试基底200的宽度206会被测量。此测量可以使用例如蚀刻反应器相关的一离位量测工具来执行。在一实施例中,此光学测量工具包括,例如应用材料公司(Applied Material of Santa Clara,California)的CENTURATM工艺系统的TRANSFORMATM量测模块。TRANSFORMATM量测模块可以使用一或多种非破坏性(non-destructive)光学测量技术,例如光谱分析、干涉测量法、散射测量法、反射测量法,以及椭圆率测量法等。测得的参数包括,例如基底上所制造的结构的图案尺寸与分布(profile),以及已图案化或毯覆式(blanket)介电与导电膜的一厚度。用于结构220的关键尺寸(CD)的测量,公知上会测量该基底200上多数个区域,例如统计学上有效的多数个区域(例如5个到9个,或是更多个区域),然后加以平均。
在步骤656中,材料层被蚀刻到一预设的深度。如图9B所示,步骤656中,材料层202被蚀刻到一预设的深度275。通常蚀刻工艺包括,例如具有高垂直蚀刻率,以及对于材料层202(例如氧化硅)的材料比上掩模210的材料有高选择比(selectivity)的一非等向性(anisotropic)蚀刻工艺(例如,非等向性等离子蚀刻工艺)。
步骤656可以使用一蚀刻反应器被执行,例如,CENTURATM系统中的分立等离子源DPS II模块。分立等离子源DPS II模块(以下将会参考图10被叙述)使用一感应源(inductive source)(亦即天线)来产生一高密度等离子。
在一实施例中,包括二氧化硅的材料层202可以通过以下方式被蚀刻。使用分立等离子源DPS II模块,以流率(flow rate)为2sccm到200sccm之间的四氟化碳(carbon tetrafluoride,CF4)、流率为10sccm到200sccm之间的氩气(argon,Ar)。施加大约200W到1000W之间的电源到一感应耦接天线(inductively connected antenna),并施加0W到300W之间的一阴极偏压电源(cathode bias power)。将晶圆底座(waferpedestal)的温度保持于0℃到80℃之间,并将工艺腔体的压力维持于2mTorr到30mTorr之间。在一工艺的实施例中,四氟化碳(CF4)的流率为60sccm,而氩气(Ar)的流率为60sccm。并且施加600W的电源到一感应耦接天线,阴极偏压电源为100W。并保持晶圆底座的温度为50℃,压力为4mTorr。
在一实施例中,蚀刻反应器,更包括一原位(in-situ)量测工具,用以实时测量关于被蚀刻的材料层一蚀刻深度(depth)(例如蚀刻深度275)之一计量(metric)。在蚀刻工艺中,原位量测工具使用一宽频辐射源(broadband radiation source)来产生波长范围在可见光(visible)与深紫外光之间(大约在200nm到800nm之间)的辐射(亦即发射辐射)来照射基底200。通常,此辐射实质上垂直于基底200,并且照射的区域的图案尺寸(topographic dimension)一般大约小于1mm。此外,原位测量工具照射基底200上与具有关键尺寸,例如宽度206的结构(例如结构250)的一区域。通过EyeDTM的膜厚度测量与控制(或终点)的详细说明,例如已经揭露于美国专利号6,413,867的专利中(其标题为“使用光谱干涉测量法的膜厚度控制”,公开于2002年7月2日),以及美国专利申请号10/286,402的申请案(其标题为”基底蚀刻工艺的干涉终点决定”申请于2002年11月1日)。
如上述参考资料中所述,蚀刻深度与蚀刻工艺终点可以通过计算反射的光线从晶圆(或基底)表面的建设性(constructive)或破坏性干涉条纹(强度为最大或最小)被决定。一范例干涉条纹趋势(trend)信号图形绘示于图9C中。波峰301到波峰302之间的周期t1(或底部到底部),会等于波峰302到波峰303之间的周期t2。在周期t1(或t2)中被蚀刻的材料厚度等于λ/2(λ为被监测的波长)。相同的周期表示一稳定的(steady)蚀刻率与固定的(constant)波峰301、302与303的波峰强度I1代表固定的信号强度。绘示于图9C中介于时间T3到T4之间的信号,可以使用一离散傅利叶变换(discrete fourier transformation,DFT)将强度对时间的图形转换成一强度对频率的图形被处理。如图9D所示,若强度对时间的为正弦曲线的图形,所转换成的强度对频率的图形是为一垂直线C1在频率f1。若图9C的强度图不为正弦曲线,所转换成的图形会像图9D中的曲线C2一样,具有一波峰在频率f1。f1为时间t1的倒数(1/t1),其为监测波长的一半(λ/2)的蚀刻时间。因为强度对时间曲线对时间是较宽广的,因此不容易准确的决定波峰301与302的位置以决定时间T3与T4。若没有精确决定T3与T4,t1(蚀刻时间)值不能被精确计算。相反的,离散傅利叶变换(DFT)强度对时间的曲线是较窄的,而可用以产生该图形较精确的波峰308,以精确的决定f1,其为t1的倒数(1/t1)。因为周期t1等于周期t2,时间T3到时间T5(在时间T4之下)所选择的一延续时间(duration time),将只会产生一波峰频率f1
然而,在许多情形中,干涉(interference)趋势信号图形并不像图9C一样理想。依据本发明的一实施例,一干涉条纹强度对时间的非理想的图形被绘示于图9E中。介于波峰304到波峰305之间的周期t7,大于介于波峰305到波峰306之间的周期t8。周期的减少代表蚀刻率的增加,可能是由于蚀刻负载效应(etch loading effect)所引起。波峰304、305、306与307的波峰强度I3、I4、I5与I6,也会随着蚀刻时间而减少,其代表反射的信号亦随着时间减少。蚀刻率随着时间的增加,伴随着信号的变小,可以在接触点(contact)、孔(via)与沟渠(trench)蚀刻中被观察到。图9F中绘示了,图9E的图形介于时间T10与T11之间的部分,经由离散傅利叶变换(DFT)的结果。因为介于周期T10与T11之间的周期t6,小于全部的(full)强度周期(峰对峰(peak to peak)),离散傅利叶变换(DFT)的结果并不会导致如图9D所示的一频率最大值。若对时间T10与T12之间的信号执行离散傅利叶变换(DFT),得到的强度对频率图形会具有一最大值f2(1/t7),而可以对周期t7提供一精确的测量。然而,若对时间T10与T12之间的信号执行离散傅利叶变换(DFT),得到的强度对频率图形不会只具有单一最大值,而会得到如图9H所示的由两个波峰所加成之一曲线的一组合结果。因此,如何选择适当的时间窗来执行离散傅利叶变换是相当重要的。对于图9E中所示的强度曲线,使用一适当的时间窗宽度来执行离散傅利叶变换以精确地决定蚀刻时间是相当重要的。对于具有逐渐增加的蚀刻率的工艺,用于离散傅利叶变换的时间窗需要随着时间缩短。同样地,对于具有逐渐减少的蚀刻率的工艺,用于离散傅利叶变换的时间窗需要随着时间增加。
在一实施例中,在蚀刻工艺中,原位测量工具周期性地实时测量在材料层202中被蚀刻的结构250的一过渡(intermediate)蚀刻深度(亦即蚀刻深度265)(例如,每100毫秒执行一次测量)。蚀刻工艺与测量会持续到过渡深度等于预设的深度275时才会终止。
在步骤658中,用于该蚀刻工艺的垂直蚀刻率被定义。此蚀刻率通过在步骤656中所执行的测量结果来定义。蚀刻深度与蚀刻率可以通过追综(track)在一时间序列(time serie)中一特定波长(λ)下的反射条纹(reflectance fringe)被测量。例如,当反射图案(reflectance pattern)符合一波周期(wave cycle)时,该蚀刻深度等于波长的一半(λ/2)。因此,通过将蚀刻深度与延续时间相除,可以得到蚀刻率。
在步骤660中,提供各别具有图案化掩模210(例如光刻胶掩模)的一组生成的基底。在蚀刻工艺之前,每一生成的基底的宽度206与层202厚度会被测量。在一实施例中,可以使用相同的测量工具(例如TRANSFORMATM量测模块),以及依照上述步骤654中有关测试基底的相同的方法来执行该些测量。
在步骤662中,每一生成的基底的结构250的目标蚀刻率可以通过使用上述(在步骤108中)定义的,介于被蚀刻的结构的起始宽度206(在步骤660中被测量)、蚀刻工艺的垂直蚀刻率,以及被蚀刻结构的预设的目标蚀刻深度265之间的相关性被计算。
在步骤664中,生成的基底的图案化掩模210,可以使用具有用以实时测量掩模210的结构的高度的原位测量工具的一蚀刻反应器被移除。在蚀刻工艺中,原位测量工具实时测量被蚀刻的图案化掩模210(例如光刻胶掩模)的结构250的过渡高度(亦即高度275),并且传输测量结果到蚀刻反应器的一处理器(或控制器)。在一实施例中,蚀刻工艺可通过使用包括上述步骤656中所描述的原位测量工具的分立等离子源DPS II模块来实施。
在步骤664中,结构250,通过使用包括原位测量工具用以实时测量结构250的蚀刻纵深分布的一蚀刻反应器,被形成于生成的基底的材料层202中。在蚀刻工艺中,原位测量工具实时测量被蚀刻的结构250的过渡高度(亦即高度275),并且传输测量结果到蚀刻反应器的一处理器(或控制器)。在一实施例中,在一实施例中,蚀刻工艺可通过使用包括上述步骤656中所描述的原位测量工具的分立等离子源DPS II模块来实施。
在步骤666中,程序650会询问是否结构250的蚀刻深度(例如过渡深度265)已经被蚀刻到上述步骤662中所计算的目标深度275。若步骤666的询问的答案为否,程序650会接着进行步骤664,以继续蚀刻工艺与实时原位测量结构250的过渡高度275。若步骤666的询问的答案为是,程序650终止于步骤668。
在步骤668中,程序650会询问是否该组基底中所有生成的基底都已经被处理过。若步骤668的询问的答案为否,在程序650中会接着进行步骤660。若步骤668的询问的答案为是,在程序650中会接着进行步骤670。程序650终止于步骤670。
图10为依据本发明的一实施例,绘示用以实施本发明的一分立等离子源DPS II蚀刻反应器1000的一示意图。分立等离子源DPS II反应器1000包括,例如应用材料公司(Applied Material of Santa Clara,California)的一与半导体晶圆工艺系统整合的CENTURATM的一工艺模块。在本实施例中所绘示的反应器1000只是作为一实施例,并不能用以限制本发明的范围。
反应器1000通常包括,例如一工艺腔体1010,其中具有在一导电体(conductive body)(例如器壁(wall))1030中的一基底底座1016,以及一控制器1040。
腔体1010包括,例如一实质上平坦的介电盖板(ceiling)1020。在本发明其它实施例中,腔体1010可以具有其它形式的盖板。例如,一圆顶状(dome-shaped)盖板。在盖板1020上配置有一天线1012,包括一或多个感应线圈(inductive coil)组件(图中绘示了两个同轴(co-axial)组件1012a与1012b)可以被选择性地控制。天线1012通过一第一匹配网络1019,连接到一等离子电源1018。传统上,等离子电源1018可以产生最大3000W、具有一可调(tunable)频率范围为50kHz到13.56MHz的电源。
基底底座(阴极)1016通过一第二匹配(matching)网络1024,被连接到一偏压电源1022。偏压电源1022通常包括,例如可以产生频率约为13.56MHz、最大功率约为500W的连续或脉冲电源。在其它实施例中。电源1022包括,例如一直流(DC)或脉冲直流(pulsed DC)电源。
控制器1040包括,例如一中央处理器(CPU)1044、一存储器1042,以及中央处理器1044的支持电路1046。控制器1040可用于,例如简化工艺腔体1010的蚀刻工艺的成分的控制,以下将会有更详细的说明。
蚀刻气体(etchant gas)与一钝化气体(passivation gas)从一气体面板1038被提供到工艺腔体1010。传统上,蚀刻气体通过一或多个位于基底底座1016上的入口(inlet)1026(图上绘示了两个入口)被提供。钝化气体从气体面板1038通过多个入口1028(例如开口、注入器(injector)等)被提供。入口1028(图上绘示了两个入口)通常实质上等距地环绕(equidistantly around)于大约与被蚀刻的半导体晶圆1014共平面(coplanar)的基底底座1016旁。入口1028的位置与实施将选择,以便在晶圆1014的一周边区域(例如接近边缘的环状(annular))提供钝化气体的浓度的高度控制。
蚀刻气体与钝化气体,各别使用不同的气体导管(conduit)(导管1037与1039)被传输到工艺腔体1010中,并且在二者未被分散在腔体的反应体积(reaction volume)1053中之前不会被混合。在所绘示的实施例中,蚀刻气体通过使用一环状气体通道(channel)1027,被提供到入口1026。类似地,钝化气体通过使用一环状气体通道1029被提供到入口1028。气体通道1027与1029可以被形成于器壁1030中,或是被连接到器壁1030气体环(ring)(如图10所示)。在工艺腔体1010中,蚀刻气体与钝化气体形成一气状(gaseous)混合物1050。在蚀刻工艺中,气状混合物1050通过从等离子源1018到天线1012施加电源,被游离成一等离子1055。
腔体1010中的气体压力,可通过使用一节流阀(throttle valve)1063与一真空泵1036被控制。器壁1030的温度可以通过使用穿过器壁1030的含液态(liquid-containing)导管(未绘示)来控制。传统上,腔体器壁1030包括,例如一金属(例如铝(aluminum,Al)、不锈钢等),并且被连接到一电性接地1034。
在运作中,晶圆1014的温度可通过稳定基底底座1016的一温度来控制。在一实施例中,一后侧气体(例如氦气(helium,He))从一气体源极,通过一气体导管1049,被提供到形成于晶圆1014下的底座表面中的通道。后侧气体被用以实施底座1016与晶圆1014之间的热转换(heat transformation)。在工艺中,底座1016可以通过一内建电阻加热器(embedded resistive heater)1032被加热到一稳定态(steady-state)温度,然后氦气可用以均匀加热晶圆1014。通过此热控制,晶圆1014可以被保持在介于大约0℃到350℃之间的一温度。
在一实施例中,基底底座1016包括,例如一静电吸盘(electrostaticchuck)、一边环(edge ring)1015、电阻加热器1032、一散热片(heatsink)1066,以及一固定组件1006。静电吸盘1060包括,例如至少一限制电极(clamping electrode)1080,并且通过一吸盘电源供应器1076来控制。电阻加热器1032通常包括,例如至少一加热组件1032,并且通过一加热电源供应器1078被调整。在另一实施例中,基底底座1016包括,例如一底部缩限环(susceptor damp ring)、一机械吸盘等基底保持机制(retention mechanism)。
在运作中,升降机械(lift mechanism)1062被用以举起晶圆1014离开基底底座1016,或是降低基底到基底底座上。通常,升降机械1062包括,例如多数个升降柱(lift pin)1072(图中绘示了一个升降端)穿过各自的导孔(guide hole)1088。工艺腔体1010也包括,例如传统的系统,用于工艺控制、内部诊断(diagnostic),以及终点侦测等。此系统被集合绘示成一支持系统1007。
熟悉此技艺者应当知道,其它蚀刻腔体的设计包括,例如具有远程(remote)等离子源的腔体,以及电子回旋共振(electron cyclotronresonance,ECR)等离子腔体等,也可以被用以实施本发明。
为了更方便地控制工艺腔体1010,控制器1040可以为一任何形式的一般用途的计算机处理器,例如可以被用于工业设定,用以控制各个腔体与子处理器。中央处理器(CPU)1044的存储器或计算机可读取媒体1042可以被一或多个可读取存储器,例如随机存取存储器(random access memory,RAM)、只读存储器(read only memory,ROM)、软盘(floppy disk)、硬盘(hard disk),或是任何其它形式的当处(local)或远程数字储存装置。支持电路1046被连接到中央处理器1044,用以以一传统的方法支持处理器。该些电路包括快取(cache)、电源供应器、时脉(clock)电路、输入/输出电路与子系统等。本发明方法,通常可以以一软件程序(routine)储存于存储器1042中。或者是,此软件程序也可以通过位于中央处理器1044控制的硬件外的一第二中央处理器(未绘示)被储存与/或执行。
在一实施例中,与一离位量测工具整合的一蚀刻系统,而可用以测量关键尺寸(CD)与膜厚度,可以参考应用材料(Applied Material)公司的TRANSFORMATM系统800(请参考图11)。有关应用材料(AppliedMaterial)公司的TRANSFORMATM系统的详细描述,可参考美国专利申请号10/428,145的申请案(其标题为“在半导体装置工艺中用以控制蚀刻工艺的方法与设备”,申请于2003年5月1日)。该系统包括,一腔体或主体(mainframe)801,例如CENTURATM工艺系统,用以结合多数个工艺腔体802,例如,传统的蚀刻反应器,例如分立等离子源DPSIITM模块、硅蚀刻腔体,以及一或多个转移腔体803(也叫做承载“loadlocks”)。在本发明的一实施例中,4个蚀刻工艺腔体802被固定到主体801。在一实施例中,3个蚀刻工艺腔体802被用于蚀刻,而一个则选择性地被用于蚀刻后清洗(亦即在蚀刻后,从晶圆上移除光刻胶聚合物与其它残余物)。一机器手臂(robot)804,在主体801中用以在工艺腔体802与转移腔体803之间传输晶圆。转移腔体803被连接到一工厂接口805,亦称做一迷你环境洁净室(mini environment),其中维持了一个被控制的环境。一量测(metrology)(或测量(measurement))工具806,可以被整合在承载区(load lock area)805中,并具有高速资料收集与分析能力,因此进入系统800的每一晶圆可以在蚀刻处理之前或之后被测量厚度。量测工具806也可以被安装在工艺系统800中不同的位置。一或多个工艺腔体802也可以是沉积腔体,因为本发明也可以实施于沉积工艺中。
本发明以可使用其它蚀刻工艺来实施,其中熟悉此技艺者可以利用此处所揭露的内容,在没有偏离本发明的范围下,调整参数以获得可接受的特征。
本发明以可使用其它半导体晶圆来实施,其中熟悉此技艺者可以利用此处所揭露的内容,在没有偏离本发明的范围下,调整参数以获得可接受的特征。
虽然前述的实施例,部分是有关于在移除图案化掩模中的终点侦测,但是本发明亦可用于该集成电路中的其它装置与结构的制造。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰。

Claims (47)

1.一种用于监测一蚀刻工艺的方法,其特征是,该方法包括:
(a)对一基底执行一预蚀刻测量,以产生一预蚀刻量测信息;
(b)将该基底与该预蚀刻量测信息提供给一蚀刻反应器;
(c)在该蚀刻反应器中使用一蚀刻工艺来蚀刻该基底,其中以该预蚀刻量测信息结合一蚀刻工艺监测,来监测一蚀刻工艺终点;以及
(d)当该蚀刻工艺监测,确定该蚀刻工艺符合该蚀刻工艺终点时,终止该蚀刻工艺。
2.如权利要求1所述的方法,其特征是,更包括加上一偏离滤除器,以移除该预蚀刻量测信息中的偏离值。
3.如权利要求1所述的方法,其特征是,步骤(d)中的该蚀刻工艺监测,更包括符合该蚀刻工艺的一预定蚀刻深度。
4.如权利要求1所述的方法,其特征是,步骤(d)中的该蚀刻工艺监测,更包括符合该蚀刻工艺中形成的一结构的一预定图案尺寸。
5.如权利要求1所述的方法,其特征是,该预蚀刻量测信息,包括至少一材料层厚度测量以及一关键尺寸测量。
6.如权利要求1所述的方法,其特征是,该预蚀刻量测,包括光学量测。
7.如权利要求6所述的方法,其特征是,该光学量测,包括干涉测量法、散射测量法、反射测量法与椭圆率测量法至少其中之一。
8.如权利要求1所述的方法,其特征是,该蚀刻工艺监测,是通过光学量测所获得。
9.如权利要求8所述的方法,其特征是,该光学量测,包括干涉测量法、散射测量法与反射测量法至少其中之一。
10.如权利要求1所述的方法,其特征是,该蚀刻工艺监测,更包括:
使用一垂直蚀刻率与一水平蚀刻率之间的一相关性。
11.如权利要求1所述的方法,其特征是,该蚀刻工艺监测,更包括:
将一辐射入射到该基底上;
收集从该基底反射的该辐射的一部份;以及
使用一干涉测量技术,来测量一层的一厚度。
12.如权利要求11所述的方法,其特征是,该辐射实质上垂直于该基底。
13.如权利要求11所述的方法,其特征是,入射到该基底的该辐射的一光谱的一波长范围为200nm到800nm。
14.如权利要求11所述的方法,其特征是,该辐射被调变在一频率为10Hz。
15.如权利要求1所述的方法,其特征是,该蚀刻工艺监测更包括:
将一辐射入射到该基底上;
收集从该基底反射的该辐射的一部份;以及
测量从该基底反射的该辐射的各波长的一强度的一光谱。
16.如权利要求15所述的方法,其特征是,该蚀刻工艺监测,更包括:
使用该光谱的一最小值的一光谱位置,以及形成于该基底上的一结构的一宽度之间的一相关性。
17.如权利要求1所述的方法,其特征是,该预蚀刻测量,是通过连接到一工艺系统的一量测模块其中之一所提供,其中该工艺系统包括该蚀刻反应器以及从该工艺系统移除的一量测模块。
18.一种用于监测一掩模移除工艺的一终点的方法,其特征是,包括:
(a)对具有一掩模一基底执行一预蚀刻测量,以产生该掩模的一预蚀刻量测信息;
(b)将该基底与该预蚀刻量测信息提供给一蚀刻反应器;
(c)使用一蚀刻工艺来移除该掩模,其中以该预蚀刻量测信息结合一蚀刻工艺监测,来监测一移除工艺;以及
(d)当该蚀刻工艺监测,确定该掩模被移除到一预定尺寸时,终止该移除工艺。
19.如权利要求18所述的方法,其特征是,更包括加上一偏离滤除器,以移除该预蚀刻量测信息中的偏离值。
20.如权利要求18所述的方法,其特征是,该掩模包括一光刻胶图案化掩模。
21.如权利要求18所述的方法,其特征是,该掩模是通过使用一等离子工艺来移除。
22.如权利要求18所述的方法,其特征是,该预蚀刻量测信息,包括该掩模的关键尺寸测量。
23.如权利要求18所述的方法,其特征是,该预蚀刻量测,包括光学量测。
24.如权利要求23所述的方法,其特征是,该光学量测,包括干涉测量法、散射测量法、反射测量法与椭圆率测量法至少其中之一。
25.如权利要求18所述的方法,其特征是,该蚀刻工艺监测,是通过光学量测所获得。
26.如权利要求25所述的方法,其特征是,该光学量测,包括干涉测量法、散射测量法与反射测量法至少其中之一。
27.如权利要求18所述的方法,其特征是,该蚀刻工艺监测,更包括:
使用一垂直蚀刻率与一水平蚀刻率之间的一相关性。
28.如权利要求18所述的方法,其特征是,该蚀刻工艺监测,更包括:
将一辐射入射到该基底上;
收集从该基底反射的该辐射的一部份;以及
使用一干涉测量技术,来测量一层的一厚度。
29.如权利要求28所述的方法,其特征是,该辐射实质上垂直于该基底。
30.如权利要求28所述的方法,其特征是,该辐射入射到该基底的该辐射的一光谱的一波长范围为200nm到800nm。
31.如权利要求28所述的方法,其特征是,该辐射被调变在一频率为10Hz。
32.如权利要求18所述的方法,其特征是,该蚀刻工艺监测更包括:
将一辐射入射到该基底上;
收集从该基底反射的该辐射的一部份;以及
测量从该基底反射的该辐射的各波长的一强度的一光谱。
33.如权利要求32所述的方法,其特征是,该蚀刻工艺监测,更包括:
使用该光谱的一最小值的一光谱位置,以及形成于该基底上的一结构的一宽度之间的一相关性。
34.如权利要求18所述的方法,其特征是,该预蚀刻测量,是通过连接到一工艺系统的一量测模块所提供,其中该工艺系统包括该蚀刻反应器以及从该工艺系统移除的一量测模块。
35.一种用于监测一蚀刻工艺的系统,其特征是,包括:
至少一反应器,用以执行一蚀刻工艺;
至少一量测模块,用以提供一预蚀刻量测信息到该至少一蚀刻反应器;以及
至少一基底机器手臂;
其中,该至少一反应器,包括一蚀刻工艺测量工具,用以监测该蚀刻反应器的一蚀刻工艺终点。
36.如权利要求35所述的系统,其特征是,该至少一蚀刻反应器,包括一等离子反应器。
37.如权利要求35所述的系统,其特征是,该至少一量测模块,使用一非破坏性光学测量技术。
38.如权利要求35所述的系统,其特征是,该蚀刻工艺测量工具使用一干涉测量技术。
39.如权利要求35所述的系统,其特征是,该蚀刻工艺测量工具,更包括:
一辐射源,用以照射该基底上的一区域;以及
一干涉仪。
40.如权利要求35所述的系统,其特征是,该辐射源提供的一辐射,实质上垂直于该基底。
41.如权利要求35所述的系统,其特征是,该辐射源提供的一辐射的一波长范围在200nm到800nm之间。
42.如权利要求39所述的系统,其特征是,该辐射源调变该辐射在一频率为10Hz。
43.一种原位量测工具,其特征是,包括:
至少一等离子反应器用以执行一晶圆工艺;
至少一测量模块,连接到该至少一等离子反应器,用以测量一基底上的一层的至少一厚度以及一关键尺寸;以及
至少一等离子状态监测模块,连接到该至少一等离子反应器,用以监测该至少一等离子反应器中的一等离子状态。
44.如权利要求43所述的工具,其特征是,该厚度测量模块使用一干涉测量技术。
45.如权利要求43所述的工具,其特征是,该关键尺寸测量模块使用一非破坏性光学测量技术。
46.如权利要求43所述的工具,其特征是,该等离子状态监测模块使用一光学电磁发射测量技术。
47.如权利要求43所述的工具,其特征是,该等离子反应器包括一等离子蚀刻反应器。
CNB2004100481489A 2003-06-18 2004-06-16 监测方法和系统以及原位测量工具 Expired - Fee Related CN1319141C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US47960103P 2003-06-18 2003-06-18
US60/479,601 2003-06-18
US10/674,568 2003-09-29
US10/674,568 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process

Publications (2)

Publication Number Publication Date
CN1619788A CN1619788A (zh) 2005-05-25
CN1319141C true CN1319141C (zh) 2007-05-30

Family

ID=33424138

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100481489A Expired - Fee Related CN1319141C (zh) 2003-06-18 2004-06-16 监测方法和系统以及原位测量工具

Country Status (6)

Country Link
US (2) US8257546B2 (zh)
EP (1) EP1492153A3 (zh)
JP (1) JP2005012218A (zh)
KR (1) KR101046918B1 (zh)
CN (1) CN1319141C (zh)
TW (1) TWI356466B (zh)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
DE102004018454A1 (de) * 2004-04-16 2005-11-03 Infineon Technologies Ag Verfahren und Vorrichtung zum Überwachen des Ätzvorgangs einer regelmässigen Tiefenstruktur in einem Halbleitersubstrat
US20050247894A1 (en) * 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7342641B2 (en) * 2005-02-22 2008-03-11 Nikon Corporation Autofocus methods and devices for lithography
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
KR100683400B1 (ko) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
CN101055422B (zh) * 2006-04-14 2012-05-02 应用材料公司 用于透明基材的整合式测量室
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
KR100868083B1 (ko) 2006-05-19 2008-11-14 세종대학교산학협력단 웨이브릿을 이용한 플라즈마장비의 센서정보 감시방법
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
EP2309533A1 (en) * 2006-10-30 2011-04-13 Applied Materials, Inc. Endpoint detection for photomask etching
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
TWI445098B (zh) * 2007-02-23 2014-07-11 Applied Materials Inc 使用光譜來判斷研磨終點
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN102163567B (zh) * 2008-01-22 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
CN101494160B (zh) * 2008-01-22 2011-05-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
CN101717935B (zh) * 2008-10-09 2011-11-23 欣兴电子股份有限公司 基板的金属层的蚀刻方法
CN101764075B (zh) * 2008-12-25 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶片背面缺陷的监测方法和系统
CN101834128B (zh) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN101859689B (zh) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 干法清洗时间的确定方法、装置及等离子体处理设备
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
TWI754253B (zh) 2011-08-01 2022-02-01 以色列商諾發測量儀器股份有限公司 控制半導體裝置的製造的方法及系統
CN102931071B (zh) * 2011-08-08 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种图形化蓝宝石衬底的方法及装置
CN102955363B (zh) * 2011-08-19 2014-10-08 上海华虹宏力半导体制造有限公司 光学临近效应修正在线监控的方法
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US8956886B2 (en) * 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
TWI497632B (zh) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
JP6653255B2 (ja) * 2013-12-22 2020-02-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法
CN104882389B (zh) * 2014-02-28 2017-12-26 无锡华润上华科技有限公司 一种半导体器件量测方法
US9508831B2 (en) 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
CN105321845A (zh) * 2014-07-28 2016-02-10 Psk有限公司 基板处理装置及基板处理装置监控方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
KR101628762B1 (ko) * 2014-11-21 2016-06-21 주식회사 신성미네랄 복토재의 제조방법
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6541599B2 (ja) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10790203B2 (en) 2016-04-26 2020-09-29 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
CN106504971B (zh) * 2017-01-03 2018-03-16 京东方科技集团股份有限公司 一种等离子刻蚀方法及等离子刻蚀装置
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108987224A (zh) * 2017-06-01 2018-12-11 北京北方华创微电子装备有限公司 反应腔室及检测反应腔室内晶片状态的方法
CN107527830B (zh) * 2017-08-16 2019-12-31 武汉新芯集成电路制造有限公司 一种晶圆倾斜薄膜的监测方法
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11421977B2 (en) 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN110071059B (zh) * 2019-03-29 2020-12-22 福建省福联集成电路有限公司 一种监控蚀刻的工艺方法及系统
US10871396B2 (en) 2019-04-05 2020-12-22 Samsung Electronics Co., Ltd. Optical emission spectroscopy calibration device and system including the same
CN110426451B (zh) * 2019-07-15 2021-12-24 Tcl华星光电技术有限公司 蚀刻速率量测装置及侧向蚀刻速率的量测方法
CN110850690B (zh) * 2019-11-19 2023-05-23 上海华力微电子有限公司 去胶设备、顶针监控方法和去胶工艺
CN111063627A (zh) * 2019-12-30 2020-04-24 中电国基南方集团有限公司 Bcb厚度的在片监测与控制方法及装置
CN111370344A (zh) * 2020-03-03 2020-07-03 武汉大学 一种用于在线监测半导体基片刻蚀过程的监测系统
KR102510305B1 (ko) * 2020-03-11 2023-03-17 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
CN111430257B (zh) * 2020-04-02 2023-04-07 长江存储科技有限责任公司 测量装置及方法
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN117795285A (zh) * 2021-06-03 2024-03-29 诺威有限公司 半导体装置的时域光学计量和检查
CN115497866A (zh) * 2021-06-18 2022-12-20 中微半导体设备(上海)股份有限公司 一种升举顶针组件及等离子体反应装置
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658418A (en) * 1995-03-31 1997-08-19 International Business Machines Corporation Apparatus for monitoring the dry etching of a dielectric film to a given thickness in an integrated circuit
WO2002009170A2 (en) * 2000-07-25 2002-01-31 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6363294B1 (en) * 1997-12-30 2002-03-26 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US20020056700A1 (en) * 2000-11-16 2002-05-16 Mitsubishi Denki Kabushiki Kaisha Method and system for manufacturing semiconductor device

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US56700A (en) * 1866-07-31 Improvement in bed-recoil springs for printing-presses
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
EP0394597A1 (en) 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH0534280A (ja) * 1991-07-26 1993-02-09 Kaijo Corp 赤外線吸収式湿度変動計
US5329381A (en) * 1992-02-20 1994-07-12 Payne John H Automatic engraving method and apparatus
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR100257903B1 (ko) 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
EP0973068A3 (en) * 1998-07-14 2001-05-30 Nova Measuring Instruments Limited Method and system for controlling the photolithography process
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
JP2000155023A (ja) 1998-11-20 2000-06-06 Nkk Corp 鋼板の板厚測定装置
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP2001068446A (ja) * 1999-06-30 2001-03-16 Applied Materials Inc 半導体ウェーハの汚染物質の検出
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
GB0016562D0 (en) * 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077898A (ja) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd プラズマエッチングシステム及びエッチング工程管理方法
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6566025B1 (en) * 2002-01-16 2003-05-20 Xerox Corporation Polymeric particles as external toner additives
JP4118071B2 (ja) * 2002-03-28 2008-07-16 株式会社ニデック レジスト外周除去幅検査装置
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658418A (en) * 1995-03-31 1997-08-19 International Business Machines Corporation Apparatus for monitoring the dry etching of a dielectric film to a given thickness in an integrated circuit
US6363294B1 (en) * 1997-12-30 2002-03-26 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
WO2002009170A2 (en) * 2000-07-25 2002-01-31 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US20020056700A1 (en) * 2000-11-16 2002-05-16 Mitsubishi Denki Kabushiki Kaisha Method and system for manufacturing semiconductor device

Also Published As

Publication number Publication date
CN1619788A (zh) 2005-05-25
JP2005012218A (ja) 2005-01-13
KR20040111072A (ko) 2004-12-31
TWI356466B (en) 2012-01-11
EP1492153A3 (en) 2006-05-10
EP1492153A2 (en) 2004-12-29
US20040203177A1 (en) 2004-10-14
US8257546B2 (en) 2012-09-04
TW200507143A (en) 2005-02-16
US20120291952A1 (en) 2012-11-22
KR101046918B1 (ko) 2011-07-07

Similar Documents

Publication Publication Date Title
CN1319141C (zh) 监测方法和系统以及原位测量工具
US7127358B2 (en) Method and system for run-to-run control
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
Herman Optical diagnostics for thin film processing
US8346506B2 (en) Transforming metrology data from a semiconductor treatment system using multivariate analysis
US8173451B1 (en) Etch stage measurement system
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
US7167766B2 (en) Controlling a material processing tool and performance data
TWI464818B (zh) 利用光學量測及感測器裝置之蝕刻製程控制
CN107403736A (zh) 终点检测的蚀刻计量灵敏度
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
Maynard et al. Multiwavelength ellipsometry for real-time process control of the plasma etching of patterned samples
Maynard et al. Plasma etching of submicron devices: in situ monitoring and control by multi-wavelength ellipsometry
US7372582B2 (en) Method for fabrication semiconductor device
US8173450B1 (en) Method of designing an etch stage measurement system
Murnane et al. Subwavelength photoresist grating metrology using scatterometry
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
Hodges et al. Improved gate process control at the 130-nm node using spectroscopic-ellipsometry-based profile metrology
Rosenthal et al. Infrared spectroscopy for process control and fault detection of advanced semiconductor processes
Stutzman Correlation of process with topography evolution during reactive ion etching
Schaepkens Inductively coupled fluorocarbon plasma processing
Shah RF diagnostics and automatic control for a plasma etcher
Yakovlev et al. Compact FTIR wafer-state sensors: a new way of in-line ULSI characterization

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070530

Termination date: 20140616

EXPY Termination of patent right or utility model