TWI356466B - Method and system for monitoring an etch process - Google Patents

Method and system for monitoring an etch process Download PDF

Info

Publication number
TWI356466B
TWI356466B TW093116380A TW93116380A TWI356466B TW I356466 B TWI356466 B TW I356466B TW 093116380 A TW093116380 A TW 093116380A TW 93116380 A TW93116380 A TW 93116380A TW I356466 B TWI356466 B TW I356466B
Authority
TW
Taiwan
Prior art keywords
measurement
monitoring
etching
substrate
etch
Prior art date
Application number
TW093116380A
Other languages
English (en)
Other versions
TW200507143A (en
Inventor
Matthew Fenton Davis
John M Yamartino
Lian Lei
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200507143A publication Critical patent/TW200507143A/zh
Application granted granted Critical
Publication of TWI356466B publication Critical patent/TWI356466B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

1356466 九、發明說明: 【發明所屬之技術領域】 本發明是有關料導體基底製㈣統, 於用於監測-蝕刻製裎之一方法與系統。、】疋有關 【先前技術】 為了增加運算速度,許多積體微電子電路中的 =例如電晶體、電容器等等)變的越來越小。製造:種: 的方法包括在-基底上之—材料層上形成—圖案化罩幕 (例如光阻罩幕)’接著使用該圖案化罩幕作為一蝕刻罩 刻該材料層。蝕刻罩幕通常為其下方之材料層(或是其他層) 之所欲形成之結構(亦即被蝕刻之結構)之—重複尹構 (replica)。因此蝕刻罩幕與其下之層所欲形成之結構具有相 同的圖案尺寸(topographic dimension)。 傳統上,一银刻罩幕之圖形’例如線(1丨此)、行 (column)、開口(opening)、線與線之間的間距(space)等等之 最小寬度(width)需要被測量。此最小寬度經常被稱為”關鍵 尺寸’’(critical dimension,CD)。在先進超大型積體電路 (advanced ultra large scale integrated circuit, ULSI circuit) 中,關鍵尺寸通常為次微米級(sub-micron),例如約為2〇nm 到200nm之間。此外,被蝕刻的材料層的厚度測量也是么 須的。钱刻製程配方(recipe)的參數(parameter),通常是透 過晶圓上形成之圖案化罩幕之關鍵尺寸測量,以及姓刻的 材料層的厚度測量選擇的統計結果來選擇。 13902pifl.doc 6 ^356466 當使用一圖案化罩幕來钮刻一材料層時,精確的餘刻 氣知的監測疋關鍵的。特別是,用於触刻製程上的製程變 動會降低所形成的元件之尺寸之精確度,並且對於形成於 基底上之一群(group)或一組(batch)元件之尺寸會造成一較 寬的統計分佈(statistical distribution)(亦即較大的標準差 σ )。 因此’在積體電路的製造過程中,飯刻製程的監測是 需要加以改善的。 【發明内容】 本發明是有關於用於監測一姓刻製程之方法與系統。 s玄触刻製程可以使用量測資訊(例如,關鍵尺寸(CD)或層 (layer)的厚度等)被監測。該量測資訊是藉由在該蝕刻製程 中所實施之關於該蝕刻製程之離位(ex-situ)與在位(in_situ) 監測(例如’光譜分析、干涉測量法、散射測量法,與反 射測量法等)所提供。離位量測資訊與在位監測可以被用於 監測’例如蝕刻製程之一終點、形成於一基底之蝕刻縱深 分佈(depth profile)之一特徵(feature),以及一積體電路製程 之錯誤檢測(fault detection)等。 離位量測資訊在該蝕刻製程之前或之後被實施。離位 里測資訊被提供到一 I虫刻反應器,並且被使用於触刻製程 與在位監測中。離位(ex-situ)測量可以使用與蝕刻反應器 (例如與蝕刻系統相同之模組)整合之測量儀器被執行, 或是藉由未與蝕刻反應器(例如與獨立機台(stand al〇ne 7 13902pin.doc equipment))整合之測量儀器所裎根 里m亞所钗供。在位監測可以使用 接到蝕刻反應器之測量儀器來執行。 為讓本發明之上述和其他g沾 ,、他目的、特徵和優點能更明 易懂,下文特舉一較佳實施例,讦 · I把例亚配合所附圖式,作詳% 說明如下。 【實施方式】 本發明是有關於用於監測—I虫刻製程之一方法與—系 統。該㈣製程可以使用量測資訊(例如,關鍵尺寸(CD), 或層的厚度等)被監測。該量測資訊是藉由在該蚀刻製程 中所實施之關於該㈣製程之離位(ex_situ)與在位(lnsitu) 監測(例如,光譜分析、干涉測量法、散射測量法,與反 射測量法等)所提供。離位量測資訊與在位監測可以被用於 監測,例如蝕刻製程之一终點、形成於一基底之蝕刻縱深 刀佈之一特徵(feature),以及一積體電路製程之錯誤檢測 (fault &^(^011)等。一積體電路製程之錯誤檢測,可以包 括,例如監測該蝕刻製程,以決定當被蝕刻之特徵(feature) 之關鍵尺寸(CD)落在一預設的範圍之外時,來終止該製程。 離位(ex-situ)量測在蝕刻製程之前或之後被實施。離位 $測貧訊被提供到一蝕刻反應器,並且被使用於蝕刻製程 與在位(in-situ)監測中。離位(ex-situ)測量可以使用與触刻 反應器(例如與蝕刻系統相同之模組)整合之測量儀器被 執行’或是藉由未與蝕刻反應器(例如,與獨立機台整合 之測量儀器所提供。在位監測可以使用連接到蝕刻反應器 8 13902pifl.doc 1356466 之測量儀器來執行。 圖1繪示本S明用於監測一姓刻製程之程序(程序)1〇之 方法之-流程圖。程序H)包括用於測試與生產基底之方法 之—系列的製程步驟。 旦程序10從步驟U開始,接著執行步驟12。在步驟12中, f測資訊是藉由離位(ex-situ)的方式提供給蝕刻製程。在一 實施例申,該離位量測資訊包括,例如關鍵尺寸(CD)資訊, =即該結構之寬度,以及例如一圖案化罩幕之高度。離位 ^測資訊通常被提供給一組基底中之每一基底。此離位測 量可以使用與蝕刻反應器(例如與蝕刻系統相同之模組) 整合之測量儀器被執行,或是藉由未與蝕刻反應器(例如, 與獨立機台整合之測量儀器所提供。 在一實施例中,此離位量測資訊,例如可藉由使用應 用材料公司(Applied Material of Santa Clara,California)之 CENTURA®製程系統之TRANSF〇rmatm量測模組來測 定。TRANSFORMA™量測模組使用一或多種非破壞性 (nondestructive)光學測量技術,例如光譜分析、干涉測量 法、散射測量法、反射測量法’以及橢圓率測量法等。測 得的參數包括’例如基底上所製造之結構之圖案尺寸 (topographic dimension)與分佈(profiie),以及已圖案化或毯 覆式(blanket)介電與導電膜之一厚度。用於結構之關鍵尺寸 之測量,習知上會測量該基底上多數個區域,例如統計學 上有效的多數個區域(例如5個到9個,或是更多個區域), 然後加以平均。 13902pifl.doc 9 1356466 或者是,量測資訊可以從未與蝕刻反應器整合之測量 儀器(例如獨立機台),被提供到蝕刻反應器。獨立機台可 以使用,例如掃描式電子顯微鏡(scanning electron microscopy, SEM)、原子力量測方法(atomic force metrology, AFM)、光學量測,以及表面分佈(表面profiling)等方式提 供量測資訊。 離位量測資訊可以包括,例如有關基底上所製造之結 構之圖案尺寸(topographic dimension)與分佈(profile)之資 訊。此外,毯覆式(blanket)膜或已圖案化膜(例如介電與導 電膜)之膜厚度,以及關於形成於該基底上之膜厚度均勻 度(uniformity)之圖案(topographic)結構之圖像(mapping)資 訊(例如該基底上所測量之位置)也可以被提供。 因為離位測量被用以設定其後的製程之參數,因此所 測得資料中任何異常會引起製程參數不正確的設定,而獲 得不正確處理的基底。該些異常可以來自,例如不良的光 阻圖案化結果,或是由局部(local)晶圓表面狀況或缺陷 (defect)造成之測量誤差。為了避免具有使用不正確的測量 資料所建立的製程參數,一光學偏離濾除器(optional outlier filter)可以被用以從該資料組移除任何不正確的離 位資料測量。在步驟13 (繪示於虛線框格中以表示此步驟 不一定是必須的(optional)),一偏離濾除器被用於離位測量 資料,以移除任何偏離值資料點。偏離濾除器也可以檢查 測量結果之,例如平均值(means)、最大值(maximum)、最 小值(minimum)、標準差(standard deviation),以及配適度 10 13902pifl.doc 1356466 . (goodness of fit)等,以決定是否基底已具有良好的光阻 圖案。步驟14藉由詢問(query)基底是否通過’’良好”圖案化 之基準(criteria),以決定基底是否該接受步驟15中所敘述之 蝕刻製程。若步驟14中之結果並未通過”良好”圖案化之基 準,基底並不會接受蝕刻製程,而將會被系統加上標記 (marked)以便被再測量(remeasurement)、再檢查 (re-examination),或是再加工(rework)。 在步驟15中,蝕刻製程被執行。用以執行蝕刻製程之 蝕刻反應器包括,例如被用於即時測量與蝕刻製程相關之 一在位監測設備之計量(metrics)。在蝕刻製程中執行在位即 時(real time)監測,有助於決定,例如,與姓刻率無關之触 刻製程之終點。此外,此在位監測可以提供與蝕刻製程相 關之錯誤檢測(例如,蝕刻製程中偏離一預定範圍之外之 不均勻度(non-uniformity)),以及提供相關於使用基底之離 位圖像(mapping)所確認之元素之特定關鍵尺寸(CD)資訊。 在一實施例中,在位量測工具可以包括,例如應用材 料公司(Applied Material of Santa Clara, California)之 EyeDTI^t測模組。如圖1A所示,體模組50,包括, 例如二部分。其中一部分包括,例如一干涉(interferometric) 與/或光譜(spectrometric)測量組件,用以測量結構之膜厚度 與/或寬度。另一部份包括,例如一光學電磁發射(optical electromagnetic emission, 0ES)監測組件,以監測該腔體電 漿狀態。 干涉與/或光譜測量組件可以被用以執行,例如一干涉 11 13902pifl.doc 1356466 . 監測技術(例如,計算時域(time domain)中之干涉條紋 (interference fringe),以及測量條紋之頻域(frequency domain)之位置等),以即時測量形成於基底上之結構之蝕 刻縱深分佈。從一晶圓75反射之光72被光線形成光學元件 (beam-forming optics)74所收集,並且該信號藉由一信號線 73被傳輸到一光譜儀59。該信號被光譜儀59與一處理器60 所分析。分析結果可以被用以產生控制指令,以透過控制 器61來控制反應器腔體。若該組件被用以控制一蝕刻製程 之終點,該終點被稱為“干涉終點’’(interferometric endpoint, IEP)。從寬頻(broadband)光源58,例如水銀燈(mercury lamp)、氣氣燈(deuterium lamp)或是氡氣燈(xenon lamp)來 之外部光線,可以透過光纖(fiber optic cable)54作為入射到 晶圓之光線。此光源可以被用於作為或取代電漿之光源。 藉由EyeDTM之膜厚度測量與控制(或終點)之詳細說明, 例如已經揭露於美國專利號6,413,867之專利中(其標題為” 使用光譜干涉測量法之膜厚度控制,’,公開於2002年7月2 曰)’以及美國專利申請號10/286,402之申請案(其標題為” 基底蝕刻製程之干涉終點決定,,,申請於2002年11月1曰)。 該組件也可以使用一或多種非破壞性光學測量技術,例如 光譜分析、散射測量法、反射測量法等,以測量結構之寬 度。如圖1B所示,從晶圓75表面與介面反射之光72通過一 窗口 71 ’被光線形成光學元件74與信號線73所收集。該信 號可藉由一光譜儀(spectrometer)59與一處理器60來分析 (繪示於圖1A),以決定結構之寬度。以下,如何決定結構 12 13902pifl.doc 1356466 · 將會料細說明敘述。圖_1B中之圖示並不是以 實際大小等比例繪製,而是被簡化了來用於舉例說明。若 涊更加瞭解本發明,請同時參考圖3A與3B。 另- EyeD-腔體模組,包括一光學電磁發射(〇es)用以 j腔體《狀態。光學電磁發射(⑽)監視器可以被用以 /、疋腔體匹配(chambei•崎hing)程度,以及製程愈/或系统 錯誤之來源。從電㈣發出之光學電磁發射陶)信號,、夢 t信號收集襄置55來收集’並且該信號藉由-信號線5: 來傳輸,並藉由光譜儀59與處理㈣來分析信號。分析处 果可以被用以產生控制指令’以及透過控制器Μ被用來^ 制該反應器腔體。此應用之⑼說明已被揭露於美國翻 申請號1〇/628,001之申請案(其標題為,,藉由全面 (comprehenswe)電E監測之半導體電裝腔體匹配與錯誤來 源的自動化決定方法”,申請於2〇〇3年7月25日)。 在圖1的步驟16中,程序10會詢問是否該組基底中所有 基底都已經被處理過。若步驟16之詢問的答案為否,在程 序1〇中會接著進行步驟12。若步驟16之詢問的答案為是, 在程序10中會接著進行步驟18。程序1〇終止於步驟18。 在-韻刻製程之實施例中’藉由在移除製程中,執行 離位關鍵尺寸(CD)量冑資訊與在位監測,彳以監測光阻移 除製程之-終點。在-實施例中,在位監測使用了移除製 程之一垂直蝕刻率與一水平蝕刻率之間之一相關性之計量 法__來實施。在另一實施例中,在位監測使用了從基 底反射之輻射之光譜之最小值之一光譜位置,以及圖案化 13902pifl.doc 13 1356466 . 罩幕之結構之寬度之間之—相關性之 可用於簡化移除製程之—製 來實轭。 量圖案化軍幕之結構之關鍵尺:二糸二^ =二及厂執,製程(例如移除製:)二 之-言^法二’例如用於監測㈣刻之結構 強产卞士亩*吴組(例如從基底反射之輻射之光譜 強“垂直飯刻率與水平餘刻率之間之一相關性)。 圖2讀2轉示用於監測程序刚之罩幕移 點之,製程實施例之—流程圖。程序1〇〇包括,例如二 糸列可用以測試與生產基底之製程步驟。 圖3A與3B繪示使用本發明方法移除罩幕之基底之剖 面不意圖。圖3A與3B中之圖示並不是以實際大小等比例繪 製’而是被簡化了來用於舉例說明。若想更加瞭解本發明, 請同時參考圖2A與2B以及圖3A與3B。 程序100從步驟101開始,接著執行步驟1〇2<>在步驟ι〇2 中,例如於圖3 A之基底200 (例如矽晶圓)上形成一層2〇2 與-圖案化罩幕210。為了方便起見’此處相關的示意圖、 d面圖以及各別的參考數字是有關於一測試或生成的 (product)基底 200。 層202可以包括一單一材料膜(singie material film),例 如’介電膜、金屬膜’或其他任何用於積體電路之膜,或 是未圖案化(如圖所示)或是已圖案化之一多層膜堆 (multi-layered film stack)。在接下來的蝕刻製程中,層202 可以使用圖案化罩幕210作為一蝕刻罩幕被蝕刻。層202可 13902pifl.doc 14 1356466 . 以藉由使用任何習知的薄膜沈積技術,例如原子層沈積 (ALD)、物理氣相沈積(PVD)、化學氣相沈積(CVD),以及 電漿輔助化學氣相沈積(PECVD)等,加上,例如 CENTURA®、ENDURA®中各別的製程反應器,或是其他應 用材料公司(Applied Material of Santa Clara, California)的 製程系統來形成。 圖案化罩幕210包括,例如一光阻罩幕、一非晶碳 (amorphous carbon, α-carbon)罩幕、一硬罩幕(hard mask), 或是應用材料公司(Applied Material of Santa Clara, California)之一先進圖案化膜(advanced patterning film, APFTM)等。在一實施例中,圖案化罩幕210包括一光阻罩 幕。請參照圖3A,圖案化罩幕210具有一高度214,並包括 各自具有寬度206與218之結構220與230 (例如線(line)、壁 (wall)、行(column)等)。寬度206小於寬度218,或是圖案化 罩幕210中任何其他結構之一寬度。因此,結構220具有最 小寬度(亦即關鍵尺寸(CD)),因此通常為圖案化光罩之結 構中最難製造之處。 光阻罩幕210可以使用’例如一微影製程來製造,其中 被形成之裝置之一特徵(feature)之圖案以光學方式被轉換 到一光阻層上。隨後光阻被顯影(devei〇pe(j),然後光阻未 曝光(expose)之部分被移除’而剩下的光阻則形成圖案化罩 幕210。當圖案化罩幕210為一光阻罩幕時,層202可以選擇 性地更包括’例如一抗反射塗佈(〇pti〇nal anti_renectiVe coating,ARC)。隨著圖案化罩幕之結構之尺寸曰益縮小, 15 13902pifl.doc 1356466 圖案轉換製程中之不準確度(inaccuracy)會受限於微影製程 固有(inherent)之光學限制(optical limitation),例如光的反 射而有增加之趨勢。藉由控制用於暴露光阻的光的反射, 光學抗反射塗;佈(ARC)可以增加微影製程的解析度 (resolution)。在一實施例中,微影製程形成之結構220,具 有之最小寬度206大約在80nm到120nm之間。形成圖案化罩 幕210之製程被敘述於,例如美國專利申請號10/218,244之 申請案(申請於2002年8月12日,代理人案號為7454),在 此一並列入參考。 在步驟1 04中,基底200被檢測(inspect),並且圖案化罩 幕210之結構之圖案尺寸(topographic dimension)被測量。在 一實施例中,測得的尺寸包括,例如關鍵尺寸,亦即結構 220之寬度206,以及圖案化罩幕210之高度214。通常一測 試基底組(batch)中之每一測試基底200之寬度206與高度 2 14會被測量。此測量可以使用例如蝕刻反應器相關之一離 位量測工具來執行。在一實施例中,此光學測量工具包括, 例如應用材料公司(Applied Material of Santa Clara, California)之 CENTURA®製程系統之TRANSFORMAtm 量測 模組。TRANSFORMAtm量測模組可以使用一或多種非破壞 性(non-destructive)光學測量技術,例如光譜分析、干涉測 量法、散射測量法、反射測量法,以及橢圓率測量法等。 測得的參數包括,例如基底上所製造之結構之圖案尺寸 (topographic dimension)與分佈(profile),以及已圖案化或毯 覆式(blanket)介電與導電膜之一厚度。用於結構220之關鍵 13902pifl.doc 16 1356466 尺寸(CD)之測量,習知上會測量該基底2〇〇上多數個區域, 例如統計學上有效的多數個區域(例如5個到9個,或是更 多個區域),然後加以平均。 因為微影製程本身的光學限制(〇pticy Hmitati〇n),傳 統上,光阻圖案化罩幕21〇,包括了其下方的層,例如,層 202中將被蝕刻之特徵之結構之放大後的圖像(8^1以-叩 image)(例如結構22〇與23〇等)。因此,在微影製程之後, 以及在该罩幕可以用作為蝕刻罩幕之前,光阻罩幕21〇必須 經由移除被減少到結構之寬度。 在步驟105中,一偏離濾除器(outlierfilter)可以被選擇 性地(optionally)用於高度值與寬度值,因此偏離值的資料 點可以從資料組中被移除。此偏離值可能由,例如不良的 局部晶圓表面狀況或是缺陷(defect)所引起。若偏離值沒有 移除,則可能會導致所建立的基底製程參數有錯誤。 在步驟106中,圖案化罩幕21〇被移除以減少結構22〇與 230之寬度到一預設值。如圖3B所示’步驟1〇6將結構22〇 移除到一預設的寬度216。同時’步驟106中會以相同於寬 度206被減少的比例(ratio),減少圖案化罩幕21〇中任何其他 結構(例如,結構230之寬度218)之寬度。一移除⑴丨叫製 程包括,例如一等向性(isotropic)蝕刻製程(例如等向性電 漿姓刻製程)’其具有一高側邊(laterai)姓刻率,並且對於 圖案化罩幕210之材料(例如光阻)與下方的層202之材料 之間具有一高選擇比(selectivity) 〇傳統上,在移除製程後, 圖案化罩幕210之特徵之寬度(例如結構220與23〇等)會縮 13902pifl.doc 17 1356466 減大約35%到80%之間。藉由得知圖案化罩幕21 〇之寬度與 高度,本方法會在等向性蝕刻被執行時設立一移除時間 (trim time)。移除時間之值與被移除之總量有關。 步驟106可以使用一蝕刻反應器被執行,例如, CENTURA® 糸統中之分立電漿源 DPS II(decoupled plasma source,DPS)模組。分立電漿源DPS II模組(以下將會參考圖 10被敘述)使用一感應源(inductive source)(亦即天線)來 產生一高密度電漿。 在一實施例中’光阻罩幕210可以藉由以下方式被移 除。使用分立電黎源DPS II模組,以流率(flow rate)為2sccm 到200sccm之間之溴化氫(hydrogen bromide, HBr)、流率為 58£^111到10〇5(^111之間之氧氣(〇乂)^611,02)(因此,例如漠化 氫:氧氣之流量比為1: 10到10: 1 )、流率為lOsccm到200sccm 之間之氬氣(argon,Ar)。施加大約200W到1000W之間之電 源到一感應柄接天線(inductively connected antenna),並施 加0W到300W之間之一陰極偏壓電源(cathode bias power)。將晶圓底座(wafer pedestal)之溫度保持於0°C到 80°C之間,並將製程腔體之壓力維持於2mTorr到30mTorr 之間。在一製程之實施例中,溴化氫(HBr)之流率為 60sccm,氧氣(02)之流率為28sccm (亦即溴化氫:氧氣之 流量比大約為2 : 1 ),而氬氣(Ar)之流率為60sccm。並且施 加600 W之電源到一感應耦接天線,陰極偏壓電源為1 〇〇 W。 並保持晶圓底座之溫度為60°C,壓力為4mTorr。 在一實施例中,钱刻反應器,更包括一在位量測工具, 13902pifl.doc 18 1356466 用以即時測量關於被蝕刻之基底之結構之高度(例如,結 構220之高度214)之一計量。在移除製程中,在位量測工 具使用一寬頻輕射源(broadband radiation source)來產生波 長範圍在紫外光(ultra-violet)與深紫外光之間(大約在 200nm到800nm之間)之輻射(亦即發射輻射)來照射基底 200。通常,此輻射實質上垂直於基底200,並且照射之區 域之圖案尺寸(topographic dimension)—般大約小於1mm。 此外,在位測量工具照射基底200上與具有關鍵尺寸,例如 寬度206之結構(例如結構220)之一區域。 為了增加測量的準確度(accuracy),入射輻射的強度可 以選擇性地被調變(modulate)與/或被脈衝化,並且輕射可以 選擇性地被極化。入射輻射的頻率的調變大約為10Hz。入 射轄射可以使用一截波器(chopper)被脈衝化。在一實施例 中,可以得到使用入射輻射,在大約垂直於結構220之側壁 (sidewall)之方向(如圖3B中箭號209所示)線性極化 (linearly polarized)之結果。通常,在位測量工具可用以執 行干涉與/或光譜測量(以下將參考圖10被敘述)。在一實 施例中,此在位測量工具包括,例如應用材料公司(Applied Material of Santa Clara, California)之 EyeDTM。 例如,由在位(in-situ)測量工具發出之入射賴射(光線 (ray)Rl)照射到結構220後,部分(光線R3)從表面221被 反射回去,而部份(光線R5)則進入結構220。部份的光線 R5接著穿過表面205下方結構進入層202 (光線R6)而被其 吸收,部分則陷於(trapped in)(光線R7 )結構220 (例如光 13902pifl.doc 19 1356466 阻)之材料中而被其吸收,而部分則被反射回去(光線R4) β 相對地,一部份的入射輻射(光線R2)會照射到接近結構 220之區域203,其中一部分會進入層2〇2 (光線R8)而被吸 收,而一部分則會從層2〇2反射回去(光線R9 )。 程序100使用在位測量工具以執行一干涉測量技術(例 如計算時域(time domain)中之干涉條紋(interference frmge),以及測量條紋之頻域(frequency d〇main)之位置 等),以測量圖案化罩幕210之結構22〇之高度。在一實施例 中,在位測量工具在移除製程中使用由光線R9、尺4與们形 成之干涉圖像(interference pattern),來測量並決定結構22〇 之高度215相對於結構之一預選擇的高度(例如起始 (startmg)高度214)之改變。在該些測量中,一部份的入射 輻射也可以作為參考㈣(referenee radiati(m)。在—實施例 中,干涉測量使用轄射之光譜令至少一波長來執行。 在-實施例中,當移除製程進行時,在位測量工 期性地即時測量圖案化罩幕⑽之結構咖之―過产 (We—)高度(亦即高度⑴例如,每_毫秒^ 了次測量移除製程與測量會持續到過渡寬 二:預設的寬度216時才會終止。為了增加測量高度21'5: =2旦07之料度,可以藉由使用—些測試基底細重複該 二測里,並且被統計地處理(例如平均 在步驟108中,移除製程之介於垂 率之間之相關性被定義。此相關w: 」率與水平蝕刻 之測量社要决-羞 使用步驟106中執行
W義。在本發明之-實施例中,在移除製J 13902pifl.doc 20 1356466 中水平移除(亦即蝕刻)率會正比於垂直移除率。因此, 任何移除製程配方(recipe)可以藉由介於水平蝕刻率與垂直 蝕刻率之間之一相對固定比例被描述。此處”水平移除率” 與”垂直移除率”各別是有關於圖案化罩幕210之結構在移 除製程中之一側邊(lateral)蝕刻率以及結構之高度之減少 率〇 請參照圖3B ’水平移除率例如,是有關於結構22〇之寬 度206之減少率’而垂直移除率是有關於結構22〇之高度214 之減少率。在移除製程中,使用在位測量之結果於被移除 之圖案化罩幕210之結構220之垂直尺寸(亦即高度215 ), 此結構之寬度(亦即寬度2〇7 )可以被計算。程序1〇〇使用 具有預移除加6七丨11111^(1)高度214與起始寬度206之結構220 之所計算得之目標高度224與預設的寬度216之間之相關 性,於該移除製程之終點之閉回路(cl〇se_1〇〇p)控制。因此, 結構220可以被控制移除到預設的寬度216。 在步驟110中’提供各別具有圖案化罩幕21〇 (例如光 阻罩幕)之一組生成的基底。在移除製程之前,每一生成 的基底結構220之寬度2〇6與高度214會被測量《在一實施例 中,可以使用相同的測量工具(例如transf〇rmatm量測 模組),以及依照上述步驟1〇4中有關測試基底之相同的方 法來執行該些測量。 在步驟112中,使用上述(在步驟1〇8中)所定義的, 介於被移除之結構之起始寬度206 (在步驟11 〇中被測量)、 移除製程之垂直移除率,以及被移除之結構預設的目標寬 21 13902pifl.doc 1356466 . 度2 16之間之相關性,每一生成的基底之結構22〇之目標高 度224可以被計算》 在步驟114中,生成的基底之圖案化罩幕21〇,可以使 用具有用以即時測量罩幕210之結構之高度之在位測量工 具之一蝕刻反應器被移除。在移除製程中,在位測量工具 即時測量被移除之圖案化罩幕210 (例如光阻罩幕)之結^ 220之過渡高度(亦即高度215 ),並且傳輸測量結果到蝕刻 反應器之一處理器(或控制器)。在一實施例中,移除製程 可藉由使用包括上述步驟106中所描述之在位測量工具之 分立電漿源DPS II模組來實施。 在步驟116中,若結構220之高度(亦即過渡高度215) 已經被移除到在上述步驟112中被計算之目標高度224,則 程序100會終止。若有關步驟Π6之詢問之回答為否,程序 1〇〇則接著進行步驟114以繼續移除製程,以及即時在位測 量結構220之過渡高度。若有關步驟ι16之詢問之回答為 是,則程序100之後會接著進行一選擇性(optional)步驟116。 在步驟118中’層202可以藉由使用移除製程後剩下之 圖案化罩幕210作為· — ♦虫刻罩幕被在位钮刻。在步驟12〇 中’程序100會詢問是否該組基底中所有生成的基底都已經 被處理過。若步驟120之詢問的答案為否,在程序丨〇〇中會 接著進行步驟110。若步驟120之詢問的答案為是,在程序 100中會接著進行步驟122。程序100終止於步驟122。 圖4為依據本發明之一實施例,繪示在步驟1〇6中,在 測試基底200上執行之測量結果之一圖形300。其中,圖形 13902pifl.doc 22 1356466 鳩繪示移除製程t,圖案化罩幕21〇之一結構(例如結構 220)之-寬度(你迎)與該結構之—高度(_3〇4)之 關係。在圖形300卡,角度314與水平移除率與垂直移除率 之間之-比率有關。測量3〇6與遍各別是有關於被移除之 結構220之寬度206與高度214。綜上所述,測量31〇與312是 有關於被移除之結構220之預設的寬度216與目標高度 224。使用圖形3〇〇 (被簡化繪示為一線性圖形),在步驟I% 中,目標高度224可以如圖所示使用箭號316被計算。 圖5A-5B為依據本發明之另一實施例所繪示用於一罩 幕移除製程之終點偵測之程序4〇〇之一方法之一流程圖。 程序400包括一系列的製程步驟,用於測試與生成的基底以 完成該方法。在圖2A與2B以及圖5A與5B中,相同的參考數 字被使用於相似的製程步驟中。若想更加瞭解本發明,請 同時參考圖5A與5B以及圖3A與3B。 程序400從步驟4〇 1開始,執行步驟丨〇2,接著執行步驟 402。在步驟402中’測試基底2〇〇被傳輸到,例如包括在位 光學測量工具之一蝕刻反應器(例如Centura®系統之分 立電漿源DPS II模組)’此處可參考前述程序1〇〇之步驟1〇4 之敘述。程序400使用在位測量工具以執行光譜測量。步驟 402使用,例如參考上述步驟1〇6所述之移除製程以及配 合同時執行從基底200反射之一部份的輻射之光譜強度之 測i,來移除圖案化罩幕21 〇。此處,’光譜強度,,被用以描述 輻射光譜中能量的分佈。 在一實施例中,在位測量工具使用從基底2〇〇上具有結 13902pifl.doc 23 1356466 . 構220之區域反射之一部份的輻射執行該些測量。移除製程 週期性地被終止(例如每100毫秒一次),以測量被移除之 結構220之過渡寬度207。該些測量可以使用,例如參考上 述程序100之步驟104所敘述之EyeDTM量測模組被在位 (in-situ)執行。 在移除製程中,從表面221、205與區域203反射回來之 輻射之光譜強度(亦即光線R3、以與以)並沒有明顯的改 變。然而,結構220選擇性地陷住(trap)並吸收波長與結構 之過渡寬度207符合之輻射(亦即光線R7)。此外,具有一 寬度D,並且從具有一折射係數N之材料被形成之結構 220,會選擇性地陷住(trap)並吸收具有一波長“…正比於 Xd=NxD之輻射。應當注意,關於具有波長之輻射被陷 在結構220中之現象,與共振(res〇nance)現象以及該輻射在 結構中内部全反射(internal reflection)有關。 為了測量圖案化罩幕210所照射的結構(例如結構220) 之寬度,程序400使用在位測量工具以執行一光譜測量技術 (亦即輻射強度對一波長之測量),在移除製程之一實施例 中’在位測量工具定義關於一預選擇的參考波長之從基底 200反射之輻射之光譜之一最小值之光譜位置λΜΐΝ。 選擇性地陷住入射輻射之一部分(光線r7 )之結果是, 從具有結構220之區域反射之輻射(光線R3、R4與R9),在 波長λΜΙΝ具有一低光譜強度(亦即被反射的輻射之光譜之 一最小值)。在移除製程進行中,結構220之寬度D減少,並 且較短的波長被陷在結構220中,以及被吸收。因此,在移 24 13902pifl.doc 1356466 . 除製程中,反射的輕射的光譜的最小值的光谱位置(亦即 波長λΜ|Ν )會往更短波長的方向改變。 在一實施例巾,當移除製程進行Β夺,在位測量工具週 期性地即時測量圖案化罩幕210之結構220之—過浐 (imennediate)高度(亦即高度215)(例如’每1〇〇毫秒執ς 一次測量)。移除製程與測量會持續到過渡寬度2〇7等於或 小於預設的寬度216時才會終止。為了增加測量高度2丨5與 寬度207之準確度,可以藉由使用—些測試基底2〇〇重複該 些測量,並且被統計地處理(例如平均)。 在一實施例中,在移除製程中,在位測量工具即時測 量反射的輻射之光譜之最小值λΜΙΝ之光譜位置,以及結構 220之過渡寬度207。移除製程與在位測量會持續到過渡寬 度207等於或小於預設的目標寬度2 16時才會終止。為了增 加測量寬度207之準確度,可以藉由使用一些測試基底2〇〇 重複該些測量,並且被統計地處理(例如平均)。 在步驟404中,反射的輻射之光譜之最小值“…之光譜 位置與結構220之過渡寬度207之間的相關性,可以使用在 步驟402中執行之測量結果來定義。此相關性可用於即時計 算起始寬度206,以及使用在移除製程中執行之最小值“… 之光譜位置之在位測量結果來偵測結構22〇之目標寬度 216。 在步驟406中,一組基底中生成的基底的圖案化罩幕 210’可以使用包括用以即時測量反射的輻射的光譜的最小 值λΜΙΝ的光譜位置的在位測量工具之蝕刻反應器被移除。 25 13902pifl.doc 1356466 . 在一實施例中,步驟406使用之分立電漿源dps π模組,包 括了參考上述步驟402所述之在位測量工具。在位測量工具 被調整,以照射在具有被移除之圖案化罩幕210 (例如光阻 罩幕)之結構220之區域之生成的基底上。在移除製程中, 在位測量工具即時測量最小值λΜΙΝ之光譜位置,並且傳輸 •該些測量結果到蝕刻反應器之一處理器(或控制器)。 在步驟408中,若結構220之高度(亦即過渡高度215) 已經被移除到在上述步驟112中被計算之目標高度224,則 程序100會終止。若有關步驟408之詢問之回答為否,程序 400則接著進行步驟406以繼續移除製程,以及即時在位測 量結構220之過渡寬度207。若有關步驟408之詢問之回答為 疋,則程序100之後會接著進行一選擇性(〇pti〇naU步驟118。 在步驟118中’層202可以使用移除後剩下的圖案化罩 幕210作為一蝕刻罩幕被在位蝕刻。在步驟丨2〇中,程序4〇〇 會s旬問是否該組基底中所有基底都已經被處理過。若步驟 120之詢問的答案為否,在程序4〇〇中會接著進行步驟4〇6。 若步驟120之均問的答案為是,在程序4〇〇中會接著進行步 驟122。程序4〇〇終止於步驟122。 圖0A-6B為一系列的圖形,繪示在移除製程中之基底 200反射的輻射之光譜強度之改變,係依據本發明之一實施 例。第一個圖形510繪示入射輻射之強度(y軸5〇2)相對於 一波長(X軸504 )之關係。第二個圖形52〇繪示在移除製程 中,具有結構220之基底200上之一區域反射的輻射之強度 (Υ抽522 )對波長(x軸5 14 )之改變。請參照圖6B ,在時 13902pifl.doc 26 1356466 間ΤΊ時’在開始移除製程之前,反射的輻射之光譜強度包 括,一最小值518具有對應於結構22〇之起始寬度2〇6之一光 瑨位置(亦即波長λΜ1Ν ) 5丨丨。當移除製程繼續(如圖6Β中 虛線部分所示),在時間丁2時,結構220之寬度減少到過渡 寬度207 ’並且最小值5丨8之光譜位置改變到小於波長5丨丨之 波長513。在時間丁3時,結構22〇被移除到目標寬度516,因 此最小值518之光譜位置減少到波長515。 圖7為依據本發明之一實施例,繪示在步驟4〇2中,在 測3式基底200上執行之測量結果之一圖形6〇〇。此外,圖形 600繪不圖案化罩幕21〇之結構之一寬度(y軸6〇2),與從具 有此、·=構之基底2〇〇上之區域反射之輻射之光譜之最小值 之光4位置(亦即波長) ( χ軸6〇4 )之關係。在圖形6⑼ 中,測量608與606是有關於波長511與結構220之起始寬度 206/並且測量612與61〇各別是有關於波長515與結構22〇之 目才不寬度(波長511與515在參考上述圖5Β中被敘述)。使用 ,形600 (被簡化搶示為一線性圖形),在步驟彻中,目標 向度216可以如圖所示使用箭號6丨6被計算。 圖8 A ” 8Β繪示一蝕刻製程之實施例中用於監測在一 材料層中被_之特徵之—程序㈣之—流程圖。程序65〇 包括一系列可用以測試與生產基底之製程步驟。 圖9A與9B為使用本發明方法所繪示之,具有在一村料 曰^被姓刻之特徵之一基底之剖面示意圆。圖9A㈣b中之 圖示並不是以實際大小等比例繪製’而是被簡化;來用於 舉例說明°若想更加瞭解本發明,請同時參考圓8A與犯以 13902pifl.doc 27 1356466 及圖9A與9B » 程序650從步驟65 1開始,接著執行步驟652。在步驟652 中,例如於圖9A之基底200 (例如石夕晶圓)上形成一層2〇2 與一圖案化罩幕210。為了方便起見,此處相關的示意圖、 剖面圖以及各別的參考數字是有關於一測試或生成的基底 200 ° 層202可以包括一單一材料膜,例如,介電膜、金屬膜, 或其他任何用於積體電路之膜,或是未圖案化(如圖所示) 或是已圖案化之一多層膜堆(multi-layered film stack)。在接 下來的蝕刻製程中,層202可以使用圖案化罩幕210作為— 蝕刻罩幕被蝕刻。層202可以藉由使用任何習知的薄膜沈積 技術,例如原子層沈積(ALD) '物理氣相沈積(PVD)、化學 氣相沈積(CVD),以及電漿輔助化學氣相沈積(PECVD)等, 加上,例如CENTURA®、ENDURA®中各別的製程反應器, 或是其他應用材料公司(Applied Material of Santa Clara, California)的製程系統來形成。 圖案化罩幕210包括,例如一光阻罩幕、一非晶碳 (amorphous carbon, α-carbon)罩幕、一硬罩幕(hard mask), 或是應用材料公司(Applied Material of Santa Clara, California)之一先進圖案化膜(advanced patterning film, APFTM)等。在一實施例中,圖案化罩幕210包括一光阻罩 幕。請參照圖9A,圖案化罩幕210具有開口(opening),其中 一寬度206之一結構250被定義。 光阻罩幕210可以使用,例如一微影製程來製造,其中 13902pifl.doc 28 1356466 被形成之裝置之一特徵之圖案以光學方式被轉換到一光阻 層上。隨後光阻被顯影,然後光阻未曝光之部分被移除, 而剩下的光阻則形成圖案化罩幕210。當圖案化罩幕210為 一光阻罩幕時,層202可以選擇性地更包括,例如一光學抗 反射塗佈(ARC)。隨著圖案化罩幕之結構之尺寸日益縮小, 圖案轉換製程中之不準確度(inaccuracy)會受限於微影製程 固有(inherent)之光學限制,例如光的反射而有增加之趨 勢。藉由控制用於暴露光阻的光的反射,光學抗反射塗佈 (ARC)可以增加微影製程的解析度。在一實施例中,微影製 程形成之結構220,具有之最小寬度206大約在80nm到 120nm之間。形成圖案化罩幕210之製程被敘述於,例如美 國專利申請號10/218,244之申請案(申請於2002年8月12 曰),在此一並列入參考。 在步驟654中,基底200被檢測(inspect),並且圖案化罩 幕210之結構之圖案尺寸被測量。在一實施例中,測得的尺 寸包括,例如關鍵尺寸(CD),亦即圖案化罩幕2 10之結構250 之寬度206,以及被蝕刻之層202之一厚度。通常一測試基 底組(batch)中之每一測試基底200之寬度206會被測量。此 測量可以使用例如蝕刻反應器相關之一離位量測工具來執 行。在一實施例中,此光學測量工具包括,例如應用材料 公司(Applied Material of Santa Clara, California)之 CENTURA®製程系統之TRANSFORMAtm量測模組。 TRANSFORMAtm量測模組可以使用一或多種非破壞性 (non-destructive)光學測量技術,例如光譜分析、干涉測量 29 13902pifl.doc 1356466 法、散射測量法、反射測量法’以及橢圓率測量法等。測 得的參數包括,例如基底上所製造之結構之圖案尺寸與分 佈(profile),以及已圖案化或毯覆式(blanket)介電與導電膜 之一厚度。用於結構220之關鍵尺寸(CD)之測量,習知上會 測量該基底200上多數個區域,例如統計學上有效的多數個 區域(例如5個到9個,或是更多個區域),然後加以平均。 在步驟656中,材料層被名虫刻到一預設的深度。如圖9B 所示,步驟656中,材料層202被蝕刻到一預設的深度275。 通常餘刻製程包括,例如具有而垂直姓刻率,以及對於材 料層202 (例如氧化矽)之材料比上罩幕210之材料有高選 擇比(selectivity)之一非等向性(anisotropic)I虫刻製程(例 如,非等向性電漿蝕刻製程)。 步驟656可以使用一触刻反應器被執行,例如, CENTURA®系統中之分立電漿源DPS II模組。分立電敷源 DPS II模組(以下將會參考圖1〇被敘述)使用一感應源 (inductive source)(亦即天線)來產生一高密度電聚。 在一實施例中,包括二氧化矽之材料層202可以藉由以 下方式被蝕刻。使用分立電漿源DPS II模組,以流率(fl0W rate)為2sccm到200sccm之間之四氟化碳(carbon tetrafluoride,CF4)、流率為 lOsccm到 200sccm之間之氬氣 (argon,Ar)。施加大約200W到1000W之間之電源到一感應 搞接天線(inductively connected antenna),並施力口 0W 到 300 W之間之一陰極偏廢電源(cathode bias power)。將晶圓 底座(wafer pedestal)之溫度保持於〇°C到80oC之間,並將製 13902pifl.doc 30 1356466 程腔體之壓力維持於2mTorr到30mTorr之間。在一製程之實 施例中,四氟化碳(CF4)之流率為60sccm,而氬氣(Ar)之流 率為60sccm。並且施加600W之電源到一感應福接天線,陰 極偏壓電源為100W。並保持晶圓底座之溫度為50°C,壓力 為 4mTorr。 在一實施例中,钱刻反應器,更包括一在位(in-situ)量 測工具,用以即時測量關於被敍刻之材料層一#刻深度 (depth)(例如银刻深度275 )之一計量(metric)。在姓刻製 程中,在位量測工具使用一寬頻輕射源(broadband radiation source)來產生波長範圍在可見光(visible)與深紫外光之間 (大約在200nm到800nm之間)之輯射(亦即發射賴射)來 照射基底200。通常,此輻射實質上垂直於基底200,並且 照射之區域之圖案尺寸(topographic dimension)—般大約小 於1mm。此外,在位測量工具照射基底200上與具有關鍵尺 寸,例如寬度206之結構(例如結構250)之一區域。藉由 EyeDTM之膜厚度測量與控制(或終點)之詳細說明,例如 已經揭露於美國專利號6,413,867之專利中(其標題為”使用 光譜干涉測量法之膜厚度控制”,公開於2002年7月2日), 以及美國專利申請號10/286,402之申請案(其標題為”基底 蝕刻製程之干涉終點決定”申請於2002年11月1日)。 如上述參考資料中所述,蝕刻深度與蝕刻製程終點可 以藉由計算反射的光線從晶圓(或基底)表面之建設性 (constructive)或破壞性干涉條紋(強度為最大或最小)被決 定。一範例干涉條紋趨勢(trend)信號圖形繪示於圖9C中。 13902pifl.doc 31 1356466 波峰301到波峰302之間之週期t,(或底部到底部),會等於 波峰302到波峰303之間之週期&。在週期t| (或t2)中被蝕 刻之材料厚度等於λ/2 ( λ為被監測的波長)。相同的週期表 示 疋的(steady)钮刻率與固定的(constant)波峰3〇 1、3〇2 與303之波峰強度11代表固定的信號強度。繪示於圖9c中介 於時間A到I之間的信號,可以使用一離散傅利葉變換 (d1Screte f0urier transf〇rmati〇n,DFT)將強度對時間的圖形 轉換成一強度對頻率的圖形被處理。如圖所示,若強度 對時間的為正弦曲線的圖形,所轉換成的強度對頻率的圖 形是為一垂直線(^在頻率fi。若圖9C之強度圖不為正弦曲 線,所轉換成的圖形會像圖9D中之曲線C2 —樣,具有一波 峰在頻率f,。f,為時間tl之倒數,其為監測波長的一半 (λ/2)之蝕刻時間。因為強度對時間曲線對時間是較寬廣 的,因此不容易準確的決定波峰3〇1與3〇2的位置以決定時 間I與丁4»若沒有精確決定丁3與丁4, ti (蝕刻時間)值不能 被精確計算。相反的,離散傅利葉變換(DFT)強度對時間的 曲線是較窄的,而可用以產生該圖形較精確的波峰3〇8,以 精確的決定f,’其為tl的倒數(1/ti)。因為週糾等於週期… 時間T3到時間τ5 (在時間丁4之下)所選擇之一延續時間 (duration time),將只會產生一波峰頻率。 ,然而’在許多情形中,干涉(interference)趨勢信號圖形 亚不像圖9C-樣理想。依據本發明之一實施例,一干涉條 紋強度對時間之非理想的圖形被繪示於圖9E中。介於波峰 304到波峰305之間之週期t7,大於介於波岭3〇5到波峰鳩 13902pifl.doc 32 1356466 之間之週期“。週期的減少代表蝕刻率的增加,可能是由於 钮刻負載效應(etch loading effect)所引起。波峰3〇4、305、 3〇6與307之波峰強度13、14、15與16,也會隨著㈣時間而 減少,其代表反射的信號亦隨著時間減少。蝕刻率隨著時 間的增加,伴隨著信號的變小,可以在接觸點…⑽“以卜孔 (via)與溝渠(trench)蝕刻中被觀察到。圖卯中繪示了,圖卯 的圖形介於時間Tl0與Tn之間之部分,經由離散傅利葉變換 (DFT)之結果。因$介於週期Τ|〇與Τμ之間之週期t6,小於全 部的(full)強度週期(峰對峰(peaktGpeak)),離散傅利葉變 換之結果並不會導致如圖9D所示之一頻率最大值i若 對%間丁10與712之間之信號執行離散傅利葉變換(DFT),得 到的強度對頻率圖形會具有一最大值以…7),而可以對^ 期(7提供一精綠的測量。然而,若對時間T10與丁,2之間之作 號執行離散傅㈣變換(DFT),得到的強度對頻率圓形不: 只具有單-最大值,而會得到如_所示之由兩個波峰; 2之一曲線之一組合結果。因此’如何選擇適當的時間 由來執行離散傅利葉變換是相當重要的。對於圖犯中所示 之強度曲線,使用一適當的時間窗寬度來執行離散 變換以精確地決定敍刻時間是相當重要的。對於具有逐漸 增加的蚀刻率的製程,用於離散傅利葉變換之時間窗需要 隨者時間縮短。同樣地,對於具有逐漸減少的㈣率 程’用於離散傅利葉變換之時間窗需要隨著時間增加。 在-實施财’在钮刻製程令,在位測量工具週期性、 地即時測量在材料層202中被韻刻之結構250之-過渡 13902pifl.doc 33 (_rmediate胸深度(亦即钱刻深度如)(例如每刚 讀執行一次測量)。敍刻製程與測量會持續到過渡深度等 於預設的深度2 7 5時才會終止。 在步驟658中,用於該㈣製程之垂直㈣率被定義。 此姓刻率藉由在步驟656中所執行之測量結果來定義刻 深f與^料可以藉由追綜(的叫在-時間序列(time sede) 中特疋波長(λ)下之反射條紋(reflectance fringe)被測 量。例如,當反射圖案(ref]ectance pattern)符合一波週期 (waVecycle)時,該蝕刻深度等於波長的一半(λ/2)。因此, 藉由將蝕刻深度與延續時間相除,可以得到蝕刻率。 在步驟660中,提供各別具有圖案化罩幕21〇 (例如光 阻罩幕)之一組生成的基底。在蝕刻製程之前,每一生成 的基底之寬度206與層202厚度會被測量。在一實施例中, 可以使用相同的測量工具(例如transf〇rmaTM量測模 組),以及依照上述步驟654中有關測試基底之相同的方法 來執行該些測量。 在步驟662中,每一生成的基底之結構25〇之目標蝕刻 率可以藉由使用上述(在步驟1〇8中)定義的,介於被蝕刻 之結構之起始寬度206 (在步驟660中被測量)、蝕刻製程之 垂直蚀刻率’以及被姓刻結構之預設的目標蚀刻深度265之 間之相關性被計算。 在步驟664中’生成的基底之圖案化罩幕21〇,可以使 用具有用以即時測量罩幕210之結構之高度之在位測量工 具之一餘刻反應器被移除。在#刻製程中,在位測量工具 13902pifl.doc 34 1356466 即時測量被蝕刻之圖案化罩幕210 (例如光阻罩幕)之結構 250之過渡高度(亦即高度275 ),並且傳輸測量結果到蝕刻 反應器之一處理器(或控制器)。在一實施例中,蝕刻製程 可藉由使用包括上述步驟656中所描述之在位測量工具之 分立電漿源DPS II模組來實施。 在步驟664中,結構250,藉由使用包括在位測量工具 用以即時測量結構250之蝕刻縱深分佈之一蝕刻反應器,被 形成於生成的基底之材料層202中。在独刻製程中,在位測 量工具即時測量被蝕刻之結構250之過渡高度(亦即高度 275 ),並且傳輸測量結果到蝕刻反應器之一處理器(或控 制器)。在一實施例中,在一實施例中,蝕刻製程可藉由使 用包括上述步驟656中所描述之在位測量工具之分立電漿 源DPS II模組來實施。 在步驟666中,程序650會詢問是否結構250之蝕刻深度 (例如過渡深度265 )已經被蝕刻到上述步驟662中所計算 之目標深度275。若步驟666之詢問的答案為否,程序650會 接著進行步驟664,以繼續蝕刻製程與即時在位測量結構 250之過渡高度275。若步驟666之詢問的答案為是,程序650 終止於步驟6 6 8。 在步驟668中,程序650會詢問是否該組基底中所有生 成的基底都已經被處理過。若步驟668之詢問的答案為否, 在程序650中會接著進行步驟660。若步驟668之詢問的答案 為是,在程序650中會接著進行步驟670。程序650終止於步 驟 670。 13902pifl.doc 35 1356466 · 圖1 〇為依據本發明之一實施例,繪示用以實施本發明 之一分立電漿源DPS II蝕刻反應器1000之一示意圖。分立電 毀源DPS II反應器1000包括’例如應用材料公司(Applied Material of Santa Clara, California)之一與半導體晶圓製程 系統整合之CENTURA®之一製程模組。在本實施例中所繪 示之反應器1000只是作為一實施例,並不能用以限制本發 明之範圍。 反應器1000通常包括,例如一製程腔體1010,其中具 有在一導電體(conductive body)(例如器壁(waii) ) 1〇3〇中 之一基底底座1016,以及一控制器1〇4〇。 腔體1010包括,例如一實質上平坦之介電蓋板 (ceiling)1020。在本發明其他實施例中,腔體1〇1〇可以具有 其他形式的蓋板。例如’一圓頂狀(d〇me-shaped)蓋板。在 蓋板1020上配置有一天線1 〇 12 ’包括一或多個感應線圈 (inductive coil)元件(圖宁繪示了兩個同軸(c〇_axial)元件 1012a與1012b)可以被選擇性地控制。天線1〇12透過一第 一匹配網路1019,連接到一電漿電源1018。傳統上,電漿 電源1018可以產生最大3000W、具有一可調(tunable)頻率範 圍為50kHz到13.56MHz之電源。 基底底座(陰極)1016透過一第二匹配(matching)網路 1024 ’被連接到一偏壓電源丨〇22 ^偏壓電源1 022通常包括, 例如可以產生頻率約為1 3.56MHz、最大功率約為5〇〇〜之連 續或脈衝電源。在其他實施例中。電源1〇22包括,例如一 直流(DC)或脈衝直流(pUised DC)電源。 13902pifl.doc 36 1356466 . 控制器1040包括,例如一中央處理器(cpu)丨〇44、一記 憶體1042,以及中央處理器1〇44之支援電路1〇46。控制器 1040可用於,例如簡化製程腔體1〇1〇之蝕刻製程之成分之 控制’以下將會有更詳細的說明。 蝕刻氣體(etchant gas)與一鈍化氣體(passivati〇n gas) 從一氣體面板1038被提供到製程腔體1〇1〇。傳統上,蝕刻 氣體透過一或多個位於基底底座1〇16上之入口(mlet)丨〇26 (圖上繪示了兩個入口)被提供。鈍化氣體從氣體面板1〇38 透過夕個入口 1 028 (例如開口、注入器(inject〇r)等)被提 供。入口 1 028 (圖上綠示了兩個入口)通常實質上等距地 環繞(equidistantly around)於大約與被蝕刻之半導體晶圓 1014共平面(C0Planar)之基底底座1〇16旁。入口 1〇28之位置 與實施將選擇’以便在晶圓1014之一周邊區域(例如接近 邊緣之環狀(annular))提供鈍化氣體的濃度的高度控制。 蝕刻氣體與鈍化氣體,各別使用不同的氣體導管 (conduit)(導管1037與1039)被傳輸到製程腔體1010中,並 且在二者未被分散在腔體的反應體積(reaction volume) 1053中之前不會被混合。在所繪示的實施例中,蝕 刻氣體藉由使用一環狀氣體通道(channel) 1027,被提供到 入口 1026。類似地,鈍化氣體藉由使用一環狀氣體通道1029 被提供到入口 1028。氣體通道1027與1029可以被形成於器 壁1030中,或是被連接到器壁1030氣體環(ring)(如圖10所 示)。在製程腔體1010中,蝕刻氣體與鈍化氣體形成一氣狀 (gaseous)混合物1050。在触刻製程中,氣狀混合物1 〇50藉 13902pifl.doc 37 1356466 由從電毁源1018到天線ι〇12施加電源,被游離成一電漿 1055。 腔體1010中之氣體壓力,可藉由使用一節流閥(thr〇ttle valve)l〇63與一真空幫浦1〇36被控制。器壁1〇3〇之溫度可以 藉由使用穿過器壁1030之含液態(丨iquid—conuining)導管 (未繪示)來控制。傳統上,腔體器壁1〇3〇包括,例如一 金屬(例如鋁(aluminum,A1) '不銹鋼等),並且被連接到一 電性接地1034。 在運作中,晶圓1014的溫度可藉由穩定基底底座1〇16 之一溫度來控制。在一實施例中,一後側氣體(例如氦氣 (helium,He))從一氣體源極,透過一氣體導管1〇49,被提 供到形成於晶圓1 〇 14下之底座表面中之通道。後側氣體被 用以實施底座1016與晶圓1014之間之熱轉換(heat transformation)。在製程中,底座1〇16可以藉由一内建電 阻加熱器(embedded resistive heater)1032被加熱到一穩定 態(steady-state)溫度,然後氦氣可用以均勻加熱晶圓1〇 14。 藉由此熱控制,晶圓1014可以被保持在介於大約〇。c到 350°C之間之一溫度。 在一實施例中’基底底座1016包括,例如一靜電吸盤 (electrostatic chuck)、一邊環(edge ring)l〇l5、電阻加熱器 1032、一散熱片(heat sink)1066,以及一固定組件1〇〇6。靜 電吸盤1060包括’例如至少一限制電極(damping electrode)1080,並且藉由一吸盤電源供應器1〇76來控制。 電阻加熱器10 3 2通常包括,例如至少一加熱元件1 〇 3 2,並 38 13902pifl.d( 1356466 且藉由一加熱電源供應器1078被調整。在另一實施例中, 基底底座1016包括,例如一底部縮限環(suscept〇r damp ring)、一機械吸盤等基底保持機制(retenti〇n mechanism)。 在運作中,升降機械(lift mechanism)1062被用以舉起 晶圓1014離開基底底座1016,或是降低基底到基底底座 上。通常,升降機械1062包括,例如多數個升降柱(1沿 pin) 1072 (圖中繪示了 一個升降端)穿過各自的導孔(guide hole)1088。製程腔體丨010也包括,例如傳統的系統,用於 製程控制、内部診斷(diagnostic),以及終點偵測等。此系 統被集合繪示成一支援系統1 〇〇7。 熟悉此技藝者應當知道,其他敍刻腔體的設計包括, 例如具有遠端(remote)電漿源之腔體,以及電子迴旋共振 (electron cyclotron resonance,ECR)電衆腔體等,也可以被 用以實施本發明。 為了更方便地控制製程腔體1〇1〇,控制器1〇4〇可以為 一任何形式的一般用途的電腦處理器,例如可以被用於工 業設定’用以控制各個腔體與子處理器。中央處理器 (CPU) 1 044之記憶體或電腦可讀取媒體1 〇42可以被一或多 個可讀取記憶體,例如隨機存取記憶體(rand〇m access memory,RAM)、唯讀記憶體(read 〇niy mem〇ry,R〇M)、軟 碟(floppy disk)、硬碟(hard disk),或是任何其他形式的當 處(local)或退端數位儲存裝置。支援電路1046被連接到中央 處理器1044,用以以一傳統的方法支援處理器。該些電路 包括快取(cache)、電源供應器、時脈(cl〇ck)電路、輸入/輸 13902pifl.doc 39 1356466 出電路與子系統等。本發明方法,通常可以以一軟體程序 (routine)儲存於記憶體1042中。或者是,此軟體程序也可以 藉由位於中央處理器1044控制之硬體外之一第二中央處理 器(未繪示)被儲存與/或執行。 在一實施例中,與一離位量測工具整合之一蝕刻系 統,而可用以測量關鍵尺寸(CD)與膜厚度,可以參考應用 材料(Applied Material)公司之 TRANSFORMAtm 系統 800(請 參考圖11 )。有關應用材料(Applied Material)公司之 TRANSFORMAtm系統的詳細描述,可參考美國專利申請號 10/428,145之申請案(其標題為”在半導體裝置製程中用以 控制蝕刻製程之方法與設備”,申請於2003年5月1日)。該 系統包括,一腔體或主體(mainframe)8(H,例如CENTURA® 製程系統,用以結合多數個製程腔體802,例如,傳統的蝕 刻反應器,例如分立電漿源DPS IITM模組、矽蝕刻腔體,以 及一或多個轉移腔體803 (也叫做承載”load locks”)。在本 發明之一實施例中,4個蝕刻製程腔體802被固定到主體 801。在一實施例中,3個蝕刻製程腔體802被用於蝕刻,而 一個則選擇性地被用於蝕刻後清洗(亦即在蝕刻後,從晶 圓上移除光阻聚合物與其他殘餘物)。一機器手臂 (robot)804,在主體801中用以在製程腔體802與轉移腔體 803之間傳輸晶圓。轉移腔體803被連接到一工廠介面805, 亦稱做一迷你環境潔淨室(mini environment),其中維持了 一個被控制的環境。一量測(metrology)(或測量 (measurement))工具806,可以被整合在承載區(load lock 13902pifl.doc 40 1356466 - area)8〇5中,並具有高速資料收集與分析能力,因此進入系 統800的每一晶圓可以在蝕刻處理之前或之後被測量厚 度。罝測工具806也可以被安裝在製程系統8〇〇中不同的位 置。一或多個製程腔體802也可以是沈積腔體,係因為本發 明也可以實施於沈積製程中。 本發明以可使用其他蝕刻製程來實施,其中熟悉此 藝者可以利用此處所揭露之内纟,錢有偏離本發明之範 圍下,調整參數以獲得可接受的特徵。 本發明以可使用其他半導體晶圓來實施,其中熟悉此 技藝者可以利用此處所揭露之内容,在沒有偏離本發;之 範圍下’調整參數以獲得可接受的特徵。 雖然前述的實施例,部分是有關於在移除圖案化罩幕 中之終點摘測,4旦是本#明亦可用於該積體電路中之盆他 裝置與結構的製造》 、 —雖然本發明已以較佳實施例揭露如上,然其並非用以 限=本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍内,當可作些許之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】 圖1為依據本發明之一實施例所繪示之用於監測一蝕 刻製程之一方法之一流程圖。 圖1A繪示一在位量測工具’其中具有干涉與/或光譜 測量组件與一光學電磁發射(_咖1 13902pifl.doc 41 1356466 emission,OES)監測組件 β 圖1Β。為製程腔體之一示意圖,繪示用於從製程腔體 日曰圓到光收集(light collecting)裝置之反射光之一 路徑。 圖2 A與2B為依據本發明之一實施例所緣示之用於監 測一罩幕移除製程之一方法之一流程圖。 、 圖3A與3B繪示具有被移除之一圖案化罩幕之一基底 之剖面示意圖。 圖4繪不在—罩幕移除製程中,垂直蝕刻率與水平蝕 刻率之間之相關性之一圖形。 圖5A與5B為依據本發明之另一實施例所繪示用於監 測一罩幕移除製程之一方法之一流程圖。 圖6A與6B為一系列的圖形,繪示在一罩幕移除製程 中k 一基底反射之輻射之光譜強度之改變。 圖7為一圖形,繪示反射的輻射之光譜之最小值之光 譜位置,與被移除之圖案化罩幕之特徵之寬度之間之相關 性。 圖8A與8B為依據本發明之另一實施例所繪示之監測 一材料層蝕刻製程之一方法之一流程圖。 圖9A與9B為剖面示意圖,繪示具有被蝕刻材料層之 一基底。 圖9C繪示在穩定的強度週期中一干涉條紋之強度對 時間之一圖形。 圖9D繪示—干涉條紋之強度對頻率之一圖形。 13902pifl.doc 42 1356466 · 圊9E繪示在逐漸減少的強度週期争— 度對時間之一圖形。 十涉條紋之強 圖卯繪示在圖9E _之時間丁,0到Τ之門 之強度對頻率之_圖形。 1之間—干涉條紋 圖9G繪不在圖9£中之時間τ丨〇到 之強度對頻率之一圖形。 之間一干涉條紋 圖9H纷示在圖9E中之 之強度對頻率之—圖形。 間-干涉條紋 圖10繪示被用於執行部分 模組之—姓刻反應器之-示意圖的本發月之具有一在位監測 製程於實財發^某—科之示範的 【主要元件符號說明】 10 :程序 1卜12'13'14、15、16、18:步驟 5 〇:量測模組 54 :光纖 55:信號收集裝置 56 ' 7 3 .彳§號線 59 : 光譜儀 60 : 處理器 61 : 控制器 71 : 窗口 13902pifl.doc 43 1356466 - 72 :反射之光 74 :光線形成光學元件 75 :晶圓 76 :電漿 100 :程序 1(H、102、104、105、106、108、110、112、 118、120、122 :步驟
Rl、R2、R3、R4、R5、R6、R7 ' R8 ' R9 200 基底 202 層 203 區域 205 表面 206、 207、 216、 218 209 箭號 210 圖案化罩幕 214 215、 224 : 高度 220、 230 ' 250 : 結構 221、 205 : 表面 275 深度 300 圖形 304、 306 : 位置 314 角度 寬度 316 :箭號 400 :程序 44 114、116、 :光線 13902pifl.doc 1356466 401、402、404、406、408 :步驟 510、520 :圖形 5 11 :光譜位置 513、515 :波長 5 1 8 :最小值 600 :圖形 606、608、610、612 :測量 616 :箭號 650 :程序 45 13902pifl.doc 1356466 651、652、654 ' 656、658、660、662、664、666、668、 670 :步驟 800 : TRANSFORMATM 系統 801 :主體 802 :製程腔體 803 :轉移腔體 804 :機器手臂 805 :工廠介面 806 :工具 Τι、丁2、丁3、T4、T5、Τι〇、Τη ' T丨 2、Τ]3、T!4:時間 ti、t2、t6、t7、tg、ίξ):週期 fl、f2 :頻率 13、14、15、16 :波峰強度 304、305、306、307 :波峰 1000 :蝕刻反應器 1006 :固定組件 1007 :支援系統 1010 :製程腔體 1012 :天線 1012a、1012b :元件 1014 :晶圓 1015 :邊環 1016 :基底底座 1018 :電漿電源 46 13902pifl.doc 1356466 · 1019、 1024 :匹配網路 1020 : 介電蓋板 1022 : 偏壓電源 1026、 1028 :入口 1029 : 環狀氣體通道 1030 : 器壁 1032 : 加熱元件 1034 : 電性接地 1036 : 真空幫浦 1037 ' 1039 :導管 1038 : 氣體面板 1040 : 控制器 1042 : 記憶體 1044 : 中央處理器 1046 : 支援電路 1048 : 氦氣源 1049 : 氣體導管 1050 : 混合物 1053 : 反應體積 1055 : 電漿 1062 : 升降機械 1063 : 節流閥 1066 : 散熱片 1060 : 靜電吸盤 13902pifl.doc 47 1356466 1072 :升降柱 1076、1078 :電源供應器 1080 :限制電極 1088 :導孔 13902pifl.doc 48

Claims (1)

1356466 · 13卯2ρίβ 爲第93116380痛中文專利範圍無劃線修正本修正日期:ι〇〇年$月$日 十、申請專利範圍: 1· 一種監控蝕刻製程的方法,包括: (a)對—基底執行一預蝕刻(pre_etch)測量,以產生一 預蝕刻董測資訊,其中,該預蝕刻量測資訊包括:至少一 ,材料層厚度測量以及一關鍵尺寸(CD)測量; 4 將該基底與該預蝕刻量測資訊提供給一蝕刻反應 器; (0在該蝕刻反應器中使用一蝕刻製程來蝕刻該基 底,其中以該預蝕刻量測資訊結合一蝕刻製程監測,來監 測一蝕刻製程終點;以及 (d)當該蝕刻製程監測,確定該蝕刻製程符合該蝕刻 製程終點時,終止該触刻製程。 2. 如申請專利範圍第1項所述之監控蝕刻製程的方 法,更包括加上三偏離濾除器(〇utUer fmer), 姓刻量測資訊中之偏離值(outller)。 移除· 3. 如申請專利範圍第1項所述之監控蝕刻製程的方 法,其中步驟(d)中之該钮刻製程監測,更包括符合該㈣ 製程之一預定蝕刻深度(depth)。 、、4.如申請專利範圍帛1項所述之監控银刻製程的方 法’其中步驟(d)中之該姓刻製程監測,更包 刻製程中欲所成之-結構之一預定圖案尺寸。 、、5·如申請專利範圍帛1項所述之監控飯刻製程的方 法’其中該預银刻量測資訊包括:關鍵尺寸(CD)測量。 6·如申請專利範圍第1項所述之監控钱刻製程的方 49 1356466 . 13902pif2 修正日期:1〇〇年5月5日 爲第1«80號中文專利範圍無劃線修正本 法,其中該預蝕刻量測,包括光學量測(。pticai贿⑻㈣。 7·如申請專利範圍第6項所述之監㈣刻製程的方 法’其中該光學量測’包括干涉測量法(interfe_㈣散 射測量法(Seatten>metry)、反射測量法㈣⑽。刪⑼與擴圓 率測量法(ellipsometry)至少其中之_。 8·如申請專利範圍第i項所述之監㈣刻製程的方 法,其中該姓刻製程監測,是藉由光學量測所獲得。 9. 如申請專利範圍第8項所述之監控_製程的方
法,其中該光學量測,包括干涉剛量法、散射測量法血反 射測量法至少其中之一。 10. 如申請專利範圍第1項所述之監控蝕刻製程的方 法,其中該蝕刻製程監測,更包括: 使用一垂直蝕刻率與一水平蝕刻率之間之一相關性 (correlation) 〇 11. 如申請專利範圍第1項所述之監控蝕刻製程的方 法,其中該蝕刻製程監測,更包括:
將一輻射(radiation)入射到該基底上; 收集從該基底反射之該輻射之一部份;以及 使用一干涉(interferometric)測量技術,來測量一層之 一厚度。 12. 如申請專利範圍第11項所述之監控蝕刻製程的方 法,其中該輻射實質上垂直於該基底。 13. 如申請專利範圍第11項所述之監控蝕刻製程的方 法’其中入射到該基底之s亥幸§射之一光譜之一波長範圍為 50 1356466 13902piC 修正日期:1〇〇年5月5日 爲第93116380號中文專利範圍無劃線修正本 200nm 到 80〇nm。 14. 如申請專利範圍第11項所述之監控蝕刻製程的方 法,其中該輻射之一強度被調變在一頻率為1〇Hz。 15. 如申请專利範圍第丨項所述之監控蝕刻製程的方 法’其中該蝕刻製程監測更包括: 將一輻射入射到該基底上; 收集從該基底反射之該輻射之一部份;以及 測量從該基底反射之該輻射之各波長之一強度之一 光譜。 、I6.如申請專利範圍第15項所述之監控蝕刻製程的方 法,其中該蝕刻製程監測,更包括: 使用該光譜之一最小值之一光譜位置㈣⑽… position)’以及形成於該基底上之一結構之一寬度之間之一 相關性。 17. 如申叫專利範圍第丨項所述之監控钱刻製程的方 法,其中該預姓刻測i:,是冑由連接到一製程系統之一量 ,模組其中之—所提供’其中該製程系統包括該關反應 器以及從該製程系統移除之一量測模組。 18. —種監控罩幕移除(trim)製程的終點(endp〇int)的 方法,包括: ()對八有罩幕一基底執行一預钮刻(pre-etch)測 量’以產生該罩幕之-預㈣量測資訊,纟中該預餘刻量 測資訊包括:該罩幕之關鍵尺寸(CD)測量; (b)將該基底與該預蝕刻量測資訊提供給一蝕刻反應 51 1356466 13902ρΐβ 修正日期:100年5月5日 .爲第州16380號中文專利範_劃線修正本 器 ⑷使用一蝕刻製程來移除該罩幕其中以該預蝕刻 1測-貝訊結合,製程監測,來監測一移除製程;以及 ⑷h㈣製程監測,確㈣罩幕被移除到一預定 尺寸蚪,終止該移除製程。 二如方IT:?第18項所述之監控罩幕移除製程 以移除,箱 加上一偏離濾除器(_lier filter), 以移除該預钱刻量測資訊中之偏離值(。—。 2〇·如申請專利範圍第18 的終點的方法,豆中,罩暮:丄速之監控罩幕移除製程 21.如申請專利範圍第18項所述之 : 的Τ:二Γ該“是藉由使用,製程二程 _的方法V=:量項:述:括監:"幕移除製程 metrology)。 '、 ^ 括光學量測(〇ptical 23. 如申請專利範圍第22項所述之 的終點的方法,其中該光學量挪,包括干移除製程 劍量法、反射測量法與橢圓率剛量法至少其中、散射 24. 如申請專利範圍第18項 / ; ^一。 的終點的方法,其中錢刻製程 1罩幕移除製程 獲得。 取丨疋错由光學量測所 25. 如申請專利範圍第24項所述之監控 的終點的方法,其中該光學量挪 :幕移除製程 測量法與反射測量法至少其中之— 測量法、散射 52 1356466 13902pif2 爲第93116380號中文專利範圍無劃線修正本 修正曰期:1〇〇年5月5日 26. 如申請專利範圍第18項所述之監 的終點的方法,其中該蝕刻製程監測,更包括.製程 使用-垂直_率與—水平㈣率之間之 (correlation)。 相關性 27. 如以專職圍第18項所叙監控罩幕移除 的…點的方法,其中該蝕刻製程監測,更包括: 將一輻射入射到該基底上;
收集從該基底反射之該輻射之一部份;以及 使用一干涉測量技術,來測量一層之一厚度。 28. 如申請專利範圍第27項所述之監控罩幕移 的終點的方法,其中該輻射實質上垂直於該基底。、 的終^ t中請專利範圍第27項所述之監控罩幕移除製程 级、,’法,其中该輻射入射到該基底之該輻射之—光 6曰之一波長範圍為200nm到800nm。 30.如申請專利範圍第27項所述之監控罩幕移除製程 10Hz點的方法,其中該輻射之—強度被調變在一頻率為
31. 如申請專利範圍第18項所述之監控罩幕移除 的終點的方法,其中該蝕刻製程監測更包括: 將—輻射入射到該基底上; 收集從該基底反射之該輻射之一部份;以及 測量從該基底反射之該輻射之各波長之一強 — 光譜。 又 32. 如申請專利範圍第31項所述之監控罩幕移除製程 53 1356466 .. 13902piG 修正日期:1〇〇年5月5日 爲第93116380號中文專利範圍無劃線修正本 的終點的方法,其中該蝕刻製程監測更包括: 使用該光譜之一最小值之一光譜位置,以及形成於該 基底上之一結構之一寬度之間之一相關性。 33. 如申凊專利範圍帛18項所述之監控罩幕移除製程 的終點的方法,其令其中該預钱刻測量,是藉由連接到一 製程系統之-量測模組所提供,纟中該製㈣統包括該钱 刻反應器以及從該製程系統移除之一量測模組。 34. —種用於監控蝕刻製程的系統,包括:
至少一反應器,用以執行一蝕刻製程; 、至少-量測模組,用以提供—懸刻量測資訊到該至 少-蝕反應器,該預蝕刻量測資訊包括:至少一材料層 厚度測量以及一關鍵尺寸(CD)测量;以及 至少—基底機器手臂(robot); ”中,該至少-反應器,包括__姓刻製程測量工具, 用以監測該蝕刻反應器之一蝕刻製程終點。
35. 如申請專利範圍第34項所述之監控蝕刻製程的系 統’其中該至少一蝕刻反應器,包括一電聚反應器。 36. 如申請專利範圍第34項所述之監控蝕刻製程的系 統,其中該至少一量測模組,使用一非破壞性 (non-destructive)光學測量技術。 37.如申請專利範圍第34項所述之監控蝕刻製程的系 統其中《玄叙刻製程測量工具使用一干涉測量技術。 3 8.如申请專利範圍第34項所述之監控蝕刻製程的系 統,其中該蝕刻製程測量工具,更包括: 54 1356466 · 13902pi£2 mm 93i 16380 修正日期:100年5月5日 輻射源(s〇urce of radiati〇n),用以照射該 一區域;以及 _ 〈 一干涉儀。 申%專利範圍第34項所述之監控蝕刻製程的系 統,其中該輕射源提供之一輻射,實質上垂直於該基底Γ 申叫專利範圍第34項所述之監控蝕刻製程的系 統,其中違輕射源提供之一輻射之一波長範圍在細⑽到 800nm之間。
如申明專利範圍第38項所述之監控蝕刻製程的系 統,其中該輻射源調變該輕射之一強度在一頻率為i術。、 42. —種在位量測工具,包括: 至:>、電漿反應器用以執行一晶圓製程; &至沙—測量模組,連接到該至少電漿反應器,用以測 里土氐之層之至少一厚度以及一關鍵尺寸(cd);以 及
至夕電漿狀態監測模組,連接到該至少一電漿反應 器’用以監測該至少一電漿反應器中之一電漿狀態。 43. 如申請專利範圍第42項所述之在位量測工具,其 中該厚度測量模組使用一干涉測量技術。 44·如申請專利範圍第42項所述之在位量測工具其 中該關鍵尺寸測量模組使用一非破壞性光學測量技術。 45.如申請專利範圍第42項所述之在位量測工具,其 中該電漿狀態監測模組使用一光學電磁發射(〇pdcal electromagnetic emission)測量技術。 55 1356466 · · 13902pif2 爲第93116380號中文專利範圍無劃線修正本 修正日期:1〇〇年5月5日 46.如申請專利範圍第42項所述之在位量測工具,其 中該電漿反應器包括一電漿蝕刻反應器。 56
TW093116380A 2003-06-18 2004-06-08 Method and system for monitoring an etch process TWI356466B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47960103P 2003-06-18 2003-06-18
US10/674,568 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process

Publications (2)

Publication Number Publication Date
TW200507143A TW200507143A (en) 2005-02-16
TWI356466B true TWI356466B (en) 2012-01-11

Family

ID=33424138

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093116380A TWI356466B (en) 2003-06-18 2004-06-08 Method and system for monitoring an etch process

Country Status (6)

Country Link
US (2) US8257546B2 (zh)
EP (1) EP1492153A3 (zh)
JP (1) JP2005012218A (zh)
KR (1) KR101046918B1 (zh)
CN (1) CN1319141C (zh)
TW (1) TWI356466B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI483284B (zh) * 2012-06-01 2015-05-01 Taiwan Semiconductor Mfg Co Ltd 電漿處理裝置、用於一電漿處理裝置之控制系統以及控制一電漿處理裝置之一可控制閥之方法

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
DE102004018454A1 (de) * 2004-04-16 2005-11-03 Infineon Technologies Ag Verfahren und Vorrichtung zum Überwachen des Ätzvorgangs einer regelmässigen Tiefenstruktur in einem Halbleitersubstrat
US20050247894A1 (en) * 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7342641B2 (en) * 2005-02-22 2008-03-11 Nikon Corporation Autofocus methods and devices for lithography
US7465590B1 (en) * 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
KR100683400B1 (ko) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
CN101055422B (zh) * 2006-04-14 2012-05-02 应用材料公司 用于透明基材的整合式测量室
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
KR100868083B1 (ko) 2006-05-19 2008-11-14 세종대학교산학협력단 웨이브릿을 이용한 플라즈마장비의 센서정보 감시방법
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
JP5441332B2 (ja) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド フォトマスクエッチングのための終点検出
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP5654753B2 (ja) * 2007-02-23 2015-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペクトルを使用した研磨終了点の決定
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN101494160B (zh) * 2008-01-22 2011-05-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
CN102163567B (zh) * 2008-01-22 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
CN101717935B (zh) * 2008-10-09 2011-11-23 欣兴电子股份有限公司 基板的金属层的蚀刻方法
CN101764075B (zh) * 2008-12-25 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶片背面缺陷的监测方法和系统
CN101834128B (zh) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN101859689B (zh) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 干法清洗时间的确定方法、装置及等离子体处理设备
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
WO2013018093A1 (en) * 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
CN102931071B (zh) * 2011-08-08 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种图形化蓝宝石衬底的方法及装置
CN102955363B (zh) * 2011-08-19 2014-10-08 上海华虹宏力半导体制造有限公司 光学临近效应修正在线监控的方法
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US8956886B2 (en) * 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
TWI497632B (zh) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
JP6653255B2 (ja) * 2013-12-22 2020-02-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法
CN104882389B (zh) * 2014-02-28 2017-12-26 无锡华润上华科技有限公司 一种半导体器件量测方法
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
CN105321845A (zh) * 2014-07-28 2016-02-10 Psk有限公司 基板处理装置及基板处理装置监控方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
KR101628762B1 (ko) * 2014-11-21 2016-06-21 주식회사 신성미네랄 복토재의 제조방법
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6541599B2 (ja) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10790203B2 (en) 2016-04-26 2020-09-29 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
CN106504971B (zh) * 2017-01-03 2018-03-16 京东方科技集团股份有限公司 一种等离子刻蚀方法及等离子刻蚀装置
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108987224A (zh) * 2017-06-01 2018-12-11 北京北方华创微电子装备有限公司 反应腔室及检测反应腔室内晶片状态的方法
CN107527830B (zh) * 2017-08-16 2019-12-31 武汉新芯集成电路制造有限公司 一种晶圆倾斜薄膜的监测方法
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US10998215B2 (en) * 2018-06-27 2021-05-04 Facebook Technologies, Llc Monitoring dry-etching of polymer layer for transferring semiconductor devices
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11421977B2 (en) 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN110071059B (zh) * 2019-03-29 2020-12-22 福建省福联集成电路有限公司 一种监控蚀刻的工艺方法及系统
US10871396B2 (en) 2019-04-05 2020-12-22 Samsung Electronics Co., Ltd. Optical emission spectroscopy calibration device and system including the same
CN110426451B (zh) * 2019-07-15 2021-12-24 Tcl华星光电技术有限公司 蚀刻速率量测装置及侧向蚀刻速率的量测方法
CN110850690B (zh) * 2019-11-19 2023-05-23 上海华力微电子有限公司 去胶设备、顶针监控方法和去胶工艺
CN111063627A (zh) * 2019-12-30 2020-04-24 中电国基南方集团有限公司 Bcb厚度的在片监测与控制方法及装置
CN111370344A (zh) * 2020-03-03 2020-07-03 武汉大学 一种用于在线监测半导体基片刻蚀过程的监测系统
WO2021181545A1 (ja) * 2020-03-11 2021-09-16 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
CN111430257B (zh) * 2020-04-02 2023-04-07 长江存储科技有限责任公司 测量装置及方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
JP2024522123A (ja) * 2021-06-03 2024-06-11 ノヴァ リミテッド 半導体デバイスの時間領域光計測および検査
CN115497866A (zh) * 2021-06-18 2022-12-20 中微半导体设备(上海)股份有限公司 一种升举顶针组件及等离子体反应装置
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
TWI835455B (zh) * 2022-12-08 2024-03-11 東龍投資股份有限公司 製程檢測方法、製程檢測圖案及形成方法,及光罩
CN117954340A (zh) * 2024-01-10 2024-04-30 苏州恩腾半导体科技有限公司 一种选择性蚀刻方法及装置

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US56700A (en) * 1866-07-31 Improvement in bed-recoil springs for printing-presses
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
EP0394597A1 (en) 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH0534280A (ja) * 1991-07-26 1993-02-09 Kaijo Corp 赤外線吸収式湿度変動計
US5329381A (en) * 1992-02-20 1994-07-12 Payne John H Automatic engraving method and apparatus
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5798529A (en) 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR100257903B1 (ko) 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
JP2000155023A (ja) 1998-11-20 2000-06-06 Nkk Corp 鋼板の板厚測定装置
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
JP2001068446A (ja) * 1999-06-30 2001-03-16 Applied Materials Inc 半導体ウェーハの汚染物質の検出
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
GB0016562D0 (en) * 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
JP4437611B2 (ja) 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077898A (ja) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd プラズマエッチングシステム及びエッチング工程管理方法
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6566025B1 (en) 2002-01-16 2003-05-20 Xerox Corporation Polymeric particles as external toner additives
JP4118071B2 (ja) * 2002-03-28 2008-07-16 株式会社ニデック レジスト外周除去幅検査装置
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI483284B (zh) * 2012-06-01 2015-05-01 Taiwan Semiconductor Mfg Co Ltd 電漿處理裝置、用於一電漿處理裝置之控制系統以及控制一電漿處理裝置之一可控制閥之方法
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US10787742B2 (en) 2012-06-01 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Control system for plasma chamber having controllable valve and method of using the same
US11821089B2 (en) 2012-06-01 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Control system for plasma chamber having controllable valve

Also Published As

Publication number Publication date
CN1319141C (zh) 2007-05-30
US20040203177A1 (en) 2004-10-14
US20120291952A1 (en) 2012-11-22
CN1619788A (zh) 2005-05-25
US8257546B2 (en) 2012-09-04
EP1492153A2 (en) 2004-12-29
JP2005012218A (ja) 2005-01-13
EP1492153A3 (en) 2006-05-10
KR101046918B1 (ko) 2011-07-07
KR20040111072A (ko) 2004-12-31
TW200507143A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
TWI356466B (en) Method and system for monitoring an etch process
JP7269296B2 (ja) 方法およびエッチングシステム
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
TWI250601B (en) Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US8980651B2 (en) Overlay measurement for a double patterning
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
TWI464818B (zh) 利用光學量測及感測器裝置之蝕刻製程控制
US8173451B1 (en) Etch stage measurement system
JP5106424B2 (ja) シリコンに対する誘電材料の選択エッチング方法及びシステム
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
TW200305250A (en) Methodology for repeatable post etch cd in a production tool
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
TW200403709A (en) Method and system for realtime CD microloading control
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
JP2008511167A (ja) ゲート・スタックをエッチングするための方法およびシステム
US20050185197A1 (en) Optical scatterometry method of sidewall spacer analysis
US8173450B1 (en) Method of designing an etch stage measurement system
Murnane et al. Subwavelength photoresist grating metrology using scatterometry
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
JP2005303088A (ja) プラズマ処理装置及びレジストトリミング方法
Stutzman Correlation of process with topography evolution during reactive ion etching
Chen OES-based sensing for plasma processing in ic manufacturing

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees