CN100382233C - 监测处理室中处理的方法以及基底处理设备 - Google Patents

监测处理室中处理的方法以及基底处理设备 Download PDF

Info

Publication number
CN100382233C
CN100382233C CNB2004100563991A CN200410056399A CN100382233C CN 100382233 C CN100382233 C CN 100382233C CN B2004100563991 A CNB2004100563991 A CN B2004100563991A CN 200410056399 A CN200410056399 A CN 200410056399A CN 100382233 C CN100382233 C CN 100382233C
Authority
CN
China
Prior art keywords
process chamber
processing
substrate
chamber
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100563991A
Other languages
English (en)
Other versions
CN1607636A (zh
Inventor
慕依大卫
刘炜
佐佐野弘树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1607636A publication Critical patent/CN1607636A/zh
Application granted granted Critical
Publication of CN100382233C publication Critical patent/CN100382233C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明公开了一种用于监测基底处理室的稳定度及调整处理参数的方法及装置,利用一整合的或原位的度量机台收集晶片处理前与处理后的厚度及关键尺寸测量资料,以监测处理室的稳定度及调整处理参数。通过整合的度量机台而能够达到实时监测室之稳定度,以减少对晶片进行错误处理的风险及成本。实时处理参数调整可以严格管制处理参数。利用此方法及装置亦可以缩短处理建立循环。

Description

监测处理室中处理的方法以及基底处理设备
技术领域
本发明是有关于一种对半导体基底进行处理的系统,且特别是有关于一种监控室稳定度以及在反应中调整处理参数以最佳化对基底进行的处理。
背景技术
目前,对超大规模集成电路的需求为达成高密度及高处理效能的目标,是增进晶体管及电路的速度及改善可靠度。也就是说要满足此需求,此组件的特性必须是具有高精确度及均匀度,其可透过逐一监控的方式来完成,其包括在半导体晶片被切割成个别的电路芯片之前进行的经常性且严格的检查。
早期,对于室产生的错误(chamber fault)或是处理漂移(process drift)的检测相当地重视,因为可以防止错误的处理,以减少芯片报废、芯片重新制作及组件生产成本。而在基底上的主动组件或是被动组件的制作中,典型的基底上具有导体、半导体及介电材料以形成或互联于基底上的各组件。一般来说,在基底上形成这些材料的方法包括化学气相沉积法、物理气相沉积法、离子注入法、氧化处理或氮化处理。另外,有些基底上的材料形成层状结构,而若要形成如孔洞、信道、开口、介层洞或是沟槽等其它形状,可以使用的方法包括进行一蚀刻处理。
随着技术的发展,组件需更微小尺寸及狭小的间距以增进组件的操作效能且达到更高的组件密度。此外,蚀刻出深的特征图案其具有大的深宽比(aspect ratio)能够提供更快速的电路或高信号处理效率。深宽比(aspectratio)指的是特征图案的深度及其开口尺寸的比例。此种具有此图案特征的实例,例如是动态随机存取内存(DRAM)的沟槽式电容器处理中的硅深沟槽蚀刻。
在动态随机存取内存(DRAM)硅深沟槽电容器的制造中,沟槽的开口小于0.14微米,而沟槽的深度却可能大于7微米,这些深沟槽的深宽比会大于50。以公知的基底处理很难制造出具有大的深宽比的蚀刻特征图案,特别在当沟槽具有很小的开口尺寸时。具有大的深宽比的沟槽蚀刻处理对于处理室的操作条件及开口尺寸相当敏感。其中,室的操作条件对等离子体状态及反应物的浓度有很大的影响。由于开口的尺寸很小,因此将使得反应物要穿过沟槽到达沟槽中较深的地方变得不容易。另一方面,反应后的产物要从沟槽的底部回到基底的表面,也将因为开口的尺寸太小而产生困难。
发明内容
因此,本发明提出一种通过监控处理室中处理的操作条件,以利于调整处理参数(recipe),因而改善对基底进行的处理。
本发明是有关于一种使用整合度量工具(integrated metrology tool)量测基底上的材料层的性质以监测处理室的稳定度的方法以及设备。本发明计算出处理室对各晶片进行处理的处理率,其包括蚀刻率以及沉积率,而计算出处理率的方法包括在处理之前以及之后量测基底上的薄膜厚度,以及记录总处理时间,以检测出任何处理漂移,进而避免对基底进行错误处理(mis-processing)。本发明也使用处理率趋势以及移进来的基底的处理前厚度的量测,以实时调整处理参数以严密的控制处理。另外,本发明更利用即时处理资料缩短处理形成的循环时间。
本发明的一实施例是提供一种使用整合度量工具监测处理室对基底进行的处理。此方法包括将一基底移入一处理室之前,先将基底放置于整合度量工具中。接着在基底进行处理之前,利用此整合度量工具收集处理前量测数据。然后将基底移进处理室,并且于处理室对基底进行处理,并记录一总处理时间。在基底完成处理之后,将基底移至整合度量工具内。然后,在基底进行处理之后,利用此整合度量工具收集处理后量测数据。
本发明的另一实施例是提供一种调整在处理室对基底进行处理的处理参数的方法。此方法包括将基底移入处理室之前,先将此基底放置于一整合度量工具中。接着在基底进行处理之前,利用此整合度量工具收集处理前量测数据。之后将基底移进处理室,并且依据处理前量测数据以及一处理率趋势实时调整处理室对基底执行的处理参数。
在一实施例中,本发明的方法通过处理前厚度量测、处理后厚度量测以及总处理时间以计算出处理率,并且取得处理率趋势,且将处理率趋势与一控制规则系统作比较,倘若上述的数据激活了处理控制规则系统,则发出执行漂移的检测信号。
本发明的一实施例还提供一种设备,以于在处理室对基底进行的处理期间监测处理室以及实时调整处理参数。此设备包括一处理室;一量度工具,其用以量测薄膜厚度以及关键尺寸资料,且其与处理室耦接;一计算系统,其用以计算处理率并且储存厚度以及关键尺寸以及处理率资料。
附图说明
图1绘示出本发明一实施例的一图案化晶片于高深宽比深沟槽硅蚀刻之前的剖面图。
图2A与图2B是在一「正常」室条件下的硅深沟槽蚀刻的剖面示意图。图2A显示反应物R的蚀刻行动以及副产物B的保护功能。图2B显示硅深沟槽蚀刻后的深沟槽轮廓。
图3A与图3B是在一「异常」室条件下的硅深沟槽蚀刻的剖面示意图。图3A显示在沟槽中反应物R的受限蚀刻行动,过度蚀刻硼硅玻璃,以及用以保护顶硼硅玻璃的副产物B的受限效益。图3B显示硅深沟槽蚀刻后的深沟槽轮廓(止夹)。
图4显示一种硅蚀刻室的蚀刻率趋势图。
图5是经由一个不以度量工具整合的蚀刻室处理过的晶片的晶片移动及度量资料收集的简图。
图6是经由一个以度量工具整合的蚀刻室处理过的晶片的晶片移动及度量资料收集的简图。
图7是一种整合蚀刻系统的关键组成的方块图。
图8绘示本发明的整合式蚀刻系统的一个实施例。
图9绘示本发明的一实施例的蚀刻设备的操作流程图。
图10为本发明一例的等离子体蚀刻室1000的简化剖面图。
图11绘示本发明的一实施例的蚀刻设备的操作流程图。
图12绘示本发明的一实施例的蚀刻设备的操作流程图。
组件符号说明
100:图案化晶片             102:BSG
104:氮化硅层               106:垫氧化层
108:图案化堆栈层           110:间隙
200、250、300:硅深沟槽     202、303、352:硼硅玻璃
204、254、304、354:氮化层  206、256、306、356:垫氧化层
210、260、310、360:沟槽    212、312:硼硅玻璃表面
214、314:沟槽中的硅表面    400:硼硅玻璃蚀刻率趋势图
402:晶片顺序               404:硼硅玻璃蚀刻率
500:不以度量工具来整合的蚀刻室
502、506、510、602:匣      504:度量工具
508、606:蚀刻室            600:以度量工具来整合的蚀刻室
604、710:非原位度量工具    608:整合系统
610:原位度量工具                 700:生产线
720:处理器                       730:监控器
740:存储装置                     750:制造实行系统
760:先前处理步骤                 770:蚀刻机台
800:Applied Material公司的Transforma system
801:主框架                       802:蚀刻反应器
803:转移室                       804、807:机械手臂
805:厂房接口(Factory Interface)  806:度量工具
808:晶片匣                       1000:等离子体蚀刻室
1012:外壳                        1014:处理区
1016:基座                        1018:基底
1020:窗口                        1022:分光光谱仪
1024:折叠镜(Folding Mirror)      1026:透镜
具体实施方式
在制造半导体组件时需进行图案化处理。而在制造动态随机存取内存组件时,则必须在硅基底中蚀刻出深沟槽以形成深沟槽式容器。本发明提出一种制造组件的方法与设备,其特别是可用于进行深沟槽蚀刻处理。
具体地说,本发明使用一种与基底处理室(substrate processingchamber)例如是深沟槽蚀刻系统耦接的整合度量工具来测量基底上的材料层的厚度。所测得的数据可用于应用于或追踪基底的处理室,以调整实际处理参数(process recipe)并检测处理漂移的情况。实时的处理资料也有助于缩短处理形成的周期(process development cycle)。
为了方便起见,所述的本发明主要是以动态随机存取内存的深硅沟槽的蚀刻来说明。然而,本发明亦可用于其它种类的半导体基底处理处理,其包括其它的蚀刻处理和沉积处理,但不限定于此。用于蚀刻本申请案深硅沟槽的高深宽比的沟槽蚀刻机台(high aspect ratio trench,HART)的细部结构与蚀刻化学已公开于同一个申请人的美国专利第09/704887号及第09/705254号中,其发明名称同为”基底中高深宽比图案的蚀刻”,这两件专利的申请日为2000年11月1日。。
图1绘示出本发明一实施例的一图案化晶片100于高深宽比深沟槽硅蚀刻之前的剖面图。图案化的堆栈层108定义出一沟槽的位置,其包括7000埃至1微米之间的硼硅玻璃层(BSG)102、2000埃的氮化硅层104以及100埃的垫氧化层106,图案化的堆栈层108位于一裸硅基底112的顶部。在图1中,图案化堆栈层108已经利用传统图案化处理而形成间隙110,且已经准备好进行硅深沟槽蚀刻。用于蚀刻硅以形成深沟槽的反应物通常牵涉到一种或多种气体的组合,其包括SF6、HBr、NF3、O2(或He-O2)、C12、Br2、其它卤素为主的化合物、SiF4、C4F8、其它氟碳物(CxFy)以及氢氟碳物(HFC或CxHyFz)。硅深沟槽蚀刻处理对于处理室的改变相当的敏感,其例如是原位压力、气体流量、副产物再沉积于室侧壁、室温度以及基底温度的改变。倘若牵涉到使用O2作为反应气体,通常会产生保护副产物,其例如是SiO2或是SiOxBry,而且会有4~5%的O2流量(例如2/50sccm)的减少,而使处理进展到无法操作的情况。另外,硅深沟槽蚀刻处理对于0.14微米组件处理的开口尺寸也相当的敏感。倘若处理是设计成0.14微米的目标关键尺寸(CD),送进来的基底的沟槽开口平均低于0.14微米(例如是平均是0.12微米),此处理可能无法蚀刻沟槽到6~7微米的深度。详细如何使用整合CD度量工具收集以及采用蚀刻前以及蚀刻后关键尺寸以及晶片的组件特征轮廓以运作蚀刻室已公开于美国专利6,486,492,其发明名称是”半导体组件制造中对于集成电路尺寸的控制”,其于2002年11月26日公告;美国专利6,388,253,其发明名称也是”半导体组件制造中对于集成电路尺寸的控制”,其于2002年5月14日公告;以及美国专利申请号10/428,145,其发明名称是”在半导体组件制造期间控制蚀刻处理的方法与设备”,其于2003年5月1日提出申请。详细如何使用原位(in-situ)CD度量工具收集CD数据已公开于美国申请号60/479,601,其发明名称是”监测蚀刻处理的方法与系统”,其于2003年6月18日提出申请。
图2A显示当在一普通(或「佳」)的条件如正确的气体流量控制以及基底温度等下操作蚀刻室时,硅深沟槽蚀刻的表面反应,且沟槽开口210的关键尺寸将不会超过控制极限。反应物被散布于整个基底表面212以及沟槽210表面,以蚀刻硼硅玻璃(BSG)掩模层204的表面212与沟槽中的硅表面214。从蚀刻处理中产生的副产物B例如二氧化硅(SiO2)则从沟槽210漏出而保护硼硅玻璃掩模表面212并减缓硼硅玻璃掩模蚀刻率。图2B显示蚀刻后(post-etch)硅沟槽轮廓250。蚀刻后硼硅玻璃厚度252比蚀刻前(pre-etch)厚度202薄,但是倘若计划的为足量,则例如仍会留有1000~2000埃的厚度。
另一方面,当室条件异常时,如超出目标室压力,或是当晶片关键尺寸低于控制极限时,反应物R将不能到达沟槽310中的深度去作进一步地蚀刻处理(请见图3A)。异常的室条件会导致副产物B在硅沟槽表面314发展,这将会妨碍反应物R蚀刻硅表面,进而造成沟槽显示夹断形状(pinch-off shape)。因为反应物R无法被散布于硅沟槽表面314来蚀刻硅,所以大量反应物R会蚀刻硼硅玻璃表面312并增加其蚀刻率。另外,因为少量的硅蚀刻会发生在沟槽310中,所以较少的副产物B会再度沉积在基底表面312(也就是硼硅玻璃表面)而减缓硼硅玻璃蚀刻率。最终的结果是在蚀刻之后只有很薄的硼硅玻璃352甚至是没有硼硅玻璃剩下来(请见图3B)。
通过蚀刻前硼硅玻璃的厚度减去蚀刻后硼硅玻璃的厚度,可计算出硼硅玻璃蚀刻率及侦测出蚀刻效能的状况。硼硅玻璃蚀刻率的增加表示一种因室异常或比关键尺寸低的晶片关键尺寸所导致的处理漂移(processdrift)。导致于比说明书所列的晶片关键尺寸低的硼硅玻璃蚀刻率增加的原因将通过使用前述整合关键尺寸度量工具(integrated CD metrology tool)检查蚀刻前晶片关键尺寸测量而被排除。厚度量测、关键尺寸量测与组件图案轮廓可在利用光散射量测法(optical scatterometry)或反射量测法(reflectometry)的相同的度量工具中进行。厚度量测与关键尺寸量测也可在分开但整合的度量工具中实施。本发明的概念也可延伸至其它膜层特性工具中,例如用于薄膜组成分析的傅立叶变换红外线光谱仪(Fourier-Transform Infra-Red,FTIR)。
图4显示一种硼硅玻璃蚀刻率趋势图。X轴代表被侦测蚀刻率的晶片顺序402。晶片在左边被处理比晶片右边早。为了在一硅深沟槽蚀刻机台中被处理的晶片而利用一整合度量工具,理想地每个晶片是被侦测其硼硅玻璃蚀刻率。不过,这是非必要的。侦测每一个其它晶片或是每一个少数的晶片也可被接受。Y轴则表示硼硅玻璃蚀刻率404,其通过蚀刻前硼硅玻璃厚度减去蚀刻后硼硅玻璃厚度然后除以蚀刻处理时间的最终值所计算出来的。在规格的关键尺寸中以及在「正常」室压条件下被开始处理的晶片的硼硅玻璃蚀刻率被预期落在上控制极限(upper control limit,UCL)与下控制极限(lower control limit,LCL)内。曲线400表示当晶片A的硼硅玻璃蚀刻率在处理趋势上稍微上升时,处理漂移开始于晶片A。在晶片A继续上升后,硼硅玻璃蚀刻率倾向并在晶片B超过上控制极限。在经晶片A之后被处理的晶片有成为夹断沟槽轮廓的风险并且也许需要被废弃。在经晶片B之后被处理的晶片则很可能被废弃。
传统上,一个沉积或一个蚀刻室500不会以一度量工具来整合(请见图5)。对于一个不以度量工具整合的蚀刻处理室而言,从度量工具504到蚀刻工具508传送晶片(晶片匣506)的延迟时间将是长的。然后,晶片匣510被传送回到度量工具504。宝贵的时间被浪费在移动晶片匣(或匣)以及浪费在队伍中等待匣被移动的时候。通常从每一批502中只有一对晶片被选择来测量蚀刻后关键尺寸,以确认关键尺寸符合要求。图案化堆栈厚度通常不会被侦测。这是因为关于导致于在一非整合度量工具中额外的晶片移动与排队去测量的时间之延迟。如果晶片在一后续阶段通过剖面SEM确定已经遭受深沟槽夹断问题以及确定原因是导致于室异常的话,在问题被鉴定出来以前处理的大批晶片很有可能需要被废弃。废弃晶片的成本将会很高。虽然一批被处理过的被选晶片被测量蚀刻后硼硅玻璃厚度来检查室的稳定度,但是因为度量工具没有被整合,使得获得测量结果前有数批会被处理。如此一来,未立即鉴定处理漂移的风险仍然存在。
另一方面,当蚀刻机台606与一非原位(ex-situ)度量工具604或是以一原位(in-situ)度量工具610整合在一起以形成一整合系统608时,如图6所示,将没有时间被浪费在匣的物理移动以及等待排队上。整合系统608允许对通过蚀刻室的每一晶片在其处理前后测量硼硅玻璃厚度而不会有额外晶片产量成本。6~7μm的硅的深沟槽蚀刻可在每一晶片5~10分钟之间的情形下处理,而使用光散射量测法为基础的度量工具的9点(9-point)厚度量测则少于2分钟。厚度量测时间可通过降低测量部位(点)数目而进一步被减少。由度量工具所收集到的资料可立即提供到一个连接于蚀刻室的数据处理器。因此,在晶片处理产量上将不会有影响。
本发明的一具体实施例于一生产线700中利用一非原位度量工具710(度量工具)所实施的,如图7中所示。生产线700包括一度量工具710,例如光学度量工具如美国加州的Nanometrics of Milpitas所供应的NanoOCD 9000。度量工具710可用散射量测法或反射量测法技术。散射量测法的使用以及度量工具公开于公元2000年冬季的Microlithography World中Raymond所着的“Angle-resolved Scatterometry for semiconductormanufacturing”。反射量测法的使用以及度量工具教示于公元1998年TheAmerican Institute of Physics的Characterization and Metrology for USLITechnology:1998 International Conference中Lee所着的“Analysis ofReflectometry and Ellipsometry Data from Patterned Structures”。也可使用其它度量以及/或是晶片检验技术。生产线700还包括一处理器720,其于此进行电子分析,以及能显示处理器720分析结果的一监控器730。处理器720可被连接到一存储装置740,例如一半导体内存,并且可被连接到一计算机软件实施资料系统(computer software-implemented databasesystem)750,已知如传统用于处理信息的储存的一种制造实行系统(manufacturing execution system,MES)。
与非原位(ex-situ)度量工具(metrology tool)整合的蚀刻系统其可测量关键尺寸及膜厚的实例为Applied Materials公司产制的Transforma system800,如图8所示。此系统的详细资料已公开于美国专利申请案第10/428,145号中,其发明名称为「半导体组件制造用的控制蚀刻处理的方法及装置」(Method and Apparatus for controlling Etch Processes DuringFabrication of Semiconductor Devices),且申请日为2003年5月1日。此系统包括一主室(或主框架)801,例如为CenturaTM处理系统,其用来安装多个处理室,例如是公用的蚀刻反应器802,如DPSIITM硅蚀刻室及一或多个转移室803,其中后者又称加载室(load lock)。在本发明一实施例中,共有4个蚀刻反应器802安装在主框架801上,其中例如有3个蚀刻机台用来蚀刻,另一个则选择性地用以进行蚀刻后清洁,亦即,在蚀刻后将光刻胶聚合物及其它残余物自晶片上清除的步骤。此主框架801中并配置有一机器臂804,其用以在各处理反应器802及转移室803之间转移晶片。其中,转移室与一厂房接口805连接,此厂房接口805又称作微环境(minienvironment),其用以维持一受控制环境的状态。另外,尚可将一度量工具806整合在加载室区域(load lock area)805中,并令其与具备高速资料收取及分析能力的工具整合,以测量每一片进入系统800的晶片在蚀刻处理前后的膜厚。当然,此度量工具806亦可放置在此处理系统800中不同的位置。另一方面,由于本发明的概念亦适用于沉积处理,所以这些处理室802中亦可有一或多个同时作为沉积室。
本实施例的装置的操作说明如下,请参照图9的流程图。在晶片经过一处理工具处理,而得以在薄膜上形成光刻胶掩模之后,将其加载晶片匣(cassette)808中,再将此晶片匣808传送到厂房接口805中(步骤902)。接着,将一晶片自晶片匣808中移出,再使用机械手臂807将其转移到度量工具806中(步骤904)。在下一步骤906中,收取膜厚、关键尺寸及组件图案轮廓等资料,而在其后步骤908中,则如前述般依据所得的膜厚、关键尺寸及组件图案轮廓等资料来调整晶片的蚀刻配方。在下一步骤910中,将晶片自度量工具806转移到蚀刻机台802中,其先使用机械手臂807将晶片转移到转移室803中,再使用机械手臂804将晶片移到蚀刻室802中。接着,在步骤912中,依前述蚀刻配方对晶片进行硅深沟槽蚀刻,再将其转送回度量工具806,以在加载晶片匣808(即稍后步骤918)前进行蚀刻后的关键尺寸、组件图案轮廓及膜厚的测量(步骤914)。此厚度、蚀刻后关键尺寸及组件图案轮廓的测量结果系传送至处理器702,以计算出硼硅玻璃(BSG)的蚀刻速率及/或为下一片将蚀刻的晶片修正蚀刻配方,如前所述。如何调整蚀刻配方的详细信息公开于的美国专利申请案第10/428,145号中,其发明名称为「制造半导体组件用的控制蚀刻处理的方法及装置」(Method and Apparatus for controlling Etch Processes DuringFabrication of Semiconductor Devices),且申请日为2003年5月1日。
如图6所示的可测量关键尺寸(CD)及膜厚的原位(in-situ)度量工具610的一例,为EyeDTM测量模块,其可自美国加州Santa Clara的AppliedMaterials公司取得。此EyeDTM测量模块可使用一或多个非破坏性的光学测量技术,例如为分光光谱法、干涉测量法、散射测量法、反射测量法及其类似者。此原位度量工具例如为可进行干涉式监测方法者(如在时域中计算干涉条纹(interference fringe)的数目,或在频域中测量干涉条纹的位置等方法),以实时测量基底上所形成的结构的蚀刻深度轮廓。如何使用原位CD度量工具以收取CD资料的细节,公开于美国专利申请案第60/479,601中,其发明名称为「监测蚀刻处理的方法与系统」(Method andSystem for Monitoring an Etch Process),且申请日为2003年6月18日。组件图案轮廓及关键尺寸可一起用来微调蚀刻配方,而如何使用原位膜厚度量工具收取膜厚资料的细节,公开于美国专利US 6,413,837中,其发明名称为「使用分光干涉测量技术的膜厚控制方法」(Film ThicknessControl Using Spectral Interferometry),且公告日(issue date)为2002年7月2日。此细节并公开于美专利申请案60/462,493中,其发明名称为「在多重晶片处理过程中,利用原位与非原位测量与数据采集以提高处理可控性并行错误侦测的方法」(Process Control Enhancement and Fault DetectionUsing In-situ and Ex-situ Metrologies and Data Retrieval in Multiple PassWafer Processing),其申请日为2003年4月11日。
图10为举例的等离子体蚀刻室1000的简化剖面图,其用以进行本发明的方法。如图10所示,蚀刻室1000包括外壳1012,其环绕基底处理区1014。在蚀刻处理中,基底1018置于基座(pedestal)上,并暴露于区域1014形成的等离子体下。此等离子体所产生的电磁辐射中包含波长在光学频域(即190nm至1100nm之间的波长)内的辐射,而这些光学频域辐射中有一部分会经由基底1018的表面反射,并通过窗口1020而被分光光谱议1022侦测到。通过窗口1020的辐射可以折叠镜(folding mirror)1024反射至透镜1026,其可将辐射垂直导入光纤缆线1028中。此光纤缆线1028供辐射传导至分光光谱仪1022的载具,且折叠镜1024及透镜1026的放置方式可使自基底1018上表面反射的辐射穿过窗口1020,并垂直进入光纤缆线1028中。另外,与窗口置于室侧壁的配置方式相较,如图1所示的将窗口1020置于基底1018上方的配置方式,可使上述辐射测量具有更好的分辨率。但是,在本发明其它实施例中,窗口1020也可设置于室侧壁。
在其它并用等离子体辐射与宽频光源1034,或以后者取代前者的实施例中,光纤缆线1028具有分叉者。在这些实施例中,光源1034与分叉光纤缆线1028的二个信道中的一个光学耦接,而分光光谱仪1022则与另一信道光学耦接。来自宽频光源1034(如水银灯、重氢灯或氙气灯)的光会沿着光纤缆线1028的一条信道行进,并通过窗口1020而至基底1018上再反射出来。此反射光将经过窗口1020而进入光纤缆线1028的另一条信道,如前所述,最后到达分光光谱仪1022。此分光光谱仪1022可分离出不同波长的辐射,其例如是通过棱镜或绕射格栅(diffraction grating)来达成;并可针对许多分离的波长产生侦测信号(如侦测电流)。另外尚有一资料撷取卡1030与处理器1032耦接,以收取由分离的各波长的信号所表示的处理资料。此处理资料由资料撷取卡1030以周期性取样的方式来收取,且每次取样所得资料皆以处理器1032来处理。在一实施例中,处理器1032更可执行储存在与其相连的内存1031中的计算机指令,以同时控制室1000的操作。
请参照图11的流程图,其绘示本发明的实施例所公开的设备(apparatus)的操作步骤。在步骤1102中,当晶片于一处理工具(processingtool)中经过处理,而于下层(underlying layer)上形成光刻胶掩模后,将晶片加载晶片匣盒808(cassette)内,并将晶片匣盒传送至工厂接口(factoryinterface)805。在步骤1104中,将晶片从晶片匣盒808中载出,并传送至蚀刻机台802,其先利用机械手臂807(robot)将晶片移动至传送至室803(transfer chamber)内,然后再利用机械手臂804(robot)移动晶片至蚀刻机台802内。在步骤1106中,收集薄膜的厚度、关键尺寸(CDs)、及组件特征轮廓(feature profile)。在步骤1108中,根据如上述的厚度测量结果、关键尺寸(CDs)及组件特征轮廓,调整用于晶片的蚀刻参数(etch recipe)。在步骤1110中,根据该参数对晶片进行硅深沟槽蚀刻。在步骤1112中,再度收集蚀刻后之薄膜的厚度、关键尺寸(CDs)及组件特征轮廓。将厚度测量结果、关键尺寸(CDs)及组件特征轮廓连接至处理器1031(processor),并如上述利用其计算出的硼硅玻璃(BSG)蚀刻率及/或修正而用于蚀刻下一晶片的蚀刻参数。之后,在步骤1114中,将晶片传送回晶片匣盒。
在蚀刻处理中也可以连续的修正薄膜的厚度、关键尺寸(CDs)及组件特征轮廓,以同步的调整蚀刻参数直到达到目标厚度或关键尺寸。此处理如图12所示。在图12中的步骤与图11中的步骤具有类似的功能。在图12的处理流程中,多加了的一个判断步骤(decision making)1213以测量是否达到目标厚度或关键尺寸。如果还未达到目标厚度或关键尺寸,处理步骤绕回到步骤1208直到达到目标厚度或关键尺寸。
此整合度量系统(integrated metrology system)解决了晶片传送时间及排列等待时间所造成的延迟问题。其和未与度量机台整合的公知蚀刻室相比还具有其它优点。举例来说,本发明与取样监测蚀刻后厚度相比,可以追踪一特定晶片的蚀刻前及蚀刻后测量结果,计算出该特定晶片的蚀刻率以表现出更精确的室效能。更进一步的,当每一晶片的效能可实时的被追踪,操作者可以实时的警觉处理趋势的指示以作紧急的处理。此可以避免对后续晶片进行错误处理,且不会使晶片报废。度量工具(measurement tool)也具有测量晶片关键尺寸(CDs)之能力,藉由测量蚀刻前晶片关键尺寸(CDs)可以排除因较高的BSG蚀刻率所造成低于标准规格的考量。此外,蚀刻前测量,如关键尺寸(CDs)、组件特征轮廓及非必要的BSG厚度,可往前回馈(fed-forward)至利用蚀刻室性能趋势(例如蚀刻率与关键尺寸趋势)的蚀刻室,以对各个晶片调整蚀刻参数,来达到较佳蚀刻效能及处理控制。最后,通过整合的数据处理器实时的追踪及显示蚀刻结果可以大大的减少蚀刻处理建立时间。相反的,在非整合的系统中表现出的建立时间相对的较慢,资料的收集会浪费时间。
本发明也可以应用到其它的处理室,例如沉积室。在本发明的一实施例中所使用的度量工具也可以视其它形式的膜特性化工具,例如用于膜组成分析的傅立叶变换红外线光谱仪(FTIR)。
虽然本发明已以较佳实施例公开如上,然其并非用以限定本发明,任何熟悉此技术者,在不脱离本发明的精神和范围内,当可作些许之更动与润饰,因此本发明的保护范围当视权利要求书所界定者为准。

Claims (19)

1.一种监测于处理室中执行的处理的方法,其特征在于,包括:
在基底进行处理之前,利用整合度量工具收集处理前量测数据;
于该处理室对该基底进行处理;
记录一总处理时间;
在该基底进行处理之后,利用该整合度量工具收集处理后量测数据,其中该处理前量测数据以及该处理后量测数据皆包括厚度量测以及关键尺寸资料;
利用该处理前量测数据、该处理后量测数据以及该总处理时间计算出一处理率;
计算一处理率趋势;
将该处理率趋势与一极限程度作比较;以及
当该处理率趋势超过该极限程度时,发出一执行漂移的检测信号。
2.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,该处理室是一蚀刻室。
3.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,该处理室是一沉积室。
4.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,还包括:
检验一蚀刻前关键尺寸资料;以及
倘若该蚀刻前关键尺寸资料有在一预定关键尺寸目标内,则排除该关键尺寸因素导致处理漂移。
5.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,这些移动步骤于一真空中进行。
6.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,该整合度量工具至少执行散射量测以及反射量测其中之一,以产生该处理前量测数据以及该处理后量测数据。
7.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,还包括:
依据该处理前量测数据以及一处理率实时调整该处理室对该基底执行的处理参数。
8.如权利要求7所述的监测于处理室中执行的处理的方法,其特征在于,该处理室是一蚀刻室,且该处理率是一蚀刻率。
9.如权利要求7所述的监测于处理室中执行的处理的方法,其特征在于,该处理室是一沉积室,且该处理率是一沉积率。
10.如权利要求1所述的监测于处理室中执行的处理的方法,其特征在于,该整合度量工具是一原位度量工具。
11.一种对基底进行处理的设备,其特征在于,包括:
一处理室,其用以对一基底进行处理;
一量度工具,其于该处理室进行处理之前以及之后,量测该基底的薄膜厚度以及关键尺寸资料;
一计算系统,其与该处理室以及该量测工具耦接,以计算一处理率以及处理率趋势资料,并且储存薄膜厚度以及关键尺寸资料。
12.如权利要求11所述的对基底进行处理的设备,其特征在于,该处理室是一蚀刻室。
13.如权利要求11所述的对基底进行处理的设备,其特征在于,该处理室是一沉积室。
14.如权利要求13所述的对基底进行处理的设备,其特征在于,该度量工具可以量测厚度、关键尺寸以及组件特征轮廓。
15.如权利要求14所述的对基底进行处理的设备,其特征在于,该度量工具可以量测该基底上的一薄膜的厚度。
16.如权利要求11所述的对基底进行处理的设备,其特征在于,该度量工具与该处理室整合在一起,且该度量工具对该处理室而言是非原位。
17.如权利要求11所述的对基底进行处理的设备,其中该度量工具对该处理室而言是原位。
18.如权利要求17所述的对基底进行处理的设备,其特征在于,还包括一主机,其具有一机械手臂,该机械手臂于该度量工具以及该处理室之间移动该基底。
19.如权利要求11所述的对基底进行处理的设备,其特征在于,该整合度量工具至少执行散射量测以及反射量测其中之一。
CNB2004100563991A 2003-08-06 2004-08-06 监测处理室中处理的方法以及基底处理设备 Expired - Fee Related CN100382233C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/636,468 US7482178B2 (en) 2003-08-06 2003-08-06 Chamber stability monitoring using an integrated metrology tool
US10/636,468 2003-08-06

Publications (2)

Publication Number Publication Date
CN1607636A CN1607636A (zh) 2005-04-20
CN100382233C true CN100382233C (zh) 2008-04-16

Family

ID=34116438

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100563991A Expired - Fee Related CN100382233C (zh) 2003-08-06 2004-08-06 监测处理室中处理的方法以及基底处理设备

Country Status (4)

Country Link
US (1) US7482178B2 (zh)
KR (1) KR101127431B1 (zh)
CN (1) CN100382233C (zh)
TW (1) TWI311793B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529632B1 (ko) * 2003-10-01 2005-11-17 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7109046B1 (en) * 2004-01-30 2006-09-19 Advanced Micro Devices, Inc. Surface oxide tabulation and photo process control and cost savings
JP4564272B2 (ja) * 2004-03-23 2010-10-20 株式会社東芝 半導体装置およびその製造方法
KR20070107017A (ko) * 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
CN101501568B (zh) * 2006-08-11 2013-07-10 奥立孔美国公司 最小化cd蚀刻偏差的方法
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR100812603B1 (ko) * 2006-11-03 2008-03-13 주식회사 하이닉스반도체 후처리에 의한 반도체소자의 콘택 형성 방법
CN101350326B (zh) * 2007-07-19 2010-09-08 上海华虹Nec电子有限公司 监控锗硅外延反应腔基座安装中心化的方法
CN101452215B (zh) * 2007-11-30 2010-10-20 中芯国际集成电路制造(上海)有限公司 关键尺寸的控制方法
KR101759745B1 (ko) * 2009-12-15 2017-07-19 램 리써치 코포레이션 에치 툴 공정 인디케이터 방법 및 장치
US8557682B2 (en) * 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
CN103363944B (zh) * 2012-04-01 2016-09-21 深南电路有限公司 一种去钻污咬蚀率及均匀度的测试方法
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
US9939808B2 (en) * 2014-03-06 2018-04-10 Texas Instruments Incorporated Monitor data attachment to product lots for batch processes
US10514685B2 (en) * 2014-06-13 2019-12-24 KLA—Tencor Corp. Automatic recipe stability monitoring and reporting
CN105988434B (zh) * 2015-02-04 2019-06-25 中芯国际集成电路制造(上海)有限公司 监测制造机台的方法及其系统
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
TWI813595B (zh) 2017-11-03 2023-09-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
TWI776003B (zh) 2018-12-14 2022-09-01 揚明光學股份有限公司 模造鏡片的製造設備及方法
CN112446978A (zh) * 2019-08-29 2021-03-05 长鑫存储技术有限公司 半导体设备的监控方法和装置、存储介质、计算机设备
CN111952138A (zh) * 2020-08-17 2020-11-17 浙江祺跃科技有限公司 一种原位原子层沉积扫描电子显微镜
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030082837A1 (en) * 2001-10-30 2003-05-01 Pasadyn Alexander J. Method and apparatus for cascade control using integrated metrology
US20030097198A1 (en) * 2001-11-16 2003-05-22 Sonderman Thomas J. Method and apparatus for utilizing integrated metrology data as feed-forward data

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
KR20020077753A (ko) * 2001-04-03 2002-10-14 한국표준과학연구원 박막 두께 변화율 산출 및 공정조건 모니터링 장치
US6513451B2 (en) * 2001-04-20 2003-02-04 Eastman Kodak Company Controlling the thickness of an organic layer in an organic light-emiting device
US6788988B1 (en) * 2001-12-17 2004-09-07 Advanced Micro Devices, Inc. Method and apparatus using integrated metrology data for pre-process and post-process control
US6895360B2 (en) * 2002-12-17 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030082837A1 (en) * 2001-10-30 2003-05-01 Pasadyn Alexander J. Method and apparatus for cascade control using integrated metrology
US20030097198A1 (en) * 2001-11-16 2003-05-22 Sonderman Thomas J. Method and apparatus for utilizing integrated metrology data as feed-forward data

Also Published As

Publication number Publication date
US20050032250A1 (en) 2005-02-10
TW200507151A (en) 2005-02-16
TWI311793B (en) 2009-07-01
KR101127431B1 (ko) 2012-03-23
KR20050016208A (ko) 2005-02-21
US7482178B2 (en) 2009-01-27
CN1607636A (zh) 2005-04-20

Similar Documents

Publication Publication Date Title
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
US8257546B2 (en) Method and system for monitoring an etch process
TWI250601B (en) Method and apparatus employing integrated metrology for improved dielectric etch efficiency
TWI375288B (en) Integrated metrology chamber for transparent substrates
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US6961131B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
US6924088B2 (en) Method and system for realtime CD microloading control
US20060134536A1 (en) Method and system for determining post exposure bake endpoint
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
KR102172031B1 (ko) 플라스마 처리 방법, 및 플라스마 처리 장치
JPH07130812A (ja) 半導体ウエハ加工プロセス評価システム
CN101055422B (zh) 用于透明基材的整合式测量室
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
CN115699286A (zh) 用来改进制造工艺性能的集成式基板测量系统
CN115769352A (zh) 基板测量子系统
JP2006013013A (ja) プラズマエッチング処理装置の制御方法およびトリミング量制御システム
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
Goodlin Multivariate endpoint detection of plasma etching processes
US20240255858A1 (en) In situ sensor and logic for process control
Barna et al. In Situ Metrology
Stutzman Correlation of process with topography evolution during reactive ion etching
Glazman et al. PASSIVE AND ACTIVE PYROMETRY IN RTP AND RTCVD SYSTEMS

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080416

Termination date: 20100806