KR20050016208A - 통합형 계측 장치를 이용한 챔버 안정성 모니터링 - Google Patents

통합형 계측 장치를 이용한 챔버 안정성 모니터링

Info

Publication number
KR20050016208A
KR20050016208A KR1020040062160A KR20040062160A KR20050016208A KR 20050016208 A KR20050016208 A KR 20050016208A KR 1020040062160 A KR1020040062160 A KR 1020040062160A KR 20040062160 A KR20040062160 A KR 20040062160A KR 20050016208 A KR20050016208 A KR 20050016208A
Authority
KR
South Korea
Prior art keywords
processing
substrate
process chamber
chamber
measurement data
Prior art date
Application number
KR1020040062160A
Other languages
English (en)
Other versions
KR101127431B1 (ko
Inventor
무이데이비드에스엘
리우웨이
사사노히로끼
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20050016208A publication Critical patent/KR20050016208A/ko
Application granted granted Critical
Publication of KR101127431B1 publication Critical patent/KR101127431B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

기판 공정 챔버의 안정성을 모니터링하고, 공정 레시피를 조절하기 위한 방법 및 장치. 통합형 계측 장치 또는 인-시츄 (in-situ) 형인 계측 장치에 의해 웨이퍼 처리 전후에 두께 및 CD 측정 데이터를 수집하여, 공정 챔버 안정성을 모니터링하고 공정 레시피를 조절한다. 통합형 계측 장치에 의한 실시간 챔버 안정성 모니터링은 웨이퍼 오처리로 인한 위험 및 비용을 감소시킨다. 실시간 처리 레시피 조절은 프로세스 레시피를 엄격하게 관리한다. 또한, 이 방법 및 장치에 의해 처리 절차 사이클이 감소될 수 있다.

Description

통합형 계측 장치를 이용한 챔버 안정성 모니터링 {CHAMBER STABILITY MONITORING USING AN INTEGRATED METROLOGY TOOL}
발명은 반도체 기판 공정 시스템에 관한 것이다. 특히, 본 발명은 챔버 안정성을 모니터링하고, 이에 응답하여, 공정 레시피를 조절하여 기판 처리를 최적화하는 기술에 관한 것이다.
현재, 초대형 스케일과 결합된 성능 및 고밀도에 대한 요구는 서브-미크론 형성체, 트랜지스터 및 회로의 속도 증가, 및 신뢰도 개선을 필요로 한다. 이러한 요구는 고정밀성 및 고균일성을 갖는 장치 형성체의 형성을 필요로 하고, 이것은 반도체 웨이퍼가 개별 회로 칩으로 다이싱되기 전, 주기적이고 상세하게 정밀 검사를 하는 공정을 포함하는 섬세한 공정 모니터링을 필요로 한다.
챔버 결함 또는 공정 드리프트 (drift) 의 사전 검출은 웨이퍼 오처리를 방지할 수 있기 때문에 웨이퍼 스크래핑, 웨이퍼 재처리 및 전체 장치 생산 비용을 감소시키크로 매우 바람직하다. 기판 상에 능동 및 수동 전자 장치를 제조할 때, 통상의 기판은 그 상부에 장치를 형성하거나 상호접속시키는, 도전성, 반도전성, 및 유전성 형상체를 갖는다. 통상, 이 재료는 예를 들어, 화학적 기상 증착 (CVD), 물리적 기상 증착, 이온 주입, 산화 또는 질화 처리에 의해, 기판 상에 형성된다. 그 후, 통상 층 형태로 형성되지만 다른 형상으로 형성될 수도 있는 몇가지 기판 재료는, 예를 들어, 에칭 처리되어, 공동, 채널, 홀, 바이어스 또는 트렌치와 같은 형상체가 형성된다.
기술 발전에 따라, 장치의 성능을 개선시키고 보다 높은 장치 밀도를 달성하기 위하여, 보다 소형화된 형상체 사이즈 및 보다 타이트한 형상체 간격을 필요로 한다. 또한, 고속 회로 또는 고속의 신호 처리 효율을 제공하기 위하여, 애스펙트비가 높은 딥 형상체를 에칭하는 것이 바람직할 수도 있다. 형상체의 애스펙트비는 형상체 개구 사이즈에 대한 깊이의 비율이다. 형상체 패터닝의 하나의 예로는 DRAM 트렌치 커패시터 제조를 위한 실리콘 딥 트렌치 에칭이다.
DRAM 실리콘 딥 트렌치 커패시터 제조 시, 트렌치의 개구 사이즈는 약 0.14 미크론 보다 작을 수도 있고 트렌치의 깊이는 7 미크론 보다 클 수도 있다. 이들 딥 트렌치의 애스펙트비는 50 보다 높을 수 있다. 특히, 형상체가 작은 개구 사이즈를 가질 때에는, 통상의 기판 처리 기술을 이용하여, 높은 애스펙트비를 갖는 형상체를 에칭하는 것은 어렵다. 일반적으로 이러한 높은 애스펙트비의 트렌치 에칭 공정은 공정 챔버 조건에서의 변화 및 개구의 사이즈에 민감하다. 챔버 조건은 플라즈마 상태 및 반응물 농도에 크게 영향을 받는다. 반응물이 작은 개구를 통해 트렌치로 깊이 투과하는 것은 어렵고, 반응 부산물이 트렌치 내부로부터 동일한 작은 개구를 통해 기판 표면으로 이동되는 것이 어렵다.
따라서, 공정 챔버의 처리 조건을 모니터링하는 기술 분야에서는, 기판 처리를 개선시키기 위하여 적합한 처리 레시피를 처리 조건에 이용하는 것이 필요하다.
본 발명은 통합형 계측 장치에 의해 재료층의 특성을 측정함으로써 공정 챔버의 안정성을 모니터링 하기 위한 방법 및 장치에 관한 것이다. 본 발명은, 기판 처리 전후 기판 상의 막 두께를 측정하고 총 처리 시간을 기록함으로써, 공정 챔버에 의해 처리되고 에칭 레이트 및 증착 레이트를 포함하는 웨이퍼 각각의 계산된 처리 레이트에서, 임의의 처리 드리프트를 검출하여 기판 오류-처리를 방지하도록 한다. 또한, 본 발명은 투입 웨이퍼의 처리전 두께 측정 및 목표 처리 레이트를 이용하여, 공정 레시피를 실시간 조절함으로써, 공정을 엄격하게 제어한다. 마지막으로, 본 발명은 또한 실시간 처리 정보를 이용하여 처리 진행 사이클 시간을 단축한다.
본 발명의 실시형태는, 공정 챔버에서 발생하는 기판 처리를 모니터링하기 위하여 통합형 계측 장치를 이용하는 방법을 제공한다. 본 방법은 공정 챔버로 기판을 투입하기 전에, 통합형 계측 장치에 기판을 위치시키는 단계, 통합형 계측 장치를 이용한 기판 처리 전, 처리전 측정 데이터를 수집하는 단계, 공정 챔버로 기판을 이동시키는 단계, 공정 챔버에서 기판을 처리하는 단계, 총 처리 시간을 기록하는 단계, 기판 처리가 완료된 후, 통합형 계측 장치로 기판을 이동시키는 단계, 및 통합형 계측 장치를 이용한 기판 처리 후, 처리후 측정 데이터를 수집하는 단계를 포함한다.
본 발명의 다른 실시형태는, 기판을 처리하기 위하여 공정 챔버에 의해 이용되는 공정 레시피를 조절하는 방법을 제공한다. 이 방법은, 공정 챔버에 기판을 투입하기 전, 기판을 통합형 계측 장치에 위치시키는 단계, 통합 계측을 이용한 기판 처리 전, 처리전 측정 데이터를 수집하는 단계, 공정 챔버로 기판을 이동시키는 단계, 및 처리전 측정 데이터 및 처리 레이트 경향에 기초하여 기판을 처리하기 위하여 공정 챔버에 의해 이용되는 공정 레시피를 실시간 조절하는 단계를 포함한다.
다른 실시형태에서, 본 발명의 방법은 처리전 두께 측정, 처리후 두께 측정, 및 총 처리 시간을 조작하고, 처리 레이트 경향을 제어 알고리즘과 비교하며, 데이터가 처리 제어 알고리즘을 트리거한다면 성능 드리프트의 검출을 신호로 보냄으로써, 처리 레이트를 계산한다.
본 발명의 실시형태는 공정 챔버를 모니터링하고 이 공정 챔버에서 기판을 처리하는 동안 실시간 공정 레시피를 조절하기 위한 장치를 더 제공할 수 있다. 이 장치는 공정 챔버, 공정 챔버와 연결되고 막 두께 및 임계 치수를 측정하기 위한 계측 장치, 처리 레이트를 계산하고 두께 및 임계 치수 측정을 저장하며 처리 레이트 정보를 처리하기 위한 컴퓨터 시스템을 포함한다.
이하, 본 명세서에 설명한 발명의 특징을 획득하고 상세하게 이해하기 위하여, 첨부된 도면을 통해 실시형태를 간단하게 요약한 본 발명의 특정한 설명을 참조한다. 그러나, 첨부한 도면은 본 발명의 통상의 실시형태만을 나타낸 것으로서, 발명의 범위를 한정하는 것으로 고려되지 않아야 하고, 다른 등가의 유효한 실시형태를 허용한다.
반도체 장치 제조는 형상체 패터닝을 필요로 한다. 제조되는 장치가 DRAM 일 때, 장치 제조 공정에서의 하나의 단계가 트렌치 커패시터를 형성하기 위한 실리콘 딥 트렌치 에칭이다. 본 발명은 장치 제조에서 사용하고, 특히 딥 트렌치 에칭에서 유용한 방법 및 장치에 관한 것이다.
보다 상세하게는, 본 발명은 기판 공정 챔버 (예를 들어, 딥 트렌치 에칭 시스템) 에 연결된 통합형 계측 장치를 사용하는 기판상의 재료층의 두께를 측정한다. 측정 데이터는 실시간으로 공정 레시피를 조절하기 위해 기판-공정 챔버에 의해 활용 및 트랙된다. 이와 같이, 공정 레시피의 실시간 조절은 기판의 정확한 공정을 촉진한다. 또한, 실시간 공정 정보는 공정 개발 사이클의 단축화를 도모한다.
편의를 위해, 본 발명은 DRAM 트렌치 커패시터 제조를 위한 딥 실리콘 트렌치 에칭을 참조하여 설명한다. 본 발명은 다른 에칭 공정 및 증착 공정을 포함하지만 이로 제한되지 않고 다른 형태의 반도체 기판 제조 공정에 대해 사용될 수 있다. 이러한 애플리케이션에 대해 딥 실리콘 트렌치를 에칭하기 위해 사용되는 높은 애스펙트비 트렌치 (HART) 에처 및 에칭 화학에 대한 상세한 설명은, 2000년 11월 1일 출원된 양도된 미국 특허 출원 번호 09/704,877 호 및 09/705,254 호, "Etching of High Aspect Ration Features in a substrate" 에 개시되어 있다.
도 1 은 고 애스펙트비 딥 트렌치 실리콘 에칭 전의 패턴화된 웨이퍼 (100) 의 일 실시형태의 단면도를 도시한다. 형성될 트렌치의 위치를 정의하는 패터닝 스택 (108) 은 7000 Å 내지 1 ㎛ BSG (보로실리카 유리) (102), 2000 Å의 실리콘 니트라이드 (104), 및 100 Å의 패드 옥사이드 (106) 를 포함할 수도 있다. 패터닝 스택 (108) 은 베어 (bare) 실리콘 기판 (112) 의 상부에 위치된다. 도 1 에서, 패터닝 스택 (108) 은 통상의 패터닝 공정을 이용하여 이미 개구되어 갭 (110) 이 형성되어 있고 실리콘 딥 트렌치 에칭이 준비되었다. 실리콘의 딥 트렌치를 에칭하기 위해 사용된 반응물은 일반적으로 SF6, HBr, NF3, O2 (또는 He-O2), Cl2, Br2, 다른 할로겐계 화합물, SiF4, C4F8, 다른 플루오르화탄소 (CxFy) 및 하이드로플루오르화탄소 (HFC 또는 CxHyFz) 를 포함하는 하나 이상의 가스의 조합을 포함한다. 실리콘 딥 트렌치 에칭 공정은 챔버 압력, 가스 흐름, 챔버 벽 상으로의 부산물 재-증착, 챔버 온도, 및 기판 온도의 변화와 같은 공정 챔버에서의 변화에 상대적으로 민감하다. SiO2 또는 SiOxBry 와 같은 부동태화 부산물을 생성하는 반응 가스 중의 하나로서 O2 와 관련된 하나의 연구에 따르면, O2 유량 (예를 들어, 2/50 sccm) 에서의 단지 4-5 % 의 감소가 이 공정을 동작 불가능 상황으로 만들 수 있다. 또한, 실리콘 딥 트렌치 에칭 공정은 0.14 ㎛ 이하 장치 제조에 있어서 개구의 사이즈에 비교적 민감하다. 공정이 0.14 ㎛ 목표 CD (임계 치수) 를 처리하기 위해 설계되고 투입할 기판이 0.14 ㎛ (예를 들어, 0.12 ㎛ 평균) 이하의 평균인 트렌치 개구를 갖는 경우에, 공정은 6-7 ㎛ 의 깊이로 트렌치를 에칭할 수 없을 수도 있다. 통합형 CD 계측 장치를 이용하여 에칭 챔버를 통과하는 웨이퍼의 에칭전 CD 와 에칭후 CD 및 장치 형상체 프로파일을 수집하고 이용하는 방법은 2002년 11월 26일 등록된 미국 특허 번호 6,486,492 호, "Integrated Critical Dimension Control for Semiconductor Device Manufacturing", 2002년 5월 14일 등록된 미국 특허 번호 6,388,253 호, "Integrated Critical Dimension Control for Semiconductor Device Manufacturing", 및 2003년 5월 1일 출원된 미국 출원 번호 10/428,145 호, "Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices" 에 상세하게 설명되어 있다. CD 데이터를 수집하기 위한 인-시츄 CD 계측 장치 사용 방법에 대한 상세한 설명이 2003년 6월 18일 출원한 미국 출원 번호 60/479,601 호, "Method and System for Monitoring an Etch Process" 에 개시되어 있다. 장치 형상체 프로파일 및 CD 는 에칭 레시피를 미세 동조시키기 위해 함께 사용될 수 있다.
도 2a 는 에칭 챔버가 정확한 가스 흐름 제어 및 기판 온도 등과 같은 정상의 (또는 "양호한") 조건 하에서 동작되고, 트렌치 개구 (210) 의 CD 가 제어 한계로부터 벗어나지 않을 때의 실리콘 딥 트렌치 에칭의 표면 반응을 도시한다. 반응물 (R) 은 기판 표면 (210) 및 트렌치 (210) 표면 상에 분포되어, BSG 마스크층 (204) 의 표면 (212) 및 트렌치의 실리콘 표면 (214) 을 에칭한다. SiO2 와 같은 에칭 공정로부터 생성된 부산물 (B) 은 트렌치로부터 새어나와 BSG 마스크 표면 (212) 을 부동태화시키고, BSG 마스크 에칭 레이트를 느리게 한다. 도 2b 는 에칭후 실리콘 트렌치 프로파일 (250) 을 도시한다. 에칭후 BSG 두께 (252) 는 에칭전 두께 (202) 보다 얇지만, 예상대로 1000-2000 Å 정도의 충분한 양이 여전히 남아 있다.
한편, 챔버 조건이 규격 챔버 압력을 벗어난 것과 같이 비정상일 때, 또는 웨이퍼 CD 가 제어 한계 이하일 때, 반응물 (R) 은 트렌치 (310) 깊이에 도달할 수 없으므로 더 이상 에칭 공정 을 수행할 수 없게 된다 (도 3a 참조). 비정상 챔버 조건은 실리콘 트렌치 표면 (314) 에 축적된 부산물 (B) 를 발생시켜, 반응물 (R) 이 실리콘 표면을 에칭하는 것을 금지할 수 있으며, 이것은 트렌치가 핀치-오프 형상을 나타내도록 한다. 실리콘을 에칭하기 위한 반응물 (R) 이 실리콘 트렌치 표면 (314) 상에 분포될 수 없기 때문에, BSG 표면 (312) 을 에칭하기 위해 보다 높은 양의 반응물 (R) 을 사용하게 되므로, 에칭 레이트를 증가시킨다. 또한, 트렌치 (310) 에서는 보다 적은 실리콘 에칭이 발생하기 때문에, 기판 표면 (312) (또한 BSG 표면) 상에 재증착하기 위해 보다 적은 부산물 (B) 을 사용할 수 있게 되어, BSG 에칭 레이트를 느리게 한다. 그 결과, 에칭 이후에 매우 얇은 BSG (352) 가 남거나 남아 있는 BSG 가 없게 된다 (도 3b 참조).
에칭전 BSG 두께로부터 에칭후 BSG 두께를 감산함으로써, BSG 에칭 레이트를 계산할 수 있고 에칭 수행 상태를 모니터링할 수 있다. BSG 에칭 레이트의 상당한 증가는 챔버 비정상에 의해 발생되는 공정 드리프트, 또는 CD 규격보다 낮은 웨이퍼 CD 에 의해 발생될 수 있다. 전술한 통합형 CD 계측 장치를 사용하여 에칭전 웨이퍼 CD 측정을 검사함으로써, 특정한 웨이퍼 CD 보다 낮은 만큼의 상당한 BSG 에칭 레이트 증가의 원인을 억제할 수 있다. 두께 측정, CD 측정, 및 장치 형상체 프로파일은 광학 산란계측 또는 반사계측을 이용하는 동일한 계측 장치에서 수행될 수 있다. 또한, 두께 측정 및 CD 측정은 별개이지만 통합된 계측 장치에서 실시될 수 있다. 또한, 본 발명의 개념은 막 성분 분석을 위한 FTIR 과 같은 다른 막 특성 장치로 확장될 수 있다.
도 4 는 BSG 에칭 레이트 경향 그래프 (400) 를 도시한다. X-축은 에칭 레이트에 대해 모니터링된 웨이퍼 (402) 의 순번을 나타낸다. 좌측 상의 웨이퍼가 우측 상의 웨이퍼 보다 먼저 처리된다. 이상적으로는, 통합형 계측 장치(들)을 사용하여 실리콘 딥 트렌치 에처로 처리된 웨이퍼에 대해, 웨이퍼 마다 BSG 에칭 레이트가 모니터링된다. 그러나, 이것이 반드시 필요한 것은 아니다. 다른 웨이퍼 마다 또는 몇몇 웨이퍼 마다 모니터링할 수 있다. Y-축은 에칭전 두께로부터 에칭후 두께를 감산하고 에칭 공정 시간으로 네트 값을 나눔함으로써 계산되는 BSG 에칭 레이트 (404) 를 나타낸다. 규격 CD 내에서 공정을 시작하고 "정상" 챔버 조건 하에서 처리된 웨이퍼의 BSG 에칭 레이트는 제어 상한 (UCL) 및 제어 하한 (LCL) 내에 있는 것으로 예상된다. 그래프 (400) 는 웨이퍼 (A) 의 BSG 에칭 레이트가 처리 경향의 이상으로 인하여 약간 상승할 때 웨이퍼 (A) 에서 시작하는 공정 드리프트를 나타낸다. 웨이퍼 (A) 이후의 BSG 에칭 레이트는 계속 상승하고 웨이퍼 (B) 에 대한 UCL 을 초과한다. 웨이퍼 (A) 이후에 처리된 웨이퍼는 핀치-오프된 트렌치 프로파일을 갖는 위험성을 갖고 스크랩될 필요가 있을 수도 있다. 웨이퍼 (B) 이후의 웨이퍼는 유사하게 스크랩된다.
종래에, 증착 또는 에칭 챔버는 계측 장치에 통합되어 있지 않았다 (도 5 참조). 계측 장치 (500) 를 통합하고 있지 않는 에칭 공정 챔버에 대해, 웨이퍼 (웨이퍼 카세트 (506)) 를 계측 장치 (504) 로부터 에칭 장치 (508) 까지 이송하는 지연 시간이 길어질 수도 있다. 다음으로, 웨이퍼 카세트 (510) 는 계측 장치 (504) 로 이송된다. 웨이퍼 박스 (또는 카세트) 를 이동하고 카세트가 큐 (queue) 를 통해 이동을 대기하는 공정에 대부분의 시간이 소요된다. 통상, 각각의 로트 (502; lot) 로부터 단지 몇 개의 웨이퍼만을 선택하여 에칭-후 임계 치수 (CD; critical dimensions) 를 측정함으로써, CD 가 사양을 충족하는가를 확인한다. 통상, 패터닝 스택 두께는 모니터링하지 않는다. 이는 부가적인 웨이퍼 이동 및 비-통합 (non-integrated) 계측 장치에서의 측정에 소요되는 큐 시간에 의해 발생되는 지연에 대한 염려 때문이다. 차후의 스테이지에서 단면 SEM (cross-section SEM) 에 의해 웨이퍼가 딥 트렌치 핀-오프 (deep trench pin-off) 문제를 갖는다는 것을 확인하고, 그 원인이 챔버의 이상 (abnormaility) 으로 인한 것임이 확인된다면, 그 문제가 확인되기 전에 처리된 다수의 로트의 웨이퍼는 폐기될 수도 있다. 폐기된 웨이퍼의 비용은 매우 고가일 수도 있다. 챔버 안정성을 체크하기 위해, 처리된 로트 중에서 선택한 웨이퍼에 대하여 BSG 에칭-후 두께를 측정한다 하더라도, 계측 장치가 통합되어 있지 않기 때문에, 몇몇의 로트는 측정 결과를 획득되기 전에 처리될 수 있다. 이와 같이, 처리 드리프트를 즉시 확인하지 않을때 발생되는 위험은 여전히 존재한다.
한편, 도 6 에 나타난 바와 같이, 에칭 장치 (606; etcher) 가 엑스-시츄 (ex-situ) 계측 장치 (604) 또는 인-시츄 (in-situ) 계측 장치 (610) 와 통합되어 통합 시스템 (608) 을 형성하면, 카세트의 물리적인 이동 및 큐에서의 대기에 시간이 낭비되지 않을 것이다. 통합 시스템 (608) 은 부가적인 웨이퍼 산출 비용없이 에칭 챔버를 통해 이동하는 모든 웨이퍼에 대하여 처리 전후의 BSG 두께 측정을 허용한다. 6-7 ㎛ 실리콘의 딥 트렌치 에칭은 웨이퍼 당 5 내지 10 분 소요될 수 있으며, 한편 광학-산란계측-기반 (optical-scatterometry-based) 의 계측 장치를 이용하는 9-포인트 두께 측정은 2 분 미만으로 소요된다. 두께 측정 시간은 측정 지점 (포인트) 의 수를 감소시킴으로써 더 감소시킬 수 있다. 계측 장치에 의해 수집된 데이터는 에칭 챔버와 연결된 데이터 프로세서에 즉시 입력된다. 그 결과, 웨이퍼 처리 산출량에는 영향이 없을 수도 있다.
도 7 에 나타난 바와 같이, 본 발명의 예시적인 실시형태는, 처리 라인 (700) 에서 엑스-시츄 계측 장치 (710; 측정 장치) 를 이용하여 구현되며, 측정 장치 (710), 예를 들어 캘리포니아 밀피타스의 Nanometrics 로부터 구매가능한 Nano OCD 9000 등의 광학적 계측 장치를 포함한다. 계측 장치 (710) 는 산란계측 또는 반사계측 기술을 이용할 수 있다. 검사를 위한 산란계측 및 계측 장치의 이용은 Microlithography World, Winter 2000 의 Raymond 저, "Angle-resolved scatterometry for semiconductormanufacturing" 에 개시되어 있다. 검사를 위한 반사계측 및 계측 장치의 이용은 Characterization and Metrology for ULSI Technology: The American Institute of Physics 1998, 1998 International Conference, Lee 저 "Analysis of Reflectrometry and Ellipsometry Data from Patterned Structures" 에 개시되어 있다. 다른 계측 기술 및/또는 웨이퍼 검사 기술이 이용될 수도 있다. 처리 라인 (700) 은, 여기에 개시된 분석을 전자적으로 수행하는 프로세서 (720), 및 프로세서 (720) 의 분석의 결과를 디스플레이하는 모니터 (730) 를 포함한다. 프로세서 (720) 는, 반도체 메모리와 같은 메모리 장치 (740), 및 통상적으로 처리 정보의 저장에 이용되는 "제조 수행 시스템 (MES; manufacturing execution system)" 으로 알려진 컴퓨터 소프트웨어-구현 데이터 베이스 시스템 (750) 과 통신할 수 있다.
CD 및 필름 두께를 측정할 수 있는 엑스-시츄 계측 장치와 통합된 에칭 시스템의 예로는 Applied Materials 의 Transforma system (도 8 의 도면부호 800) 이 있다. Applied Materials 의 Transforma system 을 설명하는 상세한 정보는 2003 년 5 월 1 일 출원되고 발명의 명칭이 "Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices" 이며 공통으로 양도된 미국 특허 출원 제 10/428,145 호에 개시되어 있다. 이 시스템은, 복수의 공정 챔버 (예를 들어, 통상의 에칭 반응기 (802)) 가 설치된 CenturaTM 공정 시스템과 같은, "로드 락 (load locks)" 이라 불리는 DPSIITM 실리콘 에칭 챔버 및 하나 이상의 이송 챔버와 같은, 챔버, 또는 "메인프레임" (801) 을 포함한다. 본 발명의 일 실시형태에서, 4 개의 에칭 반응기 (802) 가 메인프레임 (801) 에 설치된다. 예시적인 일 실시형태에서, 3 개의 에칭 장치 (802) 가 에칭에 이용되고, 1 개는 에칭-후 클리닝 (즉, 에칭 후에 웨이퍼로부터 포토레지스트 폴리머 및 다른 잔여물을 제거하는 것) 에 선택적으로 이용된다. 로봇 (804) 가, 처리 반응기 (802) 와 이송 챔버 (803) 사이에서 웨이퍼를 이송하기 위해, 메인프레임 (801) 내에 제공된다. 이송 챔버 (803) 는, "mini emvironment" 라고도 알려지며 제어된 환경을 유지하는 공장 인터페이스 (805) 에 접속된다. 계측 (또는 측정) 장치 (806) 는, 로드락 영역 (805; load lock area) 과 통합될 수 있으며, 고속 데이터 수집 및 분석 능력에 의해, 시스템 (800) 에 투입되는 모든 웨이퍼의 에칭 처리 전후 두께를 측정할 수 있다. 또한, 계측 장치 (806) 는 공정 시스템 (800) 내부의 다른 장소에 위치될 수 있다. 또한, 본 발명의 개념은 증착 처리에도 적용되기 때문에, 하나 이상의 공정 챔버 (802) 를 증착 챔버로 할 수도 있다.
이하, 도 9 의 흐름도를 참조하여 본 발명의 실시형태에 따른 장치의 동작을 설명한다. 단계 902 에서, 하지층 (underlying layer) 상에 포토레지스트 마스크를 형성하기 위하여 처리 장치에서 웨이퍼를 처리한 후, 웨이퍼를 카세트 (808) 로 로딩하고, 공장 인터페이스 (805) 로 카세트를 이송한다. 그 후, 로봇 (807) 를 이용하여 카세트 (808) 로부터 웨이퍼를 언로딩 (unload) 하여, 계측 장치 (806) 로 이송한다 (단계 904). 단계 906 에서, 필름의 두께, CD, 및 장치 특성 프로파일이 수집된다. 단계 908 에서, 상술한 바와 같이, 두께 측정, CD, 및 장치 특성 프로파일에 기초하여, 웨이퍼에 대한 에칭 레시피를 조절한다. 단계 910 에서, 웨이퍼를 이송 챔버 (803) 로 이동시키기 위한 로봇 (807) 및 웨이퍼를 에칭 장치 (802) 로 이동시키기 위한 로봇 (804) 를 이용하여, 웨이퍼를 계측 장치 (806) 에서 에칭 장치 (802) 로 이송한다. 단계 912 에서, 레시피에 따라 웨이퍼에 실리콘 딥 트렌치 에칭을 수행한다. 그 후, 단계 918 에서, 웨이퍼를 카세트 (808) 로 로딩하기 전에 에칭-후 CD 측정, 장치 특성 프로파일, 및 두께 측정을 위해 계측 장치 (806) 로 이송한다. 상술한 바와 같이, 두께 측정, 에칭-후 CD, 및 장치 특성 프로파일은 프로세서 (720) 에 연결되며, BSG 에칭 레이트 계산 및/또는 에칭할 후속 웨이퍼의 에칭 레시피 정정에 이용된다. 에칭 레시피를 정정하는 방법에 대한 상세한 정보는 2003 년 5 월 1 일 출원되었으며 발명의 명칭이 "Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices" 이며 공통으로 양도된 미국 특허 출원 제 10/428,145 호에 개시되어 있다.
도 6 에 나타난, CD 및 필름 두께를 측정하는 성능을 지닌 인-시츄 계측 장치 (610) 에 대한 예로는 캘리포니아 산타 바바라의 Applied Materials 로부터 구매가능한 EyeDTM metrology module 이 있다. EyeDTM metrology module 은, 분광학 (spectroscopy), 간섭계측 (interferometry), 산란계측, 반사계측 등과 같은 하나 이상의 비-파괴적 광학 측정 기술을 이용할 수도 있다. 인-시츄 계측 장치는, 예를 들어, 간섭계측 모니터링 기술 (예를 들어, 시간 도메인에서 간섭 프린지 (fringes) 를 카운팅하는 것, 주파수 도메인에서 프린지의 위치를 측정하는 것 등) 을 수행하여, 기판 상에 형성되는 구조의 에칭 깊이 프로파일을 실시간으로 측정하도록 설정될 수도 있다. 인-시츄 CD 계측 장치를 이용하여, CD 데이터를 수집하는 방법의 상세한 설명은, 2003 년 6 월 18 일에 출원되었으며 발명의 명칭이 "Method and System for Monitoring an Etch Process" 이며 공통으로 양도된 미국 특허 출원 제 60/479,601 호에 개시되어 있다. 장치 특성 프로파일 및 CD 를 함께 이용하여, 에칭 레시피를 정밀하게 조절할 수 있다. 인-시츄 두께 계측 장치를 이용하여 두께 데이터를 수집하는 방법은 2002 년 7 월 2 일 발행되었으며 발명의 명칭이 "Film Thickness Control Using Spectral Inferometry" 이며 공통으로 양도된 미국 특허 제 6,413,837 호, 및 2003 년 4 월 11 일에 출원되었으며 발명의 명칭이 "Process Control Enhancement and Fault Detection Using In-Situ and Ex-Situ Metrologies and Data Retrieval In Multiple Pass Wafer Processing" 인 미국 특허 출원 제 60/462,493 호에 개시되어 있다.
도 10 은 본 발명의 방법을 수행하도록 설정되는 예시적인 플라즈마 에칭 챔버 (1000) 의 단순화된 단면도이다. 도 10 에 나타난 바와 같이, 에칭 챔버 (1000) 는, 기판 처리 영역 (1014) 을 둘러싸는 하우징 (1012) 을 포함한다. 에칭 처리 동안에 기판 (1018) 은 받침대 (1016; pedestal) 상에서 지지되며, 영역 (1014) 에서 형성된 플라즈마에 노출된다. 플라즈마는, 광학 스펙트럼의 파장 (즉, 약 180 내지 1100 nm) 을 갖는 방출을 포함하는 전자기 방사를 생성한다. 이러한 방출의 일부는 기판 (1018) 의 표면으로부터 반사되며 윈도우 (1020) 를 통하여 분광계 (1022) 에 의해 측정될 수 있다. 폴딩 미러 (1024; folding mirror) 는 윈도우 (1020) 를 지나 통과하는 방사를, 섬유 광 케이블 (1028) 에 방사를 시준 (collimate) 하는 렌즈 (1026) 측으로 반사시킨다. 섬유 광 케이블 (1028) 은 방사가 분광계 (1022) 에 도달하기 위해 이동하는 운반체이다. 폴딩 미러 (1024) 및 렌즈 (1026) 는, 기판 (1018) 의 상부 표면으로부터 반사된 방사가 윈도우 (1020) 를 지나서 광섬유 (1028) 에 수직하게 통과하도록 위치된다. 도 1 에 나타난 바와 같이, 기판 (1018) 위에 윈도우 (1020) 를 위치시키는 것은, 윈도우를 챔버의 측면에 위치시키는 것에 비해서, 측정된 방사의 더 나은 분해능 (resolution) 을 허용하지만, 다른 실시형태는 윈도우 (1020) 를 챔버 측면에 위치시킬 수도 있다.
플라즈마 방출에 더하여 또는 그 대신에 광대역 광원 (1034) 을 채택하는 실시예에서, 섬유 광 케이블 (1028) 은 두 갈래로 된 케이블이다. 이러한 실시형태에서, 광원 (1034) 은 두 갈래로 된 케이블의 채널 중의 하나에 광학적으로 접속되며, 분광계 (1022) 는 다른 채널에 접속된다. 광대역 광원 (1034) (예를 들어, 수은, 중수소 (deuterium) 또는 크세논 램프) 로부터의 광이, 윈도우 (1020) 를 통해 케이블 (28) 의 하나의 채널을 따라 이동하며 기판 (1018) 으로부터 반사된다. 전술한 바와 같이, 반사된 광은 윈도우 (1020) 를 지나 케이블 (1028) 의 다른 채널로 통과하여, 최종적으로 분광계 (1022) 에 도달한다. 분광계 (1022) 는 파장에 기초하여 (예를 들어, 프리즘 또는 회절 격자를 이용하여) 방사를 스펙트럼으로 분리하며, 공간적으로 분리된 복수의 파장에 대한 검출 신호 (예를 들어, 검출 전류) 를 생성한다. 데이터 수집 카드 (1030) 는 분리된 파장을 나타내는 데이터를 수집 및 처리하는 프로세서 (1032) 에 접속된다. 데이터는 데이터 수집 카드 (1030) 에 주기적 샘플링 레이트로 수집되며 각각의 샘플은 프로세서 (1032) 에 의해 처리된다. 일 실시형태에서, 프로세서 (1032) 는, 프로세서에 접속된 메모리 (1031) 에 저장된 컴퓨터 명령을 수행함으로써 챔버 (1000) 의 동작을 또한 제어한다.
이하, 도 11 의 흐름도를 참조하여, 본 발명의 실시형태에 따른 장치의 동작을 설명한다. 단계 1102 에서, 처리 장치에서 웨이퍼의 하지층 상에 포토레지스트 마스크를 형성한 후, 웨이퍼를 카세트 (808) 로 로딩하여, 공장 인터페이스 (805) 로 카세트를 이송한다. 다음으로, 단계 1104 에서, 웨이퍼를 이송 챔버 (803) 로 이동하기 위한 로봇 (807) 및 웨이퍼를 에칭 장치 (802) 로 이동하기 위한 로봇 (804) 를 이용하여, 웨이퍼를 카세트 (808) 로부터 언로딩하고, 에칭 장치 (802) 로 이송한다. 단계 1106 에서, 필름의 두께, CD, 및 장치 특성 프로파일이 수집된다. 단계 1108 에서, 웨이퍼에 대한 에칭 레시피는, 전술한 바와 같이 두께 측정, CD, 및 장치 특성 프로파일에 기초하여 조절된다. 단계 1110 에서, 이 레시피에 따라 웨이퍼에 실리콘 딥 트렌치 에칭을 수행한다. 단계 1112 에서, 에칭-후의 필름 두께, CD, 및 장치 특성 프로파일을 다시 수집한다. 전술한 바와 같이, 두께 측정, 에칭 후 CD, 및 장치 특성 프로파일은 프로세서 (1031) 에 연결되며, BSG 에칭 레이트 계산 및/또는 에칭할 후속 웨이퍼에 대한 에칭 레이트를 수정하는데 이용된다. 다음으로, 단계 1114 에서 웨이퍼는 카세트로 재이송된다.
또한, 에칭 처리 동안 필름 두께, CD, 및 장치 특성 프로파일을 연속으로 수집하여, 목표로 하는 두께 또는 CD 에 도달할 때까지, 에칭 레시피를 즉시 조절할 수 있다. 도 12 에 이러한 처리를 나타낸다. 도 12 의 단계는 도 11 의 단계와 유사한 기능을 갖는다. 도 12 의 처리 흐름에는, 목표로 하는 두께 또는 CD 에 도달하였는지의 여부를 결정하기 위한 결정 단계 1213 기 부가적으로 존재한다. 목표로 하는 두께 또는 CD 에 도달하지 않았다면, 목표로 하는 두께 또는 CD 에 도달할 때까지 처리 단계는 단계 1208 로 되돌아가서 반복된다.
통합 계측 시스템은 웨이퍼 이송 시간 및 큐 대기 시간으로 인해 발생되는 지연 문제를 해결한다. 이는 계측 장치와 통합되지 않은 통상의 에칭 챔버에 비하여 보다 이점을 갖는다. 예를 들어, 샘플링 방식의 에칭-후 두께 모니터링과 비교할 때, 특정 웨이퍼의 에칭-전 및 에칭-후 측정을 추적 (track) 하여 특정 웨이퍼의 에칭 레이트를 계산하여 더 정확한 챔버 성능을 반영한다. 또한, 모든 웨이퍼의 성능이 즉시 추적되므로, 처리 드리프트의 표시가 오퍼레이터에게 즉시 통보되어 즉각적인 동작을 취할 수 있다. 이는 후속 웨이퍼의 잘못된 처리 또는 불필요한 웨이퍼 폐기를 방지한다. 또한, 측정 장치가 웨이퍼 CD 를 측정하는 능력을 가지므로, 에칭-전 웨이퍼 CD 를 검사함으로써 높은 BSG 에칭 레이트를 발생시키는 사양보다 낮은 것에 대한 염려는 배제할 수 있다. 또한, 에칭-전 측정, 예를 들어 CD, 장치 특성 프로파일, 및 선택적으로는 BSG 두께는, 에칭 레이트 및 CD 경향 등의 에칭 챔버 수행 경향을 이용하는 에칭 챔버로 피드-포워드되어 최선의 에칭 수행 및 처리 제어를 위한 각각의 개별 웨이퍼에 대한 에칭 레시피를 조절할 수 있다. 마지막으로, 통합형 데이터 프로세서에 의한 즉시 추적 및 에칭 결과 통지는 에칭 처리 진행 시간을 크게 감소시킬 수 있다. 대조적으로, 비-통합 시스템에서 행해지는 처리는 데이터 수집이 매우 시간 소모적이므로 상대적으로 느리다.
또한, 본 발명은 증착 챔버와 같은 다른 공정 챔버에도 적용될 수 있다. 또한, 본 발명의 일실시형태에서 이용된 계측 장치는, 필름 증착 분석을 위한 FTIR (Fourier-Transform Infra-Red) 와 같은, 다른 타입의 필름 특성화 장치일 수 있다.
따라서, 본 발명이 다양한 실시형태에 대하여 개시되었지만, 다음의 청구범위에 의해 정의되는 바와 같이, 다른 실시형태 또한 본 발명의 본질 및 범위에 포함되는 것으로 이해되어야 한다.
도 1 은 높은 애스펙트비 딥 트렌치 에칭 공정 이전의 패턴화된 웨이퍼의 횡단면도.
도 2a 및 2b 는 "정상" 챔버 조건 하에서 실리콘 딥 트렌치 에칭의 횡단면도.
도 2a 는 반응물 종류 (R) 의 에칭 활동 및 부산물 종류 (B) 의 부동태화 기능을 도시하는 도면.
도 2b 는 실리콘 딥 트렌치 에칭 이후의 딥 트렌치 프로파일 (정상) 을 도시하는 도면.
도 3b 및 3b 는 "비정상" 챔버 조건 하에서 실리콘 딥 트렌치 에칭의 횡단면도.
도 3a 는 BSG 층의 에칭을 초과하는, 트렌치에서의 반응물 종류 (R) 의 제한된 에칭 활동, 및 상부 BSG 층을 부동태화하기 위한 부산물 종류 (B) 의 가용성을 도시하는 도면.
도 3b 는 실리콘 딥 트렌치 에칭 이후의 딥 트렌치 프로파일 (핀치-오프) 을 도시하는 도면.
도 4 는 실리콘 에칭 챔버에 대한 에칭 레이트 경향 그래프.
도 5 는 계측 장치와 비통합된 에칭 챔버를 통해 처리된 웨이퍼의 계측 데이터 수집 및 웨이퍼 이동의 개략도.
도 6 은 계측 장치와 통합된 에칭 챔버를 통해 처리된 웨이퍼의 계측 데이터 수집 및 웨이퍼 이동의 개략도.
도 7 은 통합형 에칭 시스템의 주요 구성요소의 블록도.
도 8 은 통합형 에칭 시스템의 하나의 실시형태의 도면.
* 도면의 주요부분에 대한 부호의 설명*
100, 602 : 웨이퍼
102, 202, 252, 303, 352 : BSG
104, 204, 254, 304, 354 : 니트라이드
106, 206, 256, 306, 356 : 패드 옥사이드
108 : 스택
110 : 갭
200, 300 : 실리콘 딥 트렌치
210, 260, 310, 360 : 트렌치
212, 312 : BSG 표면
214 : 트렌치 내의 실리콘 표면
250 : 실리콘 딥 트렌치
400 : BSG 에칭 레이트 경향 그래프
402 : 웨이퍼 순번
404 : BSG 에칭 레이트
500, 600 : 계측 장치와 비통합된 에칭 챔버
502, 808 : 카세트
504 : 계측 장치
506, 510 : 물리적 이동 및 큐 대기
508, 606 : 에칭 챔버
604, 710 : 엑스-시츄 계측
610 : 인-시츄 계측
700 : 처리 라인
720, 1032 : 프로세서
730 : 모니터
740, 1031 : 메모리
750 : MES
760 : 이전 처리 단계
760 : 에칭 장치
801 : 메인프레임
802 : 에칭 반응기
803 : 이송 챔버
804, 807 : 로봇
805 : 공징 인터페이스
806 : 측정 장치
1012 : 하우징
1014 : 처리 영역
1016 : 받침대
1018 : 기판
1020 : 윈도우
1022 : 분광계
1024 : 폴딩 미러
1026 : 렌즈
1028 : 섬유 광 케이블
1034 : 광대역 광원

Claims (35)

  1. 공정 챔버에 의해 수행되는 처리를 모니터링하는 방법으로서,
    상기 공정 챔버에 기판을 투입하기 전, 상기 기판을 통합형 계측 장치에 위치시키는 단계;
    상기 기판을 처리하기 전, 상기 통합형 계측 장치를 이용하여, 처리전 측정 데이터를 수집하는 단계;
    상기 기판을 상기 공정 챔버로 이동시키는 단계;
    상기 공정 챔버에서 상기 기판을 처리하는 단계;
    총 처리 시간을 기록하는 단계;
    상기 기판 처리가 완료된 후, 상기 기판을 상기 통합형 계측 장치로 이동시키는 단계; 및
    기판 처리 후, 상기 통합형 계측 장치를 이용하여, 처리후 측정 데이터를 수집하는 단계를 포함하는 공정 모니터링 방법.
  2. 제 1 항에 있어서,
    상기 처리전 측정 데이터, 상기 처리후 측정 데이터, 및 상기 총 처리 시간을 이용하여 처리 레이트를 계산하는 단계;
    처리 레이트 경향 (trend) 을 산출하는 단계;
    상기 처리 레이트 경향을 한계 레벨과 비교하는 단계; 및
    상기 처리 레이트 경향이 상기 한계 레벨을 초과하면, 성능 드리프트 (drift) 검출을 신호로 알리는 단계를 더 포함하는 공정 모니터링 방법.
  3. 제 2 항에 있어서,
    상기 공정 챔버는 에칭 챔버인 공정 모니터링 방법.
  4. 제 2 항에 있어서,
    상기 공정 챔버는 증착 챔버인 공정 모니터링 방법.
  5. 제 3 항에 있어서,
    상기 처리전 측정 데이터 및 상기 처리후 측정 데이터는 두께 측정 및 임계 치수 정보를 모두 포함하는 공정 모니터링 방법.
  6. 제 5 항에 있어서,
    에칭전 임계 치수 정보를 검사하는 단계; 및
    상기 에칭전 임계 치수 정보가 소정의 임계 치수 규격에 부합한다면, 상기 처리 드리프트 원인으로서 형체의 임계 치수의 기여를 제외시키는 단계를 더 포함하는 공정 모니터링 방법.
  7. 제 1 항에 있어서,
    상기 이동 단계는 진공에서 수행되는 공정 모니터링 방법.
  8. 제 1 항에 있어서,
    상기 통합형 계측 장치는 상기 처리전 측정 데이터 및 상기 처리후 측정 데이터를 생성하기 위하여 산란계측 및 반사계측 중 하나 이상을 수행하는 공정 모니터링 방법.
  9. 공정 챔버의 공정 레시피 (recipe) 를 조절하는 방법으로서,
    상기 공정 챔버에 기판을 투입하기 전, 상기 기판을 통합형 계측 장치에 위치시키는 단계;
    상기 기판을 처리하기 전에, 상기 통합형 계측 장치를 이용하여, 처리전 측정 데이터를 수집하는 단계;
    상기 기판을 상기 공정 챔버로 이동시키는 단계;
    상기 처리전 측정 데이터 및 처리 레이트 경향에 기초하여, 상기 공정 챔버에서 이용되는 공정 레시피를 실시간 조절하여, 상기 기판을 처리하는 단계를 포함하는 공정 레시피 조절 방법.
  10. 제 9 항에 있어서,
    상기 공정 챔버는 에칭 챔버이고, 상기 처리 레이트 경향은 에칭 레이트 경향인 공정 레시피 조절 방법.
  11. 제 9 항에 있어서,
    상기 공정 챔버는 증착 챔버이고, 상기 처리 레이트 경향은 증착 레이트 경향인 공정 레시피 조절 방법.
  12. 제 9 항에 있어서,
    상기 이동 단계는 진공에서 수행되는 공정 레시피 조절 방법.
  13. 제 9 항에 있어서,
    상기 통합형 계측 장치는 상기 처리전 측정 데이터를 생성하기 위하여 산란계측 및 반사계측 중 하나 이상을 수행하는 공정 레시피 조절 방법.
  14. 공정 챔버에 의해 수행되는 공정을 모니터링하는 방법으로서,
    상기 공정 챔버로 기판을 이동시키는 단계;
    상기 기판을 처리하기 전, 인-시츄 (in-situ) 계측 장치를 이용하여, 처리전 측정 데이터를 수집하는 단계;
    상기 공정 챔버에서 상기 기판을 처리하는 단계;
    총 처리 기판을 기록하는 단계; 및
    상기 기판을 처리한 후에, 상기 인-시츄 계측 장치를 이용하여, 처리후 측정 데이터를 수집하는 단계를 포함하는 공정 모니터링 방법.
  15. 제 14 항에 있어서,
    상기 처리전 측정 데이터, 상기 처리후 측정 데이터, 및 상기 총 처리 시간를 이용하여 처리 레이트를 계산하는 단계;
    처리 레이트 경향을 산출하는 단계;
    상기 처리 레이트 경향을 한계 레벨과 비교하는 단계; 및
    상기 처리 레이트 경향이 상기 한계 레벨을 초과하면, 성능 드리프트의 검출을 신호로 알리는 단계를 더 포함하는 공정 모니터링 방법.
  16. 제 15 항에 있어서,
    상기 공정 챔버는 에칭 챔버인 공정 모니터링 방법.
  17. 제 15 항에 있어서,
    상기 공정 챔버는 증착 챔버인 공정 모니터링 방법.
  18. 제 16 항에 있어서,
    상기 처리전 측정 데이터 및 상기 처리후 측정 데이터는 두께 측정 및 임계 치수 정보를 모두 포함하는 공정 모니터링 방법.
  19. 제 18 항에 있어서,
    에칭전 임계 치수 정보를 검사하는 단계; 및
    상기 에칭전 임계 치수 정보가 소정의 임계 치수 규격에 부합한다면, 상기 처리 드리프트 원인으로서 형체의 상기 임계 치수의 기여를 제외시키는 단계를 더 포함하는 공정 모니터링 방법.
  20. 제 14 항에 있어서,
    상기 이동 단계는 진공에서 수행되는 공정 모니터링 방법.
  21. 제 14 항에 있어서,
    상기 통합형 계측 장치는 상기 처리전 측정 데이터 및 상기 처리후 측정 데이터를 생성하기 위하여 산란계측 및 반사계측 중 하나 이상을 수행하는 공정 모니터링 방법.
  22. 공정 챔버의 공정 레시피를 조절하는 방법으로서,
    상기 공정 챔버로 기판을 이동시키는 단계;
    기판 처리 전, 인-시츄 계측 장치를 이용하여, 처리전 측정 데이터를 수집하는 단계; 및
    상기 처리전 측정 데이터 및 처리 레이트 경향에 기초하여 상기 공정 챔버에 의해 이용되는 상기 공정 레시피를 조절하여 상기 기판을 처리하는 단계를 포함하는 공정 레시피 조절 방법.
  23. 제 22 항에 있어서,
    상기 공정 챔버는 에칭 챔버이고, 상기 처리 레이트 경향은 에칭 레이트 경향인 공정 레시피 조절 방법.
  24. 제 22 항에 있어서,
    상기 공정 챔버는 증착 챔버이고, 상기 처리 레이트 경향은 증착 레이트 경향인 공정 레시피 조절 방법.
  25. 제 22 항에 있어서,
    상기 이동 단계는 진공에서 수행되는 공정 레시피 조절 방법.
  26. 제 22 항에 있어서,
    상기 통합형 계측 장치는 상기 처리전 측정 데이터를 생성하기 위하여 산란계측 및 반사계측 중 하나 이상을 수행하는 공정 레시피 조절 방법.
  27. 기판을 처리하기 위한 공정 챔버;
    상기 공정 챔버 전후에 상기 기판의 막 두께 및 임계 치수 정보를 측정하기 위한 계측 장치;
    처리 레이트 및 처리 경향 정보를 계산하고 상기 막 두께 및 임계 치수 정보를 저장하기 위한, 상기 공정 챔버 및 상기 계측 장치에 연결되는 컴퓨터 시스템을 포함하는 기판 처리 장치.
  28. 제 27 항에 있어서,
    상기 공정 챔버는 에칭 챔버인 기판 처리 장치.
  29. 제 27 항에 있어서,
    상기 공정 챔버는 증착 챔버인 기판 처리 장치.
  30. 제 28 항에 있어서,
    상기 계측 장치는 두께, 임계 치수, 및 장치 형체 프로파일을 측정할 수 있는 기판 처리 장치.
  31. 제 29 항에 있어서,
    상기 계측 장치는 상기 기판 상의 막의 두께를 측정할 수 있는 기판 처리 장치.
  32. 제 27 항에 있어서,
    상기 계측 장치는 공정 챔버에 통합되고, 상기 공정 챔버에 엑스 시츄 (ex-situ) 되는 기판 처리 장치.
  33. 제 27 항에 있어서,
    상기 계측 장치는 상기 공정 챔버에 인-시츄되는 (in-situ) 기판 처리 장치.
  34. 제 32 항에 있어서,
    진공에서 상기 계측 장치와 상기 공정 챔버 사이로 상기 기판을 이동시키기 위한 로봇을 갖는 메인프레임을 더 포함하는 기판 처리 장치.
  35. 제 27 항에 있어서,
    상기 계측 장치는 산란계측 및 반사계측 중 하나 이상을 수행하는 기판 처리 장치.
KR1020040062160A 2003-08-06 2004-08-06 통합형 계측 툴을 이용한 챔버 안정성 모니터링 KR101127431B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/636,468 2003-08-06
US10/636,468 US7482178B2 (en) 2003-08-06 2003-08-06 Chamber stability monitoring using an integrated metrology tool

Publications (2)

Publication Number Publication Date
KR20050016208A true KR20050016208A (ko) 2005-02-21
KR101127431B1 KR101127431B1 (ko) 2012-03-23

Family

ID=34116438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040062160A KR101127431B1 (ko) 2003-08-06 2004-08-06 통합형 계측 툴을 이용한 챔버 안정성 모니터링

Country Status (4)

Country Link
US (1) US7482178B2 (ko)
KR (1) KR101127431B1 (ko)
CN (1) CN100382233C (ko)
TW (1) TWI311793B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529632B1 (ko) * 2003-10-01 2005-11-17 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7109046B1 (en) * 2004-01-30 2006-09-19 Advanced Micro Devices, Inc. Surface oxide tabulation and photo process control and cost savings
JP4564272B2 (ja) * 2004-03-23 2010-10-20 株式会社東芝 半導体装置およびその製造方法
US20060205223A1 (en) * 2004-12-30 2006-09-14 Smayling Michael C Line edge roughness reduction compatible with trimming
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
CN101501568B (zh) * 2006-08-11 2013-07-10 奥立孔美国公司 最小化cd蚀刻偏差的方法
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR100812603B1 (ko) * 2006-11-03 2008-03-13 주식회사 하이닉스반도체 후처리에 의한 반도체소자의 콘택 형성 방법
CN101350326B (zh) * 2007-07-19 2010-09-08 上海华虹Nec电子有限公司 监控锗硅外延反应腔基座安装中心化的方法
CN101452215B (zh) * 2007-11-30 2010-10-20 中芯国际集成电路制造(上海)有限公司 关键尺寸的控制方法
CN102142385B (zh) * 2009-12-15 2013-11-20 朗姆研究公司 蚀刻工具工艺指标方法和装置
US8557682B2 (en) * 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
CN103363944B (zh) * 2012-04-01 2016-09-21 深南电路有限公司 一种去钻污咬蚀率及均匀度的测试方法
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
US9939808B2 (en) * 2014-03-06 2018-04-10 Texas Instruments Incorporated Monitor data attachment to product lots for batch processes
US10514685B2 (en) 2014-06-13 2019-12-24 KLA—Tencor Corp. Automatic recipe stability monitoring and reporting
CN105988434B (zh) * 2015-02-04 2019-06-25 中芯国际集成电路制造(上海)有限公司 监测制造机台的方法及其系统
JP6806704B2 (ja) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
WO2019090122A1 (en) * 2017-11-03 2019-05-09 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
TWI776003B (zh) 2018-12-14 2022-09-01 揚明光學股份有限公司 模造鏡片的製造設備及方法
CN112446978A (zh) * 2019-08-29 2021-03-05 长鑫存储技术有限公司 半导体设备的监控方法和装置、存储介质、计算机设备
CN111952138A (zh) * 2020-08-17 2020-11-17 浙江祺跃科技有限公司 一种原位原子层沉积扫描电子显微镜
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6368975B1 (en) 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6413867B1 (en) 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
KR20020077753A (ko) * 2001-04-03 2002-10-14 한국표준과학연구원 박막 두께 변화율 산출 및 공정조건 모니터링 장치
US6513451B2 (en) * 2001-04-20 2003-02-04 Eastman Kodak Company Controlling the thickness of an organic layer in an organic light-emiting device
US6756243B2 (en) * 2001-10-30 2004-06-29 Advanced Micro Devices, Inc. Method and apparatus for cascade control using integrated metrology
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6788988B1 (en) * 2001-12-17 2004-09-07 Advanced Micro Devices, Inc. Method and apparatus using integrated metrology data for pre-process and post-process control
US6895360B2 (en) * 2002-12-17 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination

Also Published As

Publication number Publication date
CN100382233C (zh) 2008-04-16
TWI311793B (en) 2009-07-01
CN1607636A (zh) 2005-04-20
US7482178B2 (en) 2009-01-27
TW200507151A (en) 2005-02-16
US20050032250A1 (en) 2005-02-10
KR101127431B1 (ko) 2012-03-23

Similar Documents

Publication Publication Date Title
KR101127431B1 (ko) 통합형 계측 툴을 이용한 챔버 안정성 모니터링
TWI250601B (en) Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
JP4833396B2 (ja) 主成分分析を用いてプロセスをモニタするための方法
US7301645B2 (en) In-situ critical dimension measurement
JP3694662B2 (ja) 半導体素子製造プロセスにおける膜の処理量測定方法と装置、及びそれを用いた被処理材の処理方法と装置、及びそれを用いたプロセスの終点判定方法と装置
JP4480482B2 (ja) プラズマエッチング処理装置の制御方法およびトリミング量制御システム
JP4792200B2 (ja) リセスエッチング前のインタフェロメトリによるin−situモニタリングを伴う平坦化エッチング方法
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
KR100877361B1 (ko) 반도체제조장치 및 제조방법
US9953886B2 (en) Single-wafer real-time etch rate and uniformity predictor for plasma etch processes
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
KR100438379B1 (ko) 반도체소자제조공정의 종점판정방법과 장치 및 그것을사용한 피처리재의 처리방법과 장치
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
WO2022256194A1 (en) In situ sensor and logic for process control
Barna et al. In Situ Metrology

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee