CN115699286A - 用来改进制造工艺性能的集成式基板测量系统 - Google Patents

用来改进制造工艺性能的集成式基板测量系统 Download PDF

Info

Publication number
CN115699286A
CN115699286A CN202180039359.8A CN202180039359A CN115699286A CN 115699286 A CN115699286 A CN 115699286A CN 202180039359 A CN202180039359 A CN 202180039359A CN 115699286 A CN115699286 A CN 115699286A
Authority
CN
China
Prior art keywords
substrate
measurements
data
process recipe
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180039359.8A
Other languages
English (en)
Inventor
乌彭铎·乌梅塔拉
布莱克·埃里克森
普拉尚·库马尔
迈克尔·库特尼
史蒂文·特雷·廷德尔
祝昭钊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115699286A publication Critical patent/CN115699286A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • G05B19/0426Programming the control sequence
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32097Recipe programming for flexible batch
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

提供一种用于确定是否修改制造工艺配方的方法。识别要依据第一工艺配方在制造系统处处理的基板。产生将基板传输到基板测量子系统以获得对基板的第一测量集合的指令。从基板测量子系统接收对基板的第一测量集合。产生将基板从基板测量子系统传输到处理腔室的指令。从处理腔室的一个或多个传感器接收对基板的第二测量集合。产生对基板的第一测量集合与第二测量集合之间的第一映射。储存映射到对基板的第二测量集合的第一测量集合。基于映射到对基板的第二测量集合的第一测量集合来作出是否修改用于基板的第一工艺配方或第二工艺配方的确定。

Description

用来改进制造工艺性能的集成式基板测量系统
技术领域
本公开内容的实施方式一般涉及制造系统,且尤其涉及用来改进制造工艺性能的集成式基板测量系统。
背景技术
在制造系统处对基板的处理一般包括依据预定的工艺配方针对基板执行的多个处理操作。在一些情况下,制造系统处的一个或多个条件可能在基板的处理期间意外改变。若在制造条件发生改变时依据预定的工艺配方来处理基板,可能在处理工艺期间导致错误,且成品基板可能是有缺陷的。在一些情况下,可以根据改变的条件修改工艺配方的操作以防止在基板的处理期间发生错误。然而,制造系统的操作员可能难以识别应修改工艺配方的哪个操作。
发明内容
所述的实施方式中的一些实施方式涵盖了一种方法,该方法包括以下步骤:识别要依据工艺配方在制造系统处被处理的工艺。该方法进一步包括以下步骤:产生将该基板传输到基板测量子系统以获得针对该基板的第一测量集合的指令。该方法进一步包括以下步骤:从该基板测量子系统接收针对该基板的该第一测量集合。该方法进一步包括以下步骤:产生将该基板从该基板测量子系统传输到处理腔室的指令。该方法进一步包括以下步骤:从该处理腔室的一个或多个传感器接收针对该基板的第二测量集合。该方法进一步包括以下步骤:产生针对该基板的该第一测量集合与该第二测量集合之间的第一映射。该方法进一步包括以下步骤:基于映射到针对该基板的该第二测量集合的该第一测量集合来确定是否修改用于该基板的该配方。
在一些实施方式中,一种制造系统包括:基板测量子系统,被构造成用以产生与在该制造系统处处理的基板相关联的数据;处理腔室,被构造成用以处理该制造系统处的基板,其中该处理腔室包括一个或多个传感器;一个或多个传输机械手,被构造成用以在该基板测量子系统与该处理腔室之间传输基板;及控制器,可操作地耦接到该基板测量子系统、该处理腔室及该一个或多个传输机械手。该控制器用来识别要依据工艺配方在该制造系统处处理的基板。该控制器进一步用来:产生使得该一个或多个传输机械手将该基板传输到该基板测量子系统以获得针对该基板的该第一测量集合的指令。该控制器进一步用来:从该基板测量子系统接收针对该基板的该第一测量集合。该控制器进一步用来:产生使得该一个或多个传输机械手将该基板从该基板测量子系统传输到该处理腔室的指令。该控制器进一步用来:从该处理腔室的该一个或多个传感器接收针对该基板的第二测量集合。该控制器进一步用来:产生针对该基板的该第一测量集合与该第二测量集合之间的第一映射。该控制器进一步用来:基于映射到针对该基板的该第二测量集合的该第一测量集合来确定是否修改用于该基板的该配方。
在一些实施方式中,一种非暂态计算机可读取储存介质包括指令,这些指令在由处理装置执行时使得该处理装置:识别要依据工艺配方在制造系统处处理的基板。这些指令进一步使得该处理装置:产生将该基板传输到该基板测量子系统以获得针对该基板的第一测量集合的指令。这些指令进一步使得该处理装置:从该基板测量子系统接收针对该基板的该第一测量集合。这些指令进一步使得该处理装置:产生将该基板从该基板测量子系统传输到该处理腔室的指令。这些指令进一步使得该处理装置:从该处理腔室的该一个或多个传感器接收针对该基板的第二测量集合。这些指令进一步使得该处理装置:产生针对该基板的该第一测量集合与该第二测量集合之间的第一映射。这些指令进一步使得该处理装置:基于映射到针对该基板的该第二测量集合的该第一测量集合来确定是否修改用于该基板的该配方。
附图说明
通过示例的方式而非限制的方式在附图中示出本公开内容,在这些附图中,类似的附图标记指示类似的元件。应注意,在此公开内容中对于“一”或“一个”实施方式的不同指称不一定指同一实施方式,且此类指称意指至少一个。
图1是依据本公开内容的各方面的示例制造系统的俯视示意图。
图2是依据本公开内容的各方面的基板测量子系统的截面示意侧视图。
图3是依据本公开内容的各方面的处理腔室的截面示意侧视图。
图4是示出依据本公开内容的各方面的系统控制器的方块图。
图5示出依据本公开内容的各方面用于向制造系统的操作员提供通知的示例图形用户界面。
图6示出依据本公开内容的各方面针对基板收集的波谱数据(spectral data)。
图7是依据本公开内容的各方面用于确定是否修改用于晶片的工艺配方的方法的流程图。
图8是依据本公开内容的各方面用于确定是否修改用于晶片的工艺配方的另一个方法的流程图。
图9是依据本公开内容的各方面用于在基板测量子系统处获得针对基板的波谱数据的方法的流程图。
图10是依据本公开内容的各方面用于确定针对基板测量子系统内的基板的位置数据的方法的流程图。
图11示出呈计算装置的示例形式的机器的图解表示,该机器内可以执行指令集,指令集用于使该机器执行本文中所论述的方法学中的任一种或多种。
具体实施方式
本文中所述的实施方案提供一种用来改进制造工艺性能的集成式基板测量系统。这种集成式基板测量系统的各种部件可以可操作地耦接到系统控制器,该系统控制器被构造成用以控制用于制造系统处的基板的工艺。可以将该系统控制器构造成用以从制造系统的各种部分接收数据并将数据储存在数据储存器处,该数据储存器专用于存储在集成式基板测量系统处收集的数据。该系统控制器可以在基板的处理之前、期间或之后从制造系统的一个或多个部分(例如处理腔室、装载锁定机构等等)接收数据。该系统控制器也可以从包括在该集成式基板测量系统内的基板测量子系统接收数据。可以将该基板测量子系统集成在制造系统的一个或多个部分内(例如工厂接口处)。可以将该基板测量子系统构造成用以在该系统的另一个部分处于处理基板之前或之后产生与该基板相关联的数据。
可以将基板测量子系统构造成用以产生针对基板的一种或多种类型的数据,包括波谱数据、位置数据、基板属性数据等等。基板测量子系统能够以响应于对在制造系统处处理基板之前或之后获得针对基板的一个或多个测量的请求而产生针对基板的数据。基板测量子系统可以包括促进产生针对基板的数据的一个或多个部件。例如,基板测量子系统可以包括用于从基板的一部分感测一个或多个波谱并产生针对基板的波谱数据的波谱感测部件。在一些实施方式中,波谱感测部件可以是能够互换的部件,这种部件可以是能够基于在制造系统处执行的工艺类型或要在基板测量子系统处获得的目标测量类型而构造的。例如,波谱感测部件的一个或多个部件可以在基板测量子系统处互换以使得能够收集反射测量(reflectometry)波谱数据、椭圆偏振(ellipsometry)波谱数据、高光谱(hyperspectral)成像数据、化学成像(例如x射线光电子波谱术(x-ray photoelectronspectroscopy;XPS)、能量色散x射线波谱术(energy-dispersive x-ray spectroscopy;EDX)、(x射线荧光(XRF)等等)数据等等。基板测量子系统也可以包括位置部件,这些位置部件被构造成用以修改基板测量子系统内的基板的位置和/或定向(orientation)。这些位置部件也可以产生与基板相关联的位置数据。基板测量子系统可以使针对基板的一部分产生的位置数据与波谱数据相关。基板测量子系统可以向制造系统的系统控制器传送产生的数据(例如波谱数据、位置数据等等)。
响应于系统控制器从制造系统的一部分及基板测量子系统接收数据,系统控制器可以确定是否修改用于基板的工艺配方。系统控制器可以产生从基板测量部件所接收的第一数据集(set of data)与从制造系统的一部分所接收的第二数据集之间的映射。响应于产生第一数据集与第二数据集之间的映射,系统控制器可以基于该映射来确定是否修改用于基板的工艺配方。在一些实施方式中,响应于确定修改用于基板的工艺配方,系统控制器可以向制造系统的用户传送推荐应对工艺配方作出修改的通知。系统控制器可以响应于从制造系统的用户接收要依据推荐修改工艺配方的通知而修改工艺配方。在其他或类似的实施方式中,系统控制器可以在不向制造系统的用户提供指示的情况下修改工艺配方。
本公开内容的实施方案通过提供用于确定是否要对用于基板的工艺配方作出修改的系统来解决上述缺陷传统技术的问题。通过在制造系统处处理基板之前、期间或之后产生针对基板的测量,系统控制器可以确定制造系统内是否已经发生可能影响用于基板的工艺的任何改变。响应于确定制造系统内已经发生改变,系统控制器可以确定要对工艺配方作出修改以防止由于制造系统的改变而在基板处理工艺期间发生错误。通过修改用于基板的工艺配方,系统控制器减小了处理后的基板会有缺陷的可能性,因此增大了制造系统的整体产量。此外,通过将基板测量子系统集成在制造系统内,制造系统内的每个基板的整体采样速率提高了。
图1是依据本公开内容的各方面的示例制造系统100的俯视示意图。制造系统100可以在基板102上执行一个或多个工艺。基板102可以是任何适当刚性的、固定尺度的、平坦的制品,诸如例如含硅的盘或晶片、图案化的晶片、玻璃板或类似物,适于在上面制造电子装置或电路部件。
制造系统100可以包括处理工具104及耦接到处理工具104的工厂接口106。处理工具104可以包括壳体108,该壳体中具有移送腔室110。移送腔室110可以包括围绕该移送腔室设置且耦接到该移送腔室的一个或多个处理腔室(也称为工艺腔室)114、116、118。处理腔室114、116、118可以通过相应的端口(诸如狭缝阀或类似物)耦接到移送腔室110。
可以将处理腔室114、116、118调适为用以在基板102上实现任何数量的处理工艺。可以在每个处理腔室114、116、118中进行相同或不同的基板处理工艺。基板处理工艺可以包括原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD)、蚀刻、退火、固化、预清洁、金属或金属氧化物移除或类似的处理工艺。在一些实施方式中,基板处理工艺可以包括以下项目中的两种或更多种的组合:原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD)、蚀刻、退火、固化、预清洁、金属或金属氧化物移除、或类似的处理工艺。在一个示例中,可以在一个或两个工艺腔室114中执行PVD工艺,可以在一个或两个工艺腔室116中执行蚀刻工艺,且可以在一个或两个工艺腔室118中执行退火工艺。可以在其中的基板上实现其他的处理工艺。处理腔室114、116、118可以各自包括一个或多个传感器,该一个或多个传感器被构造成用以在基板处理工艺之前、之后或期间捕捉针对基板102和/或处理腔室114、116、118内的环境的数据。在一些实施方式中,可以将该一个或多个传感器构造成用以捕捉包括以下项目中的一种或多种的值的数据:一个或多个波谱(例如光谱)、温度(例如加热器温度)、间隔(spacing;SP)、压强、高频射频(HFRF)、静电卡盘(ESC)的电压、电流、流量(flow)、功率、电压、电容等等。关于图3,提供了关于处理腔室114、116、118的进一步细节。
移送腔室110也可以包括移送腔室机械手112。移送腔室机械手112可以包括一个或多个臂,其中每个臂包括在每个臂的端部处的一个或多个终端受动器(end effector)。可以将终端受动器构造成用以搬运特定的物体,诸如晶片。替代性地或附加性地,可以将终端受动器构造成用以搬运诸如工艺配件环(process kit ring)之类的物体。在一些实施方式中,移送腔室机械手112可以是选择性顺应装配机械手臂(selective complianceassembly robot arm;SCARA)机械手,例如2连杆(link)SCARA机械手、3连杆SCARA机械手、4连杆SCARA机械手等等。
也可以将装载锁定机构120耦接到壳体108和移送腔室110。可以将装载锁定机构120构造成用以在一侧与移送腔室110接口连接和耦接,并且与工厂接口106接口连接和耦接。在一些实施方式中,装载锁定机构120可以具有环境受控的气氛(atmosphere),该气氛可以从真空环境(可以在该环境中向移送腔室110传输基板及从移送腔室110传输出基板)改变为在大气压强或接近大气压强的惰性气体环境(可以在该环境中向工厂接口106传输基板及从工厂接口106传输出基板)。在一些实施方式中,装载锁定机构120可以是具有一对上部内部腔室及一对下部内部腔室的堆叠(stacked)装载锁定机构,这对上部内部腔室及这对下部内部腔室位于不同的竖直水平(例如一个位在另一个上方)。在一些实施方式中,可以将这对上部内部腔室构造成用以从移送腔室110接收处理后的基板以用于从处理工艺工具104移除,而可以将这对下部内部腔室构造成用以从工厂接口106接收基板以用于在处理工艺工具104中处理。在一些实施方式中,可以将装载锁定机构120构造成用以对接收在装载锁定机构中的一个或多个基板102执行基板处理工艺(例如蚀刻或预清洁)。
工厂接口106可以是任何合适的围护件(enclosure),例如设备前端模块(EFEM)。可以将工厂接口106构造成用以从在工厂接口106的各种装载端口124处对接的基板载具122(例如前开式标准舱(FOUP))接收基板102。可以将工厂接口机械手126(以虚线示出)构造成用以在基板载具(也称为容器)122与装载锁定机构120之间传输基板102。在其他和/或类似的实施方式中,可以将工厂接口106构造成用以从替换零件储存容器123接收替换零件。工厂接口机械手126可以包括一个或多个机械手臂,且可以是或可以包括SCARA机械手。在一些实施方式中,与移送腔室机械手112相比,工厂接口机械手126可以具有更多个连杆和/或更多的自由度。工厂接口机械手126可以在每个机械手臂的端部上包括终端受动器。可以将终端受动器构造成用以拾取及搬运特定的物体,诸如晶片。替代性地或附加性地,可以将终端受动器构造成用以搬运诸如工艺配件环之类的物体。
可以将任何传统的机械手类型用于工厂接口机械手126。可以以任何顺序或在任何方向上实现传输。在一些实施方式中,可以将工厂接口106维持在例如稍微正压的非反应气体环境(例如使用氮气作为非反应气体的环境)。
在一些实施方式中,可以将移送腔室110、工艺腔室114、116及118及装载锁定机构120维持在真空水平。制造系统100可以包括耦接到制造系统100的一个或多个站的一个或多个真空端口。例如,第一真空端口130a可以将工厂接口106耦接到装载锁定机构120。可以将第二真空端口130b耦接到装载锁定机构120并设置在装载锁定机构120与移送腔室110之间。在其他或类似的实施方式中,可以不将移送腔室110、工艺腔室114、116及118和/或装载锁定机构120维持在真空水平。
也可以将制造系统100连接到客户端装置(未示出),该客户端装置被构造成用以向用户(例如操作员)提供关于制造系统100的信息。客户端装置可以包括诸如个人计算机(PC)、笔记本计算机、移动电话、智能手机、平板计算机、网本计算机、连网电视等等之类的计算装置。在一些实施方式中,客户端装置可以经由一个或多个图形用户界面(GUI)向制造系统100的用户提供信息。例如,客户端装置可以经由GUI提供关于要对用于基板102的工艺配方进行的一个或多个修改的信息。
制造系统100也可以包括系统控制器128。系统控制器128可以是和/或可以包括诸如个人计算机、服务器计算机、可编程逻辑控制器(PLC)、微控制器等等之类的计算装置。系统控制器132可以包括一个或多个处理装置,处理装置可以是诸如微处理器、中央处理单元或类似物之类的通用处理装置。尤其是,处理装置可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器或实施其他指令集的处理器或实施指令集的组合的处理器。处理装置也可以是诸如专用集成电路(ASIC)、现场可编程逻辑门阵列(FPGA)、数字信号处理器(DSP)、网络处理器或类似物之类的一个或更多个专用处理装置。系统控制器128可以包括数据储存装置(例如一个或多个磁盘驱动器和/或固态驱动器)、主存储器、静态存储器、网络接口和/或其他部件。系统控制器128可以执行指令以执行本文中所述的方法学和/或实施方式中的任一个或多个。在一些实施方式中,系统控制器128可以执行指令以依据工艺配方在制造系统100处执行一个或多个操作。工艺配方包括要以专门的顺序在制造系统100处执行的一系列操作。这些指令可以储存在计算机可读储存介质上,该计算机可读储存介质可以包括主存储器、静态存储器、辅助(secondary)储存器和/或处理装置(在这些指令的执行期间)。
系统控制器128可以从包括在制造系统100的各种部分(例如处理腔室114、116、118、移送腔室110、装载锁定机构120等等)上或各种部分内的传感器接收数据。由系统控制器128所接收的数据可以包括与基板102和/或制造系统100的一部分内围绕基板102的环境相关联的数据。出于本说明的目的,将系统控制器128描述为从包括在处理腔室114、116、118内的传感器接收数据。然而,系统控制器128可以从制造系统100的任何部分接收数据,且可以依据本文中所述的实施方式使用从该部分所接收的数据。在说明性示例中,系统控制器128可以在处理腔室114、116、118处的基板处理工艺之前、之后或期间从处理腔室114、116、118的一个或多个传感器接收数据。在此类示例中,从处理腔室114、116、118所接收的数据可以与基板102相关联,包括温度数据、位置数据(例如处理腔室114、116、118内的基板102的位置和/或定向)等等。由系统控制器128所接收的数据也可以与处理腔室114、116、118的环境相关联,包括指示处理腔室114、116、118的温度或内部压强、处理腔室114、116、118内的辐射量等等的数据。可以将从制造系统100的各种部分的传感器所接收的数据储存在数据储存器150中。数据储存器150可以被包括作为系统控制器128内的部件,或可以是与系统控制器128分开的部件。关于图4,提供了关于数据储存器150的进一步细节。
制造系统100可以包括基板测量子系统140。基板测量子系统140可以在制造系统100处处理基板102之前或之后获得针对基板102的一个或多个部分的测量。在一些实施方式中,基板测量子系统140可以响应于从系统控制器128接收对测量的请求而获得针对基板102的一个或多个部分的测量。可以将基板测量子系统140集成在制造系统100的一部分内。在一些实施方式中,可以将基板测量子系统140集成在工厂接口106内。在此类实施方式中,可以将工厂接口机械手126构造成用以在基板载具122与基板测量子系统140之间和/或在基板测量子系统140与装载锁定机构120之间传输基板102。在其他或类似的实施方式中,基板测量子系统140可以不与制造系统100的任何部分集成在一起,而是可以是独立的部件。在此类实施方式中,在制造系统100处处理基板102之前或之后,可以将在基板测量子系统140处测量的基板102向制造系统100的一部分传输或从制造系统100的一部分传输出。
基板测量子系统140可以通过产生与基板102的一部分相关联的数据来获得针对基板102的该部分的测量。在一些实施方式中,基板测量子系统140被构造成用以产生针对基板102的波谱数据、位置数据及其他的基板属性数据。在一些实施方式中,基板测量子系统140可以包括一个或多个反射测量传感器(即反射计)。在此类实施方式中,由基板测量子系统140所产生的波谱数据可以指从基板102的一部分所反射的波的每个波长的反射光强度(reflected optical intensity)。在其他或类似的实施方式中,基板测量子系统140可以包括一个或多个椭圆偏振传感器(即椭圆偏振仪)。在此类实施方式中,由基板测量子系统140所产生的波谱数据可以指从基板102的一部分所反射的偏振光波的波长的反射光强度。在其他或类似的实施方式中,波谱数据可以指从热波谱传感器等等收集的波谱数据。如上所述,基板测量子系统140可以产生针对基板102的其他的基板属性数据(即非波谱数据)。例如,基板测量子系统140可以基于从涡流(即感应)传感器、电容传感器等等收集的信号来产生数据。
在产生针对基板102的数据之后,基板测量子系统140可以向系统控制器128传送产生的数据。响应于从基板测量子系统140接收数据,系统控制器128可以将数据储存在数据储存器150处。
在一些实施方式中,由系统控制器128从基板测量子系统140所接收的数据可以与从处理腔室114、116、118的一个或多个传感器所接收的数据相关联。例如,可以在基板测量系统140处产生针对基板102的第一数据集。响应于系统控制器128接收第一数据集,可以向处理腔室114、116、118传输基板102用于处理。在处理腔室114、116、118处,可以针对基板102产生第二数据集并向系统控制器128传输第二数据集。响应于确定第一数据集与第二数据集相关联,系统控制器128可以产生第一数据集与第二数据集之间的映射并将产生的映射储存到数据储存器150。基于第一数据集与第二数据集之间的映射,系统控制器128可以确定是否修改用于基板102的工艺配方。关于图4,提供了关于系统控制器128确定是否修改用于基板102的工艺配方的进一步细节。
在一些实施方式中,响应于确定修改工艺配方,系统控制器128可以向制造系统100的操作员提供指示应修改工艺配方的通知。在一些示例中,可以经由通过客户端装置显示的GUI(诸如图5的GUI 500)提供通知。该通知可以提供用来修改工艺配方的一个或多个操作的推荐连同使得操作员能够接受或拒绝对工艺配方的该修改的GUI元素。在其他或类似的实施方式中,该通知可以提供对于对工艺配方的一个或多个操作的修改的多个替代推荐连同使得操作员能够选择一个推荐而不是其他替代推荐的一个或多个GUI元素。在一些实施方式中,系统控制器128可以不向制造系统100的操作员提供通知,而是可以基于对工艺配方的最佳修改的识别来修改处理配方。
图2是依据本公开内容的各方面的基板测量子系统200的截面示意侧视图。可以将基板测量子系统200构造成用以在处理腔室处处理基板102之前或之后获得针对基板(例如图1的基板102)的一个或多个部分的测量。基板测量子系统200可以通过产生与基板102的一部分相关联的数据来获得针对基板102的该部分的测量。在一些实施方式中,可以将基板测量子系统200构造成用以产生与基板102相关联的波谱数据、位置数据和/或其他属性数据。基板测量子系统200可以包括控制器230,该控制器被构造成用以执行一个或多个用于产生与基板102的一部分相关联的数据的指令。
基板测量子系统200可以包括基板感测部件214,该基板感测部件被构造成用以检测基板102何时被传输到基板测量子系统200。基板感测部件214可以包括构造成用以检测基板102何时被传输到基板测量子系统200的任何部件。例如,基板感测部件214可以包括光学感测部件,该光学感测部件将光束穿过入口传送给基板测量子系统200。响应于在基板102被放在基板测量子系统200内时基板102切断穿过入口传送给基板测量子系统200的光束,基板感测部件214可以检测到基板102已经被传输到基板测量子系统200。响应于检测到基板102已经被传输到基板测量子系统200,基板感测部件214可以向控制器230传送指示出基板102已经被传输到基板测量子系统200的指示。
在一些实施方式中,可以进一步将基板感测部件214构造成用以检测与基板102相关联的识别信息。在一些实施方式中,可以将基板102在传输到基板测量子系统200时嵌入在基板载具(未示出)内。基板载具可以包括使得能够识别基板102的一个或多个配准(registration)特征。例如,基板感测部件214的光学感测部件可以检测到:嵌入在基板载具内的基板102已经切断穿过入口传送给基板测量子系统200的光束。光学感测部件可以进一步检测包括在基板载具上的一个或多个配准特征。响应于检测到该一个或多个配准特征,光学感测部件可以产生与该一个或多个配准特征相关联的光学签名(opticalsignature)。基板感测部件214可以向控制器230传送由该光学感测部件所产生的光学签名连同基板已经被放在基板测量子系统200内的指示。响应于从感测部件214接收光学签名,控制器230可以分析该光学签名以确定与基板102相关联的识别信息。与基板102相关联的该识别信息可以包括基板102的识别码、基板102的处理工艺的识别码(例如批号(batchnumber)或处理工艺轮数(process run number))、基板102的类型(例如晶片等等)的识别码等等。
基板测量子系统200可以包括构造成用以确定基板测量子系统200内的基板102的位置和/或定向的一个或多个部件。可以基于对基板102的参考位置的识别来确定基板102的位置和/或定向。参考位置可以是基板102的包括识别特征的一部分,该识别特征与基板102的特定部分相关联。例如,基板102可以具有嵌入在基板102的中心部分中的参考标签。在另一个示例中,基板102可以具有包括在基板102的中心部分处的基板102的表面上的一个或多个结构特征。控制器230可以基于针对基板102的确定的识别信息来确定与基板102的特定部分相关联的识别特征。例如,响应于确定基板102是晶片,控制器230可以确定大致包括在晶片的一部分处的一个或多个识别特征。
控制器230可以使用构造成用以捕捉基板102的影像数据的一个或多个照相机部件250来识别基板102的参考位置。照相机部件250可以产生基板102的一个或多个部分的影像数据并向控制器230传送影像数据。控制器230可以分析影像数据以识别与基板102的参考位置相关联的识别特征。控制器230可以基于基板102的经识别的识别特征来进一步确定如影像数据中所描绘的基板102的位置和/或定向。控制器230可以基于如影像数据中所描绘的基板102的确定的位置和/或定向及基板102的经识别的识别特征来确定基板102的位置和/或定向。
响应于确定基板102的位置和/或定向,控制器230可以产生与基板102的一个或多个部分相关联的位置数据。在一些实施方式中,位置数据可以包括一个或多个坐标(例如笛卡尔坐标、极坐标等等),该一个或多个坐标各自与基板102的一部分相关联,其中每个坐标是基于相对于基板102的参考位置的距离来确定的。例如,响应于确定基板102的位置和/或定向,控制器230可以产生与基板102的包括参考位置的一部分相关联的第一位置数据,其中第一位置数据包括笛卡尔坐标(0,0)。控制器230可以产生与基板102的相对于参考位置的第二部分相关联的第二位置数据。例如,基板102的位于参考位置正东方约2纳米(nm)的一部分可以被赋予笛卡尔坐标(0,1)。在另一个示例中,基板102的位于参考位置正北方5nm的一部分可以被赋予笛卡尔坐标(1,0)。
控制器230可以基于针对基板102所确定的位置数据来确定基板102的一个或多个部分以进行测量。在一些实施方式中,控制器230可以接收与基板102相关联的工艺配方的一个或多个操作。在此类实施方式中,控制器230可以基于工艺配方的一个或多个操作来进一步确定基板102的该一个或多个部分以进行测量。例如,控制器230可以接收对基板102执行了蚀刻工艺且将几个结构特征蚀刻到了基板102的表面上的指示。结果是,控制器230可以确定一个或多个结构特征以进行测量,以及确定基板102的各种部分处的特征的预期位置。
基板测量子系统200可以包括用于测量基板102的一个或多个测量部件。在一些实施方式中,基板测量子系统200可以包括一个或多个波谱感测部件220,该一个或多个波谱感测部件被构造成用以产生针对基板102的一个或多个部分的波谱数据。如先前所论述,波谱数据可以与针对检测到的能量波的每个波长的该检测到的能量波的强度(即,能量的强度或量)对应。关于图6,提供了关于收集的波谱数据的进一步细节。用于测量基板102的测量部件也可以包括构造成用以收集并产生非波谱数据的非波谱感测部件(未示出)。例如,测量部件可以包括涡流传感器或电容传感器。虽然本说明书的一些实施方式可能提到收集并使用基板102的波谱数据,但本说明书的实施方式也可以可适用于针对基板102收集的非波谱数据。
可以将波谱感测部件220构造成用以检测从基板102的一部分所反射的能量波并产生与检测到的波相关联的波谱数据。波谱感测部件220可以包括波发生器222及反射波接收器224。在一些实施方式中,波发生器222可以是构造成用以朝向基板102的一部分产生光束的光波发生器。在此类实施方式中,可以将反射波接收器224构造成用以从基板102的该部分接收反射光束。可以将波发生器222构造成用以产生能量流226(例如光束)并向基板102的一部分传送能量流226。反射的能量波228可以从基板102的该部分反射并被反射波接收器224接收。虽然图3A示出反射离开基板102的表面的单个能量波,但多个能量波也可以反射离开基板102的表面并被反射波接收器224接收。
响应于反射波接收器224从基板102的该部分接收反射的能量波228,波谱感测部件220可以测量包括在反射能量波228中的每个波的波长。波谱感测部件220可以进一步测量每个测量的波长的强度。响应于测量每个波长及每个波长强度,波谱感测部件220可以产生针对基板102的该部分的波谱数据。波谱感测部件220可以向控制器230传送产生的波谱数据。响应于接收产生的波谱数据,控制器230可以针对基板102的被测量部分产生接收的波谱数据与位置数据之间的映射。
可以将基板测量子系统200构造成用以基于要在基板测量子系统200处获得的测量的类型来产生特定类型的波谱数据。在一些实施方式中,波谱感测部件220可以是构造成用以产生一种类型的波谱数据的第一波谱感测部件。例如,可以将波谱感测部件220构造成用以产生反射测量波谱数据、椭圆偏振波谱数据、高光谱成像数据、化学成像数据、热波谱数据或传导波谱数据(conductive spectral data)。在此类实施方式中,可以将第一波谱感测部件从基板测量子系统200移除并以第二波谱感测部件替换,该第二波谱感测部件被构造成用以产生不同类型的波谱数据(例如反射测量波谱数据、椭圆偏振波谱数据、高光谱成像数据或化学成像数据)。
控制器230可以基于要针对基板102的一个或多个部分获得的测量的类型来确定要针对基板102产生的数据(即波谱数据、非波谱数据)的类型。在一些实施方式中,控制器230可以基于从系统控制器128所接收的通知来确定该一种或多种类型的测量。在其他或类似的实施方式中,控制器230可以基于产生针对基板102的一部分的测量的指令来确定该一种或多种类型的测量。响应于确定要获得的该一种或多种类型的测量,控制器230可以确定要针对基板102产生的数据的类型。例如,控制器230可以确定:要针对基板102产生波谱数据,并且第二波谱感测部件是用于针对基板102的该一个或多个部分获得确定的类型的测量的最佳感测部件。响应于确定第二感测部件是最佳感测部件,控制器230可以向系统控制器传送指示应以第二波谱感测部件替换第一波谱感测部件并且应使用第二波谱感测部件来针对基板102的该一个或多个部分获得该一种或多种类型的测量的通知。系统控制器128可以向连接到制造系统的客户端装置传送该通知,其中客户端装置可以经由GUI向制造系统的用户(例如操作员)提供该通知。
在其他或类似的实施方式中,可以将波谱感测部件220构造成用以产生多种类型的波谱数据。在此类实施方式中,依据先前所述的实施方式,控制器230可以使得波谱感测部件220基于要针对基板102的一个或多个部分获得的类型的测量来产生特定类型的波谱数据。响应于确定要获得的那种类型的测量,控制器230可以确定要由波谱感测部件220产生第一类型的波谱数据。基于要由波谱感测部件220产生第一类型的波谱数据的确定,控制器230可以使得波谱感测部件220产生针对基板102的该一个或多个部分的第一类型的波谱数据。
如先前所述,控制器230可以确定基板102的一个或多个部分以在基板测量子系统200处进行测量。在一些实施方式中,一个或多个测量部件(诸如波谱感测部件220)可以是基板测量子系统200内的固定部件(stationary component)。在此类实施方式中,基板测量子系统200可以包括一个或多个位置部件240,该一个或多个位置部件被构造成用以修改基板102相对于波谱感测部件220的位置和/或定向。在一些实施方式中,可以将位置部件240构造成用以相对于波谱感测部件220沿着第一轴线和或第二轴线平移基板102。在其他或类似的实施方式中,可以将位置部件240构造成用以相对于波谱感测部件220绕第三轴线旋转基板102。
在波谱感测部件220产生针对基板102的一个或多个部分的波谱数据时,位置部件240可以依据要针对基板102测量的该一个或多个确定的部分修改基板102的位置和/或定向。例如,在波谱感测部件220产生针对基板102的波谱数据之前,位置部件240可以将基板102定位在笛卡尔坐标(0,0)处,并且波谱感测部件220可以产生针对在笛卡尔坐标(0,0)处的基板102的第一波谱数据。响应于波谱感测部件220产生针对在笛卡尔坐标(0,0)处的基板102的第一波谱数据,定位部件240可以沿着第一轴线平移基板102,使得波谱感测部件220被构造成用以产生针对在笛卡尔坐标(0,1)处的基板102的第二波谱数据。响应于波谱感测部件220产生针对在笛卡尔坐标(0,1)处的基板102的第二波谱数据,控制器230可以沿着第二轴线旋转基板102,使得波谱感测部件220被构造成用以产生针对在笛卡尔坐标(1,1)处的基板102的第三波谱数据。此过程可以进行多次,直到针对基板102的每个确定的部分产生波谱数据为止。
在一些实施方式中,可以在基板102的表面上包括材料的一个或多个层212。该一个或多个层212可以包括蚀刻材料、光刻胶材料、掩模材料、沉积的材料等等。在一些实施方式中,该一个或多个层212可以包括要依据在处理腔室处处理执行的蚀刻来蚀刻的蚀刻材料。在此类实施方式中,依据先前公开的实施方式,可以针对沉积于基板102上的层212的未蚀刻的蚀刻材料的一个或多个部分收集波谱数据。在其他或类似的实施方式中,该一个或多个层212可以包括已经在处理腔室处依据蚀刻工艺被蚀刻的蚀刻材料。在此类实施方式中,可以将一个或多个结构特征(例如线、柱、开口等等)蚀刻到基板102的该一个或多个层212中。在此类实施方式中,可以针对蚀刻到基板102的该一个或多个层212中的一个或多个结构特征收集波谱数据。
在一些实施方式中,基板测量子系统200可以包括构造成用以捕捉针对基板102的附加数据的一个或多个附加传感器。例如,基板测量子系统200可以包括构造成用以确定基板102的厚度、沉积于基板102的表面上的膜的厚度等等的附加传感器。可以将每个传感器构造成用以向控制器230传送捕捉到的数据。
依据本文中所述的实施方式,响应于接收针对基板102的波谱数据、位置数据或属性数据中的至少一个,控制器230可以向系统控制器128传送接收到的数据用于处理及分析。
图3描绘依据本公开内容的各方面的处理腔室300的截面示意侧视图。处理腔室300可以用于提供有腐蚀性等离子体环境的处理工艺。例如,处理腔室300可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器等等的腔室。在替代性的实施方式中,可以使用其他处理腔室,这些腔室可以暴露于或可以不暴露于腐蚀性等离子体环境。腔室部件的一些示例包括化学气相沉积(CVD)腔室、物理气相沉积(PVD)腔室、原子层沉积(ALD)腔室、离子辅助沉积(IAD)腔室、蚀刻腔室、及其他类型的处理腔室。
在一个实施方式中,处理腔室300包括包围内部空间306的腔室主体302及喷头330。腔室主体302一般包括侧壁308及底部310。喷头330可以包括喷头基部及喷头气体分配板332。或者,喷头330可以在一些实施方式中以盖及喷嘴替换,或在其他的实施方式中以多个饼形喷头隔室及等离子体产生单元替换。可以将排放端口326界定在腔室主体302中,并且排放端口326可以将内部空间306耦接到泵系统328。泵系统328可以包括一个或多个泵及节流阀,用以抽空及调节处理腔室300的内部空间306的压强。
可以将喷头330支撑在腔室主体302的侧壁308上。喷头330(或盖)可以开启以允许通往处理腔室300的内部空间306,并且可以在关闭的同时为处理腔室300提供密封。气体面板(未示出)可以耦接到处理腔室300以通过喷头330或盖和喷嘴(例如通过喷头或盖和喷嘴的孔)向内部空间306提供工艺气体和/或清洁气体。
基板支撑组件348被设置在处理腔室300的内部空间306中且位于喷头330下方。基板支撑组件348在处理期间保持基板(诸如图1的基板102)。在一个实施方式中,基板支撑组件348包括基座352,该基座支撑静电卡盘350。静电卡盘350进一步包括导热基部和与导热基部结合的静电圆盘(puck)。静电卡盘350的导热基部和/或静电圆盘可以包括一个或多个可选的嵌入的加热元件、嵌入的隔热器和/或导管,以控制基板支撑组件348的横向温度分布。静电卡盘350可以包括由卡紧(chucking)电源所控制的至少一个夹持(clamping)电极。
处理腔室300可以包括一个或多个传感器360,该一个或多个传感器被构造成用以在处理基板102之前、之后或期间产生针对基板102和/或围绕基板102的环境的数据。可以将每个传感器360构造成用以向控制器(诸如系统控制器128)传送数据。在一些实施方式中,可以将一个或多个传感器360嵌入在处理腔室300的部件内,并且可以构造成用以捕捉与该部件的功能相关联的数据。例如,可以将传感器360A嵌入在基板支撑组件348和/或静电卡盘350内。在处理腔室300的运行期间,传感器360A可以产生与嵌入在静电卡盘350内的一个或多个加热元件的温度、基板支撑组件348的横向温度分布、由卡紧电源所供应的功率量等等相关联的数据。在另一个示例中,可以将传感器360B嵌入在气体面板和/或喷头330内。在此类示例中,可以将传感器360B构造成用以产生与通过喷头330向内部空间306提供的工艺气体和/或清洁气体的组成物、流量及温度相关联的数据。在其他或类似的实施方式中,可以将一个或多个传感器360嵌在处理腔室300的内部空间306内以在处理工艺期间捕捉与围绕基板102的环境相关联的数据。例如,可以将传感器360C嵌在腔室主体302的表面(例如侧壁308)上。在此类示例中,可以将传感器360C构造成用以产生与内部空间306的压强、内部空间306的温度、内部空间306内的辐射量等等相关联的数据。
在一些实施方式中,可以将处理腔室300的外部的一个或多个传感器360构造成用以在处理基板344之前、之后或期间产生针对基板102和/或围绕基板102的环境的数据。例如,可以将传感器360D构造成用以产生与基板102的表面的一个或多个部分相关联的数据。可以将透明窗370嵌入在喷头330或侧壁308中的至少一个内。传感器360D可以是光学发射装置,包括光源部件及反光部件。可以将光源部件构造成用以使光透过透明窗370传送至基板102的一部分。可以从基板102的该部分传送反射光,该反射光透过透明窗370,并且被传感器360D的反光部件接收。传感器360D可以产生与由反光部件所接收的反射光相关联的波谱数据,并且可以向控制器(诸如系统控制器128)传送产生的波谱数据。在一些实施方式中,如所示,可以将传感器360D构造成用以产生与基板102的中心部分相关联的波谱数据。在其他或类似的实施方式中,可以将传感器360D构造成用以产生与基板102的另一个部分(例如基板102的外径)相关联的波谱数据。
图4是示出依据本公开内容的各方面的系统控制器的方块图。在一些实施方式中,系统控制器可以是关于图1所描述的系统控制器128。系统控制器128可以包括基板数据收集代理器410及数据储存器420。
如所示,基板数据收集代理器410可以包括基板测量子系统数据模块412(在本文中称为SMS数据模块412)、传感器数据模块414、数据映射模块416及工艺配方修改模块418。基板数据收集代理器410可以与数据储存器420通信,该数据储存器储存SMS数据422、传感器数据424、数据映射426、工艺配方428及修改的工艺配方430。
可以将数据储存器420构造成用以储存制造系统的用户不能存取的数据。在一些实施方式中,储存在数据储存器420处的所有数据都可以是不能由制造系统的用户(例如操作员)存取的。在其他或类似的实施方式中,储存在数据储存器420处的数据的一部分可以是不能由用户存取的,而储存在数据储存器420处的数据的另一部分可以是能由用户存取的。在一些实施方式中,可以使用用户不知道的加密机制来加密储存在数据储存器420处的数据的一个或多个部分(例如使用加密私钥(private encryption key)来加密数据)。在其他或类似的实施方式中,数据储存器420可以包括多个数据储存器,其中用户不能存取的数据储存在一个或多个第一数据储存器中,而用户能存取的数据储存在一个或多个第二数据储存器中。
可以将SMS数据模块412构造成用以从基板测量子系统(诸如图2的基板测量子系统200)接收数据。如先前所述,系统控制器128可以产生使得在制造系统处处理基板之前或之后将基板传输到基板测量子系统200以获得针对基板的一个或多个测量的指令。响应于系统控制器128接收基板已经被传输到基板测量子系统200的指示,SMS数据模块412可以向基板测量子系统200传送对获得针对基板的一个或多个部分的测量的请求。
如先前所述,系统控制器128可以依据工艺配方428控制在制造系统处对基板的处理工艺。在一些实施方式中,SMS数据模块412可以基于工艺配方来确定要在基板测量子系统200处测量的基板的该一个或多个部分。例如,工艺配方的操作可以包括在处理腔室处蚀刻沉积于基板的表面上的材料层。基于工艺配方的该操作,SMS数据模块412可以确定基板的表面的一个或多个部分以在处理腔室处的蚀刻工艺之前及之后进行监测。在此类实施方式中,SMS数据模块412可以将对要在基板测量子系统200处测量的基板的确定的一个或多个部分的指示包括在对在基板测量子系统200处获得测量的请求中。在此类实施方式中,依据本文中所述的实施方式,在基板测量子系统200处的控制器(诸如控制器230)可以确定基板的该一个或多个部分以在基板测量子系统200处进行测量。
响应于传送对获得测量的请求,SMS数据模块412可以从基板测量子系统200接收SMS数据422。SMS数据422可以包括波谱数据、位置数据、属性数据等等。在一些实施方式中,SMS数据422可以进一步包括与基板相关联的信息(例如基板的识别码)或跟与基板相关联的处理工艺相关联的信息(例如批号或处理工艺轮数)。响应于从基板测量子系统200接收SMS数据422,SMS数据模块412可以使得SMS数据422被储存在数据储存器420处。
可以将传感器数据模块414构造成用以在针对基板执行处理工艺之前、期间或之后从制造系统的一个或多个部分(诸如处理腔室300)接收数据。响应于基板被传输到处理腔室300,传感器数据模块414可以在处理腔室300处执行基板处理工艺之前、期间或之后向处理腔室300传送对获得针对基板的一个或多个部分的测量的请求。在一些实施方式中,传感器数据模块414可以在不传送对获得处理腔室300处的测量的请求的情况下接收由处理腔室300处的一个或多个传感器所产生的数据。在一些实施方式中,在处理腔室300处获得的针对基板的测量可以与在基板测量子系统200处获得的测量对应。依据关于SMS数据模块412所描述的实施方式,传感器数据模块414可以确定要在处理腔室300处获得的一个或多个测量。例如,传感器数据模块414可以确定要在处理腔室300处测量的基板的一个或多个部分。
传感器数据模块414可以响应于向处理腔室300传送对基板数据的请求而从处理腔室300接收传感器数据424。传感器数据424可以包括波谱数据、温度数据、压强数据等等。在一些实施方式中,依据先前所述的实施方式,传感器数据424可以包括与基板相关联的信息或与跟基板相关联的处理工艺相关联的信息(例如基板识别码或处理工艺识别码)。响应于从处理腔室300接收传感器数据424,传感器数据模块414可以使得传感器数据424被储存在数据储存器420处。
响应于系统控制器128接收SMS数据422及传感器数据424,数据映射模块416可以产生与传感器数据424相关联的SMS数据422之间的映射。数据映射模块416可以确定针对给定基板的接收的SMS数据422是否与针对该给定基板的传感器数据424相关联,反之亦然。在一些实施方式中,数据映射模块416可以基于共同的传感器识别码或共同的批次识别码来确定SMS数据422与传感器数据424相关联。响应于确定针对给定基板的SMS数据422与针对该给定基板的传感器数据424相关联,数据映射模块416可以产生SMS数据422与传感器数据424之间的映射,并将标识为数据映射426的映射储存在数据储存器420中。
应注意,虽然本公开内容的实施方式可以描述系统控制器128在接收传感器数据424之前接收SMS数据,但在一些实施方式中,系统控制器128也可以在接收SMS数据422之前接收传感器数据424。例如,可以在处理腔室300处执行针对基板102的第一测量,并且可以向系统控制器128传送传感器数据424。可以在处理腔室300处的处理之后将基板传输到基板测量子系统200(例如使用传输机械手来传输)。依据上述实施方式,基板测量子系统200可以执行针对基板102的第二测量,并且向系统控制器128传送SMS数据422。进一步地,应注意,可以在基板测量子系统200处执行多个测量。例如,可以在基板测量子系统200处的第一测量期间获得第一SMS数据422,可以在处理腔室300处的第二测量期间获得传感器数据424,并且可以在基板测量子系统200处的第三测量期间获得第二SMS数据422。
在类似或替代性的实施方式中,基板测量子系统200可以执行针对基板102的第一测量及第二测量。例如,基板测量子系统200可以获得针对基板102的第一SMS数据422(例如波谱数据),并且可以获得针对基板102的第二SMS数据422(例如非波谱数据)。可以在处理腔室300处处理基板102之前或之后获得第一SMS数据422或第二SMS数据422中的至少一个。
配方修改模块418可以基于由数据映射模块416所产生的数据映射426来确定是否修改工艺配方428。配方修改模块418可以识别由数据映射426映射在一起的SMS数据422(例如第一SMS数据、第二SMS数据等等)和/或传感器数据424。在一些实施方式中,一种类型的SMS数据422与一种类型的传感器数据424对应。在此类实施方式中,配方修改模块418可以将SMS数据422与传感器数据424进行比较,以确定SMS数据422与传感器数据424之间的差异。响应于确定SMS数据422与传感器数据424之间的差异,配方修改模块418可以将确定的差异与差异阈值进行比较。响应于确定该差异超过差异阈值,配方修改模块418可以确定修改工艺配方428。
在一些实施方式中,配方修改模块418可以基于SMS数据422与传感器数据424之间的映射来确定处理腔室300内的基板的位置。如先前所述,SMS数据422可以包括在基板测量子系统200处针对基板的一个或多个部分产生的波谱数据。SMS数据422可以进一步包括与产生的波谱数据相关联的位置数据(例如基板的每个部分的笛卡尔坐标)。亦如先前所述,传感器数据424可以包括在处理腔室300处在基板的一个或多个部分处产生的波谱数据。配方修改模块418可以识别与传感器数据424的第二波谱数据对应的SMS数据422的第一波谱数据。配方修改模块418可以基于与SMS数据422的第一波谱数据相关联的SMS数据422的位置数据来确定处理腔室300内的基板的位置。配方修改模块可以基于处理腔室300内的基板的确定的位置来是否修改用于处理腔室300内的基板的工艺配方。
在一些实施方式中,配方修改模块418可以将SMS数据422与目标测量值432进行比较。目标测量值432可以包括针对基板的一个或多个位置的目标测量值。响应于确定SMS数据422与目标测量值432之间的差异超过差异阈值,配方修改模块418可以确定修改工艺配方428。
在一些实施方式中,配方修改模块418可以确定对被预期导致SMS数据422与传感器数据424之间和/或SMS数据422与目标测量值432之间的差异的工艺配方428的修改。在一些实施方式中,配方修改模块418可以通过向修改确定部件(未示出)提供SMS数据422与传感器数据424之间和/或SMS数据422与目标测量值432之间的差异来确定对工艺配方428的修改。在此类实施方式中,修改确定部件可以基于提供的差异来向配方修改模块418提供要对工艺配方428作出的推荐的修改。在一些实施方式中,修改确定部件可以是包括与工艺配方修改相关联的一个或多个规则的规则数据库,这些工艺配方修改可以根据SMS数据422与传感器数据424之间和/或SMS数据422与目标测量值432之间的差异来作出。在其他或类似的实施方式中,修改确定部件可以包括将SMS数据422与传感器数据424之间和/或SMS数据422与目标测量值432之间的差异与工艺配方修改相关联的数据结构。
在说明性示例中,修改确定部件可以基于SMS数据422与传感器数据424之间和/或SMS数据422与目标测量值432之间的差异差异来确定:用来处理基板的处理腔室与不均匀的蚀刻速率相关联。基于处理腔室与不均匀的蚀刻速率相关联的确定,为了对未来在处理腔室处处理的基板实现均匀的蚀刻速率,修改确定部件可以识别一个或多个工艺参数值以进行修改。工艺参数值修改的示例可以包括基板支撑组件的第一区处的温度的减小及基板支撑组件的第一区的温度的增大。
在一些实施方式中,配方修改模块418可以向连接到制造系统的客户端装置传送通知,其中该通知指示推荐对工艺配方428的修改。客户端装置可以经由GUI(诸如图5的GUI500)向客户端装置的用户显示该通知。配方修改模块418可以从客户端装置接收修改工艺配方428的指令。响应于接收修改工艺配方428的指令,配方修改模块418可以修改工艺配方并将修改的工艺配方430储存在数据储存器420处。在一些实施方式中,配方修改模块418可以修改工艺配方而不向客户端装置传送通知。
如上所述,可以在处理腔室300处执行对基板102的第一测量,并且可以在基板测量子系统200处执行对基板102的第二测量。在此类实施方式中,依据先前所述的实施方式,基板测量子系统200可以确定在基板测量子系统200处的基板102的位置。配方修改模块418可以基于SMS数据422(即第二测量)与传感器数据424(即第一测量)之间的映射来确定处理腔室300内的基板的位置。依据先前所述的实施方式,配方修改模块418可以将SMS数据422与传感器数据424进行比较,并且基于该比较来确定是否修改工艺配方428。
在一些实施方式中,可以在外部计量工具处针对基板102收集外部计量数据(例如在处理腔室300处处理基板102之前和/或之后进行)。依据先前所述的实施方式,系统控制器128可以从外部计量工具接收外部计量数据,并且可以将接收的外部计量数据储存在数据储存器处。数据映射模块416可以更新针对基板102的数据映射以包括针对基板102的外部计量数据与其他数据(例如SMS数据422、传感器数据424)之间的映射。依据先前所述的实施方式,配方修改模块418可以基于针对基板102的更新的数据映射426来确定是否修改工艺配方428。
图5示出依据本公开内容的各方面的用于向制造系统的用户(例如操作员)提供通知的示例图形用户界面(GUI)500。在一些实施方式中,可以经由连接到制造系统的客户端装置向用户呈现GUI 500。
GUI 500可以包括一个或多个GUI元素以从客户端装置的用户提供或接收信息。GUI 500可以包括基板ID元素512,该基板ID元素512提供正在制造系统处处理的基板的识别码。例如,基板ID元素512可以提供正在制造系统处处理基板“S00-0001”的指示。GUI 500可以进一步包括待处理工艺配方(pending process recipe)操作元素514,该待处理工艺配方操作元素514提供对要在制造系统的一部分处针对基板执行的工艺配方的操作的指示。如图5中所示出,元素514可以提供要针对基板进行蚀刻操作的指示。在一些实施方式中,元素514可以关于要针对基板进行的操作做详细说明。例如,元素514可以提供要在处理腔室处进行针对基板的蚀刻操作并且蚀刻操作要进行3分钟0秒的指示。
GUI 500可以进一步包括推荐的工艺配方元素516,提供对于推荐的对工艺配方的一个或多个操作的修改的指示。如图5中所示出,元素516可以提供推荐的对用于基板的蚀刻工艺的修改。该推荐的修改可以包括蚀刻基板达4分钟0秒,而不是如原始工艺配方中所包括的蚀刻基板达3分钟0秒。在一些实施方式中,GUI 500也可以包括修改的原因元素518,该元素518提供推荐对工艺配方的一个或多个操作的修改的原因。如图5中所示出,元素518可以指示:推荐的对工艺配方的修改是基于沉积于基板上的膜比预期的厚的确定来提供的。
GUI 500可以进一步包括一个或多个交互元素,所述交互元素使得客户端装置的用户能够接受或拒绝对配方的修改。如图5中所示出,用户可以选择接受修改元素520A以接受由元素516所指示的推荐的对工艺配方的修改。响应于接收用户已经选择接受修改元素520A的指示,客户端装置可以产生一个通知并向系统控制器传送该通知,该通知包括依据推荐的修改来修改工艺配方的指令。用户也可以选择拒绝修改元素520B以拒绝推荐的对工艺配方的修改。响应于接收用户已经选择拒绝修改元素520B的指示,客户端装置可以产生一个通知并向系统控制器传送该通知,该通知包括不依据推荐的修改来修改工艺配方的指令。
图6示出依据本公开内容的各方面根据由图2的基板测量子系统200或图3的传感器360D所接收的反射能量产生的示例波谱数据600。如所示,由基板测量子系统200所接收的反射能量波中可以包括多个波长。每个反射能量波可以与基板102的不同部分相关联。在一些实施方式中,可以针对由基板测量子系统200所接收的每个反射能量波测量强度。如图6中所见,可以针对由基板测量子系统200所接收的反射能量波的每个波长测量每个强度。每个强度与每个波长之间的关联可以是形成波谱数据600的基础。在一些实施方式中,一个或多个波长可以与预期的强度值范围之外的强度值相关联。例如,线610可以与如由线620所示的预期的强度值范围之外的强度值相关联。在此类实施方式中,预期的强度值范围之外的强度值可以是基板102的一部分处存在缺陷的指示。依据先前所述的实施方式,可以基于对基板102的该部分处的缺陷的指示来对用于基板102的工艺配方作出修改。
图7-10是用于确定是否修改用于基板的工艺配方的方法700-1000的各种实施方式的流程图。方法700-1000是通过处理逻辑来执行的,该处理逻辑可以包括硬件(电路系统、专用逻辑等等)、软件(诸如运行于通用计算机系统或专用机上)、固件或上述项目的某种组合。一些方法700-800可以由诸如图1的系统控制器128之类的计算装置执行。一些方法900-1000可以由诸如图2的控制器230之类的计算装置执行。
为了易于解释,将这些方法描绘和描述为一系列动作。然而,依据此公开内容的动作可以以各种顺序进行和/或并行地进行,并且可以与本文中未呈现及描述的其他动作一起进行。并且,不执行所有示出的动作也可以实施依据所公开的主题的这些方法。此外,本领域中的技术人员还将了解及理解,可以替代性地将这些方法经由状态图或事件表示为一系列相互关联的状态。
图7是依据本公开内容的各方面用于确定是否修改用于基板的工艺配方的方法700的流程图。在方块710,处理逻辑识别要依据工艺配方在制造系统处处理的基板。在方块720,处理逻辑产生将基板传输到基板测量子系统以获得针对基板的第一测量集合的指令。在一些实施方式中,第一测量集合可以包括针对基板的波谱数据或非波谱数据(例如涡流数据、电容数据等等)。在方块730,处理逻辑从基板测量子系统接收针对基板的第一测量集合。在方块740,处理逻辑产生将基板从基板测量子系统传输到制造系统的处理腔室的指令。在方块750,处理逻辑从处理腔室内的一个或多个传感器接收针对基板的第二测量集合。在一些实施方式中,针对基板的第二测量集合可以包括针对基板的波谱数据或非波谱数据(例如功率数据、温度数据、压强数据等等)。在方块760,处理逻辑产生基板的第一测量集合与第二测量集合之间的映射。在方块770,处理逻辑存储映射到第二测量集合的第一测量集合。在方块780,处理逻辑基于映射到第二测量集合的第一测量集合来确定修改用于基板的工艺配方。在方块790,处理逻辑经由图形用户界面可选地提供用以修改用于基板的配方的推荐。
如上所述,在一些实施方式中,在产生将基板传输到基板测量子系统以获得针对基板的第一测量集合的指令并从基板测量子系统接收针对基板的第一测量集合之前,处理逻辑可以产生将基板从基板测量系统传输到制造系统的处理腔室的指令并接收针对基板的第二测量集合。
图8是依据本公开内容的各方面用于确定是否修改用于基板的工艺配方的另一个方法800的流程图。在方块810,处理逻辑从制造系统的处理腔室内的一个或多个传感器接收针对基板的第一测量集合。在方块820,处理逻辑依据工艺配方处理处理腔室处的基板。在方块830,处理逻辑可选地从处理腔室内的该一个或多个传感器接收针对基板的第二测量集合。在方块840,处理逻辑产生将基板从处理腔室传输到基板测量子系统以获得第三测量集合的指令。在方块850,处理逻辑从基板测量子系统接收针对基板的第三测量集合。在方块860,处理逻辑产生第一测量集合、第二测量集合和/或第三测量集合之间的映射。在方块870,处理逻辑储存第一测量集合、第二测量集合和/或第三测量集合之间的映射。在方块880,处理逻辑基于第一测量集合、第二测量集合和/或第三测量集合之间的映射来确定修改用于基板的配方。在方块890,处理逻辑经由图形用户界面可选地提供用以修改用于基板的配方的推荐。
图9是依据本公开内容的各方面用于在基板测量子系统处获得针对基板的数据的方法900的流程图。在方块910,处理逻辑接收正在制造系统处处理的基板已经被装载到基板测量子系统中的指示。在方块920,处理逻辑确定基板测量子系统内的基板的位置数据。在方块930,处理逻辑接收用于基板的配方。在方块940,处理逻辑基于基板的位置数据及配方来确定要由基板测量子系统的一个或多个感测部件测量的基板的一个或多个部分。在方块950,处理逻辑通过基板测量子系统的该一个或多个感测部件(例如波谱感测部件、非波谱感测部件等等)获得针对基板的确定的部分中的每一部分的测量。在方块960,处理逻辑向系统控制器传送基板的确定的部分中的每一部分的获得的测量。
图10是依据本公开内容的各方面用于确定针对基板测量子系统内的基板的位置数据的方法1000的流程图。在方块1010,处理逻辑确定包括在基板上的识别特征。在一些实施方式中,识别特征可以与基板的参考位置(例如基板的中心)对应。在方块1020,处理逻辑识别基板的包括确定的识别特征的一部分。在方块1030,处理逻辑产生捕捉基板的识别的部分的一个或多个影像的指令。在方块1040,处理逻辑基于捕捉到的一个或多个影像来确定基板测量子系统内的基板的定向和/或位置。在方块1050,处理逻辑基于基板测量子系统内的基板的确定的定向和/或位置来产生基板的位置数据。
图11示出呈计算装置1100的示例形式的机器的图解表示,可以在该计算装置内执行指令集,该指令集用于使该机器执行本文中所论述的方法学中的任一种或多种。在替代性实施方式中,该机器可以在局域网(LAN)、内联网、外部网或互联网中连接(例如联网)到其他的机器。该机器可以以客户端-服务器网络环境中的服务器或客户端机器的身份运行,或用作对等(peer-to-peer)(或分布式)网络环境中的对等机器(peer machine)。该机器可以是个人计算机(PC)、平板计算机、机顶盒(STB)、个人数字助理(PDA)、蜂窝电话、网络设备、服务器、网络路由器、交换机或网桥或能够执行指令集(按顺序执行或以其他方式执行)的任何机器,这些指令指定要由该机器所采取的动作。此外,虽然仅示出单个机器,但也应将用语“机器”视为包括单独地或联合地执行指令集(或多个指令集)以执行本文中所述的方法学中的任一种或多种的机器(例如计算机)的任何集合(collection)。在实施方式中,计算装置1100可以与图1的系统控制器128或图3的控制器320对应。
示例计算装置1100包括经由总线1108彼此通信的处理装置1102、主存储器1104(例如只读存储器(ROM)、闪存、诸如同步动态随机存取存储器(SDRAM)之类的动态随机存取存储器(DRAM)等等)、静态存储器1106(例如闪存、静态随机存取存储器(SRAM)等等)及辅助(secondary)存储器(例如数据储存装置1128)。
处理装置1102可以代表诸如微处理器、中央处理单元或类似物之类的一个或更多个通用处理器。尤其是,处理装置1102可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、实施其他指令集的处理器或实施指令集的组合的处理器。处理装置1102也可以是诸如专用集成电路(ASIC)、现场可编程逻辑门阵列(FPGA)、数字信号处理器(DSP)、网络处理器或类似物之类的一个或更多个专用处理装置。处理装置1102也可以是或可以包括一种芯片上系统(SoC)、可编程逻辑控制器(PLC)或其他类型的处理装置。处理装置1102被构造成用以执行用于执行本文中所论述的操作及步骤的处理逻辑。
计算装置1100可以进一步包括用于与网络1164通信的网络接口装置1122。计算装置1100也可以包括视频显示单元1110(例如液晶显示器(LCD)或阴极射线管(CRT))、字母数字输入装置1112(例如键盘)、光标控制装置1114(例如鼠标)及信号发生装置1120(例如扬声器)。
数据储存装置1128可以包括机器可读储存介质(或更具体而言为非暂态计算机可读储存介质)1124,该机器可读储存介质上储存有实施本文中所述的方法学或功能中的任一种或多种的一个或多个指令集1126。其中非暂态储存介质指的是载波以外的储存介质。指令1126也可以在由计算机装置1100执行这些指令的期间完全地或至少部分地驻留在主存储器1104内和/或处理装置1102内,主存储器1104及处理装置1102也构成计算机可读储存介质。
虽然在示例实施方式中将计算机可读储存介质1124示为单个介质,但也应将用语“计算机可读储存介质”视为包括储存该一个或多个指令集的单个介质或多个介质(例如,集中式或分布式数据库,和/或相关联的高速缓存及服务器)。也应将用语“计算机可读储存介质”视为包括能够对用于由机器执行且使得机器执行本发明的方法学中的任一种或多种的指令集进行储存或编码的任何介质。因此,应将用语“计算机可读储存介质”视为包括但不限于:固态存储器以及光介质及磁介质。
前述说明阐述了诸如特定的系统、部件、方法等等的示例之类的许多具体细节,以便提供对本公开内容的几个实施方式的良好了解。然而,对本领域中的技术人员而言显而易见的是,可以在没有这些具体细节的情况下实践本公开内容的至少一些实施方式。在其他的情况下,不详细描述众所周知的部件或方法,或将这些部件或方法用简单的方块图格式呈现,以避免不必要地使本公开内容模糊。因此,所阐述的具体细节仅是示例性的。特定的实现方式可以与这些示例性细节不同,且仍然被认为是在本公开内容的范围之内。
此说明书通篇对于“一个实施方式”或“实施方式”的指称意味着:与该实施方式结合描述的特定特征、结构、或特性被包括在至少一个实施方式中。因此,此说明书通篇各种地方中的语句“在一个实施方式中”或“在实施方式中”的出现不一定都指同一实施方式。此外,用语“或”旨在意指包括性的“或”而不是排他性的“或”。在本文中使用用语“约”或“大约”时,此用语旨在意味着:所呈现的标称值精确在±10%内。
虽然本文中的方法的操作是以特定的顺序示出及描述的,但也可以变更每个方法的操作顺序,使得可以以相反的顺序执行某些操作,使得某些操作可以至少部分地与其他操作并行地执行。在另一实施方式中,相异(distinct)操作的指令或子操作可以以间歇(intermittent)和/或交替的方式进行。
应了解,以上的说明旨在是说明性的,而非限制性的。对于本领域中的技术人员而言,在阅读及了解以上的说明之后,许多其他的实施方式将变得显而易见。因此,应参照随附的权利要求连同此类权利要求所赋予的等同物的整个范围来确定本公开内容的范围。

Claims (20)

1.一种方法,包括以下步骤:
识别要依据第一工艺配方在制造系统处处理的基板;
产生将所述基板传输到基板测量子系统以获得针对所述基板的第一测量集合的指令;
从所述基板测量子系统接收针对所述基板的所述第一测量集合;
产生将所述基板从所述基板测量子系统传输到处理腔室的指令;
从所述处理腔室的一个或多个传感器接收针对所述基板的第二测量集合;
产生针对所述基板的所述第一测量集合与所述第二测量集合之间的第一映射;和
基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或第二工艺配方。
2.如权利要求1所述的方法,其中针对所述基板的所述第一测量集合包括波谱数据、位置数据或属性数据中的至少一种,且其中针对所述基板的所述第二测量集合包括波谱数据、温度数据、压强数据或功率数据中的至少一种。
3.如权利要求1所述的方法,进一步包括以下步骤:
将映射到针对所述基板的所述第二测量集合的所述第一测量集合储存到所述制造系统的数据储存器,其中所述制造系统的操作员不能对所述数据储存器进行存取。
4.如权利要求1所述的方法,其中基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方包括以下步骤:
计算所述第一测量集合的第一测量与所述第二测量集合的第二测量之间的差异;和
确定所述第一测量与所述第二测量之间的所述差异是否超过差异阈值。
5.如权利要求1所述的方法,其中基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方包括以下步骤:
确定与所述第一测量集合的第一测量相关联的目标值;
计算所述目标值与所述第一测量之间的差异;和
确定所述目标值与所述第一测量之间的所述差异是否超过差异阈值。
6.如权利要求1所述的方法,进一步包括以下步骤:
响应于确定修改用于所述基板的所述第一工艺配方或所述第二工艺配方,向连接到所述制造系统的客户端装置传送修改用于所述基板的所述第一工艺配方或所述第二工艺配方的请求;
从所述客户端装置接收修改用于所述基板的所述第一工艺配方或所述第二工艺配方的指令;和
依据接收到的所述指令来修改用于所述基板的所述第一工艺配方或所述第二工艺配方。
7.如权利要求6所述的方法,其中修改所述第一工艺配方或所述第二工艺配方包括以下步骤中的至少一种:修改所述第一工艺配方或所述第二工艺配方的操作,或产生终止用于所述基板的所述第一工艺配方的执行的指令。
8.如权利要求1所述的方法,进一步包括以下步骤:
产生将所述基板从所述处理腔室传输到所述基板测量子系统以获得针对所述基板的第三测量集合的指令;
从所述基板测量子系统接收针对所述基板的所述第三测量集合;
产生以下项目中的至少一种:针对所述基板的所述第一测量集合与所述第三测量集合之间的第二映射,或针对所述基板的所述第二测量集合与所述第三测量集合之间的第三映射;和
基于针对所述基板的所述第一测量集合与所述第三测量集合之间的所述第二映射或针对所述基板的所述第二测量集合与所述第三测量集合之间的所述第三映射中的至少一种,来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方。
9.如权利要求1所述的方法,其中在产生将所述基板传输到所述基板测量子系统以获得所述第一测量集合的所述指令和接收针对所述基板的所述第一测量集合之前,产生将所述基板从所述基板测量子系统传输到所述处理腔室的所述指令和接收针对所述基板的所述第二测量集合。
10.一种制造系统,包括:
基板测量子系统,被构造成用以产生与在所述制造系统处处理的基板相关联的数据;
处理腔室,被构造成用以处理所述制造系统处的基板,其中所述处理腔室包括一个或多个传感器;
一个或多个传输机械手,被构造成用以在所述基板测量子系统与所述处理腔室之间传输基板;和
控制器,可操作地耦接到所述基板测量子系统、所述处理腔室及所述一个或多个传输机械手,其中所述控制器用来:
识别要依据第一工艺配方在所述制造系统处处理的基板;
产生使得所述一个或多个传输机械手将所述基板传输到所述基板测量子系统以获得针对所述基板的第一测量集合的指令;
从所述基板测量子系统接收针对所述基板的所述第一测量集合;
产生使得所述一个或多个传输机械手将所述基板从所述基板测量子系统传输到所述处理腔室的指令;
从所述处理腔室的所述一个或多个传感器接收针对所述基板的第二测量集合;
产生针对所述基板的所述第一测量集合与所述第二测量集合之间的第一映射;和
基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或第二工艺配方。
11.如权利要求10所述的制造系统,其中针对所述基板的所述第一测量集合包括波谱数据、位置数据或属性数据中的至少一种,且其中针对所述基板的所述第二测量集合包括波谱数据、温度数据、压强数据或功率数据中的至少一种。
12.如权利要求10所述的制造系统,进一步包括所述制造系统的操作员不能进行存取的数据储存器,且其中所述控制器进一步用来:
将映射到针对所述基板的所述第二测量集合的所述第一测量集合储存到所述数据储存器。
13.如权利要求10所述的制造系统,其中为了基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方,所述控制器用来:
计算所述第一测量集合的第一测量与所述第二测量集合的第二测量之间的差异;和
确定所述第一测量与所述第二测量之间的所述差异是否超过差异阈值。
14.如权利要求10所述的制造系统,其中为了基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方,所述控制器用来:
确定与所述第一测量集合的第一测量相关联的目标值;
计算所述目标值与所述第一测量之间的差异;和
确定所述目标值与所述第一测量之间的所述差异是否超过差异阈值。
15.如权利要求10所述的制造系统,其中所述控制器进一步用来:
响应于确定修改用于所述基板的所述第一工艺配方或所述第二工艺配方,向连接到所述制造系统的客户端装置传送修改用于所述基板的所述第一工艺配方或所述第二工艺配方的请求;
从所述客户端装置接收修改用于所述基板的所述第一工艺配方或所述第二工艺配方的指令;和
依据接收到的所述指令来修改用于所述基板的所述第一工艺配方或所述第二工艺配方。
16.如权利要求15所述的制造系统,其中修改所述第一工艺配方或所述第二工艺配方包括以下步骤中的至少一种:修改所述第一工艺配方或所述第二工艺配方的操作,或产生终止用于所述基板的所述第一工艺配方的执行的指令。
17.一种包括指令的非暂态计算机可读储存介质,所述多个指令在由处理装置执行时使得所述处理装置:
识别要依据第一工艺配方在制造系统处处理的基板;
产生将所述基板传输到基板测量子系统以获得针对所述基板的第一测量集合的指令;
从所述基板测量子系统接收针对所述基板的所述第一测量集合;
产生将所述基板从所述基板测量子系统传输到处理腔室的指令;
从所述处理腔室的一个或多个传感器接收针对所述基板的第二测量集合;
产生针对所述基板的所述第一测量集合与所述第二测量集合之间的第一映射;和
基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或第二工艺配方。
18.如权利要求17所述的非暂态计算机可读储存介质,其中针对所述基板的所述第一测量集合包括波谱数据、位置数据或属性数据中的至少一种,且其中针对所述基板的所述第二测量集合包括波谱数据、温度数据、压强数据或功率数据中的至少一种。
19.如权利要求17所述的非暂态计算机可读储存介质,其中所述处理装置进一步用来:
将映射到针对所述基板的所述第二测量集合的所述第一测量集合储存到用于所述制造系统的数据储存器,其中所述制造系统的操作员不能对所述数据储存器进行存取。
20.如权利要求17所述的非暂态计算机可读储存介质,其中为了基于映射到针对所述基板的所述第二测量集合的所述第一测量集合来确定是否修改用于所述基板的所述第一工艺配方或所述第二工艺配方,所述处理装置用来:
计算所述第一测量集合的第一测量与所述第二测量集合的第二测量之间的差异;和
确定所述第一测量与所述第二测量之间的所述差异是否超过差异阈值。
CN202180039359.8A 2020-07-22 2021-07-21 用来改进制造工艺性能的集成式基板测量系统 Pending CN115699286A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063055239P 2020-07-22 2020-07-22
US63/055,239 2020-07-22
US17/379,653 2021-07-19
US17/379,653 US11688616B2 (en) 2020-07-22 2021-07-19 Integrated substrate measurement system to improve manufacturing process performance
PCT/US2021/042639 WO2022020517A1 (en) 2020-07-22 2021-07-21 Integrated substrate measurement system to improve manufacturing process performance

Publications (1)

Publication Number Publication Date
CN115699286A true CN115699286A (zh) 2023-02-03

Family

ID=79689412

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180039359.8A Pending CN115699286A (zh) 2020-07-22 2021-07-21 用来改进制造工艺性能的集成式基板测量系统

Country Status (7)

Country Link
US (2) US11688616B2 (zh)
EP (1) EP4186093A1 (zh)
JP (1) JP2023534596A (zh)
KR (1) KR20230005319A (zh)
CN (1) CN115699286A (zh)
TW (1) TW202221817A (zh)
WO (1) WO2022020517A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024054380A1 (en) * 2022-09-08 2024-03-14 Lam Research Corporation Multi-sensor determination of a state of semiconductor equipment
US20240120221A1 (en) * 2022-10-03 2024-04-11 Kla Corporation Correcting target locations for temperature in semiconductor applications
US20240128100A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Methods and systems for a spectral library at a manufacturing system

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238354A (en) 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US6162008A (en) 1999-06-08 2000-12-19 Varian Semiconductor Equipment Associates, Inc. Wafer orientation sensor
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US20030020889A1 (en) 2000-08-02 2003-01-30 Nikon Corporation Stage unit, measurement unit and measurement method, and exposure apparatus and exposure method
EP1309875A2 (en) 2000-08-11 2003-05-14 Therma-Wave, Inc. Device and method for optical inspection of semiconductor wafer
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6708075B2 (en) 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
KR101025527B1 (ko) 2002-09-30 2011-04-04 도쿄엘렉트론가부시키가이샤 반도체 제조 프로세스의 모니터링 및 제어를 위한 방법 및장치
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
KR100556529B1 (ko) * 2003-08-18 2006-03-06 삼성전자주식회사 다층 박막의 두께 측정 방법 및 이를 수행하기 위한 장치
WO2006025386A1 (ja) 2004-08-31 2006-03-09 Nikon Corporation 位置合わせ方法、処理システム、基板の投入再現性計測方法、位置計測方法、露光方法、基板処理装置、計測方法及び計測装置
TWI553703B (zh) 2004-11-18 2016-10-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
US7651946B2 (en) 2005-12-12 2010-01-26 University College Cork - National University Of Ireland, Cork Wet etch processing
JP5165878B2 (ja) 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US7642102B2 (en) 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
JP5117818B2 (ja) 2007-10-30 2013-01-16 ルネサスエレクトロニクス株式会社 表面加工処理装置又は成膜処理装置の異物検査・解析のための管理装置及び方法
USD602942S1 (en) 2008-08-05 2009-10-27 Allgress, Inc. Graphical user interface for portion of a display screen
USD605652S1 (en) 2008-11-19 2009-12-08 Pvt Solar, Inc. Graphical user interface for computer
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US20110172952A1 (en) 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
WO2011119503A2 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
US8954184B2 (en) 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US20130059403A1 (en) * 2011-09-06 2013-03-07 Applied Materials, Inc. Method and apparatus for wafer temperature measurement using an independent light source
JP2013161913A (ja) 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
USD737853S1 (en) 2013-10-21 2015-09-01 Apple Inc. Display screen or portion thereof with graphical user interface
USD756371S1 (en) 2013-12-02 2016-05-17 Symantec Corporation Display screen with graphical user interface
SG11201604721VA (en) 2013-12-22 2016-07-28 Applied Materials Inc Monitoring system for deposition and method of operation thereof
US10330612B2 (en) 2014-09-11 2019-06-25 Applied Materials, Inc. Multi-function x-ray metrology tool for production inspection/monitoring of thin films and multidimensional structures
USD781300S1 (en) 2014-09-19 2017-03-14 Illumina, Inc. Display screen or portion thereof with graphical user interface for use with a sequencing instrument
JP6770958B2 (ja) 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
USD767612S1 (en) 2015-04-16 2016-09-27 Nasdaq, Inc. Display screen or portion thereof with graphical user interface
WO2017021968A1 (en) * 2015-08-04 2017-02-09 Nova Measuring Instruments Ltd. Hybrid measurement system and method for measuring in thin films
USD766940S1 (en) 2015-08-17 2016-09-20 Td Ameritrade Ip Company, Inc. Display screen or portion thereof with graphical user interface
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
USD805525S1 (en) 2016-03-22 2017-12-19 Branch Banking And Trust Company Display screen or portion thereof with graphical user interface
USD819066S1 (en) 2016-05-05 2018-05-29 Corsearch, Inc. Display panel with a graphical user interface
WO2017194281A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
JP6697984B2 (ja) 2016-08-31 2020-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2018071716A1 (en) 2016-10-13 2018-04-19 Kla-Tencor Corporation Metrology systems and methods for process control
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
TWI779986B (zh) 2016-11-30 2022-10-01 美商應用材料股份有限公司 使用神經網路的光譜監測
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
KR101910268B1 (ko) 2017-02-23 2018-10-19 에스케이 주식회사 반도체 gp 예측 방법 및 시스템
USD829749S1 (en) 2017-03-06 2018-10-02 Citigroup Global Markets, Inc. Display screen with transitional graphical user interface of a financial markets orders and executed trades viewer application
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US10387755B2 (en) 2017-06-28 2019-08-20 Applied Materials, Inc. Classification, search and retrieval of semiconductor processing metrology images using deep learning/convolutional neural networks
JP6778666B2 (ja) 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
JP1723923S (ja) 2017-09-27 2022-09-05 自動車用情報表示機
US10515172B2 (en) 2017-10-20 2019-12-24 Taiwan Semiconductor Manufacturing Company Limited RC tool accuracy time reduction
USD861724S1 (en) 2017-12-21 2019-10-01 Toontrack Music Ab Computer screen with a graphical user interface
WO2019177905A1 (en) 2018-03-13 2019-09-19 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
KR20200124304A (ko) 2018-03-20 2020-11-02 도쿄엘렉트론가부시키가이샤 통합형 종단간 자기 정렬 다중 패터닝 공정을 위한 플랫폼 및 작업 방법
CN112074940A (zh) 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
USD947208S1 (en) 2018-04-19 2022-03-29 Abb Schweiz Ag Display screen or portion thereof with graphical user interface
USD863334S1 (en) 2018-05-12 2019-10-15 Canva Pty Ltd Display screen or portion thereof with a graphical user interface
USD940169S1 (en) 2018-05-22 2022-01-04 Data.World, Inc. Display screen or portion thereof with a graphical user interface
JP7184547B2 (ja) 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
USD874481S1 (en) 2018-06-29 2020-02-04 Innoplexus Ag Display screen with transitional graphical user interface
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
USD948543S1 (en) 2018-10-26 2022-04-12 Hvr Mso Llc Display screen or portion thereof with a graphical user interface
EP3974906A1 (en) 2018-11-07 2022-03-30 ASML Netherlands B.V. Determining a correction to a process
JP1644619S (zh) 2018-11-21 2019-11-05
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
US11133204B2 (en) 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
JP1651220S (zh) 2019-02-18 2020-01-27
USD928818S1 (en) 2019-06-04 2021-08-24 Samsung Electronics Co., Ltd. Display screen or portion thereof with animated graphical user interface
USD941851S1 (en) 2019-07-03 2022-01-25 Theta Lake, Inc. Computer display with graphical user interface for video compliance review
JP2022542946A (ja) 2019-07-29 2022-10-07 ラム リサーチ コーポレーション 基板処理システムの自律的な制御及び検査のためのハードウェア・ソフトウェア統合型コンピュータビジョンシステム
USD937861S1 (en) 2019-07-29 2021-12-07 2624491 Ontario Inc. Display screen or portion thereof with graphical user interface
WO2021061541A1 (en) 2019-09-25 2021-04-01 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
WO2021067239A1 (en) 2019-10-04 2021-04-08 Lam Research Corporation Metrology module with parallel acquisition of broadband polarized spectra
JP1664109S (zh) 2019-10-15 2020-07-20
JP7412150B2 (ja) 2019-11-29 2024-01-12 東京エレクトロン株式会社 予測装置、予測方法及び予測プログラム
USD938465S1 (en) 2020-02-14 2021-12-14 SparkCognition, Inc. Display screen with transitional graphical user interface
USD961605S1 (en) 2020-07-20 2022-08-23 Jeffrey H. Starr Display screen or portion thereof with graphical user interface
US20220028716A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Substrate measurement subsystem
US20220026817A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Determining substrate profile properties using machine learning
US20220066411A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Detecting and correcting substrate process drift using machine learning
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
US11842910B2 (en) 2021-02-04 2023-12-12 Applied Materials, Inc. Detecting outliers at a manufacturing system using machine learning

Also Published As

Publication number Publication date
WO2022020517A1 (en) 2022-01-27
EP4186093A1 (en) 2023-05-31
JP2023534596A (ja) 2023-08-10
US20220028713A1 (en) 2022-01-27
TW202221817A (zh) 2022-06-01
KR20230005319A (ko) 2023-01-09
US20230326773A1 (en) 2023-10-12
US11688616B2 (en) 2023-06-27

Similar Documents

Publication Publication Date Title
US11688616B2 (en) Integrated substrate measurement system to improve manufacturing process performance
US20220028716A1 (en) Substrate measurement subsystem
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
US11532525B2 (en) Controlling concentration profiles for deposited films using machine learning
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20230062206A1 (en) Determining substrate profile properties using machine learning
US20230118964A1 (en) Controlling concentration profiles for deposited films using machine learning
US20230236583A1 (en) Chamber component condition estimation using substrate measurements

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination