CN111902924A - 用于半导体处理的监测的机器学习系统 - Google Patents

用于半导体处理的监测的机器学习系统 Download PDF

Info

Publication number
CN111902924A
CN111902924A CN201980021116.4A CN201980021116A CN111902924A CN 111902924 A CN111902924 A CN 111902924A CN 201980021116 A CN201980021116 A CN 201980021116A CN 111902924 A CN111902924 A CN 111902924A
Authority
CN
China
Prior art keywords
training
machine learning
substrate
model
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980021116.4A
Other languages
English (en)
Inventor
G·叶尼
B·切里安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111902924A publication Critical patent/CN111902924A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4188Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by CIM planning or realisation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/406Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by monitoring or safety
    • G05B19/4063Monitoring general control system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32335Use of ann, neural network
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33034Online learning, training
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Molecular Biology (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Automation & Control Theory (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Mechanical Engineering (AREA)
  • Quality & Reliability (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Human Computer Interaction (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)
  • General Factory Administration (AREA)

Abstract

操作抛光系统的方法包括以下步骤:使用机器学习算法训练多个模型以生成多个训练模型,每个训练模型经配置成基于来自半导体处理系统的原位监测系统的监测信号来确定基板的层的特征值;存储多个训练模型;接收指示要处理的基板的特征的数据;基于数据来选择多个训练模型中的一者以及将所选择的训练模型传递给处理系统。

Description

用于半导体处理的监测的机器学习系统
技术领域
本公开有关于用于(例如在诸如化学机械抛光之类的处理期间)监测基板的机器学习系统。
背景技术
通常通过在硅晶片上顺序沉积导电层、半导电层或绝缘层来在基板上形成集成电路。一个制造步骤涉及在非平坦表面上沉积填料层并使填料层平坦化。对于一些应用来说,填料层被平坦化直到暴露出图案化层的顶表面为止。例如,导电填料层可沉积在图案化的绝缘层上,以填充绝缘层中的沟槽或孔。在平坦化之后,导电层的保留在绝缘层的凸起图案之间的部分形成通孔、插塞及线,这些通孔、插塞及线在基板上的薄膜电路之间提供导电路径。对于其他应用来说,填料层被平坦化直到在下层上留下预定厚度为止。例如,沉积的介电层可被平坦化以用于光刻。
化学机械抛光(CMP)是一种可接受的平坦化方法。此平坦化方法通常要求将基板安装在承载头上。基板的暴露表面通常靠着具有耐用粗糙表面的旋转抛光垫放置。承载头在基板上提供可控制的负载以将其推抵抛光垫。通常将抛光液(如具有磨料颗粒的浆料)供应到抛光垫的表面。
CMP中的一个问题是使用适当的抛光速率来获得所需的轮廓,例如,已平坦化到所需的平坦度或厚度的基板层或已去除了所需量的材料。基板层的初始厚度、浆料分布、抛光垫条件、抛光垫和基板之间的相对速度及基板上的负载的变化可导致基板上及基板到基板的材料去除速率的变化。这些变化导致达到抛光终点所需的时间和去除量的变化。因此,可能无法仅根据抛光时间确定抛光终点或仅通过施加恒定压力来实现所需的轮廓。
在一些系统中,在抛光过程中(例如通过光学监测系统来)原位监测基板。来自原位监测系统的厚度测量可用于调节施加到基板的压力,以调节抛光速率及降低晶片内不均匀性(WIWNU),并检测抛光终点和停止抛光。
发明内容
在一方面中,一种操作基板处理系统的方法包括以下步骤:接收多组训练数据;存储多个机器学习模型;存储多个物理工艺模型;接收从多个机器学习模型中对机器学习模型的选择及从多个物理工艺模型中对物理工艺模型的选择以提供所选择的机器学习模型及所选择的物理工艺模型的组合;根据所选择的机器学习模型生成所实施的机器学习模型;计算每组训练数据中的每个训练光谱的特征值从而产生多个训练特征值,其中每个训练特征值与多个训练光谱中的一者相关联;使用多个训练特征值及多个训练光谱来训练所实施的机器学习模型以产生所训练的机器学习模型;并将所训练过的机器学习模型传递给基板处理系统的处理控制系统。
每组训练数据包括多个训练光谱、来自多个训练光谱的每个训练光谱的时间戳,和多个训练光谱的起始特征值和/或结束特征值。每个机器学习模型提供至少一个不同的超参数。每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同物理工艺参数的不同函数。基于训练光谱的时间戳、该组训练数据的起始特征值和/或结束特征值与所选择的物理工艺模型来计算特征值。
在另一方面中,用于控制基板处理的计算机程序产品有形地体现在计算机可读介质中,且包括用于使处理器进行以下操作的指令:接收多组训练数据;存储多个机器学习模型;存储多个物理工艺模型;接收从多个机器学习模型中对机器学习模型的选择以及从多个物理工艺模型中对物理工艺模型的选择以提供所选择的机器学习模型和所选择的物理工艺模型的组合;根据所选择的机器学习模型生成所实施的机器学习模型;计算每组训练数据中的每个训练光谱的特征值从而生成多个训练特征值,其中每个训练特征值与多个训练特征值中的一者相关联);使用多个训练特征值和多个训练光谱来训练所实施的机器学习模型以生成所训练的机器学习模型;及将所训练的机器学习模型传递给基板处理系统的处理控制系统。
每组训练数据包括多个训练光谱、来自多个训练光谱的每个训练光谱的时间戳,及多个训练光谱的起始特征值和/或结束特征值。每个机器学习模型提供至少一个不同的超参数。每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同物理工艺参数的不同函数。基于训练光谱的时间戳、该组训练数据的起始特征值和/或结束特征值及所选择的物理工艺模型来计算特征值。
在另一方面中,半导体制造系统包括多个抛光系统、在线(in-line)或独立计量系统及算法生成平台。
每个抛光系统包括:用于保持抛光垫的支撑件;用于将基板保持在抛光垫上的载体;用于引起基板和抛光垫之间的相对运动的电机;用于产生抛光期间基板的一系列测量和该一系列测量中每个测量的时间戳的原位监测系统;及控制器。多个抛光系统中的至少一个抛光系统的至少一个控制器被配置为使多个抛光系统中的一个或多个抛光系统抛光一系列的训练基板。来自多个抛光系统的一个或多个系统的一个或多个控制器被配置为接收所训练的机器学习模型,以使来自一个或多个系统的抛光系统抛光一系列的器件基板,以从一个或多个系统的原位监测系统接收器件基板的一系列测量、基于测量序列和所训练的机器学习模型产生一系列特征值,并基于该一系列特征值来控制至少一个抛光控制参数。
在线或独立计量系统被配置成为一系列训练基板中的每一者生成起始特征值和/或结束特征值。
算法生成平台包括一个或多个处理器和存储具有用于一个或多个处理器的指令的计算机程序产品的非瞬态计算机可读介质。对于每个训练基板,从用于抛光训练基板的多个抛光系统中的一个或多个抛光系统的原位监测系统接收在训练基板的抛光期间产生的多个训练光谱及来自多个训练光谱的每个训练光谱的时间戳。对于每个训练基板,从在线或独立计量系统接收训练基板的起始特征值和/或结束特征值。存储多组训练数据。每组训练数据包括来自训练基板的多个训练光谱、来自多个训练光谱的每个训练光谱的时间戳,及训练基板的起始特征值和/或结束特征值。存储多个机器学习模型,每个机器学习模型提供至少一个不同的超参数。存储多个物理工艺模型,每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同物理工艺参数的不同函数。接收从多个机器学习模型中对机器学习模型的选择及从多个物理工艺模型中对物理工艺模型的选择,以提供所选择的机器学习模型和所选择的物理工艺模型的组合。针对所选择的机器学习模型接收至少一个超参数值,并针对所选择的物理工艺模型接收至少一个物理参数值。根据所选择的机器学习模型及至少一个超参数值生成所实施的机器学习模型。对于每组训练数据中的每个训练光谱,基于训练光谱的时间戳、该组训练数据的起始特征值和/或结束特征值、物理参数值及所选择的物理工艺模型来计算特征值,从而产生多个训练特征值,其中每个训练特征值与多个训练光谱中的一者相关联。使用多个训练特征值和多个训练光谱来训练所实施的机器学习模型,以生成所训练的机器学习模型,并将所训练的机器学习模型传递到一个或多个抛光系统的控制器以控制器件基板的抛光。
实施可包括以下特征中的一者或多者。
基板处理系统可包括化学机械抛光系统。可在抛光系统中抛光基板。在抛光基板期间,可用原位光谱监测系统监测基板以产生多个测量光谱。可将多个测量光谱传递到所训练的机器学习模型以生成多个特征值。可基于多个特征值来控制抛光系统的至少一个处理参数;例如,可停止抛光和/或可调整承载头压力。
多个机器学习模型可包括卷积神经网络和完全连接的神经网络。超参数可以是神经网络中的隐藏层的数量。可以针对所选择的机器学习模型接收至少一个超参数值。可以根据所选择的机器学习模型和至少一个超参数值来生成所实施的机器学习模型。
多个物理工艺模型中的一些物理工艺模型可包括时间的线性函数,且多个物理工艺模型中的一些物理工艺模型可包括时间的非线性函数。多个物理工艺模型可包括不同的物理工艺参数;例如,图案密度、起始步长、临界阶梯高度及工艺选择性中的一者或多者。可接收物理参数值,且可基于物理参数值来计算特征值。算法生成平台可被配置成从训练基板接收多个训练光谱,并从多个抛光系统中的两个或更多个抛光系统接收针对来自多个训练光谱中的每个训练光谱的时间戳。
多个抛光系统中的至少一者和来自多个抛光系统的一个或多个系统可包括至少一些相同的抛光系统。算法生成平台可被配置为存储提供多个所训练的机器学习模型的数据。算法生成平台可被配置为接收或作出对多个所训练的机器学习模型中的一者的选择,并将所选择的所训练的机器学习模型传递给控制器。
基板追踪系统可包括一个或多个处理器及用于存储计算机程序产品的非瞬态计算机可读介质,该计算机程序产品包括存储表征多个器件基板中的每一者的数据的指令。算法生成平台可被配置为从基板追踪系统接收表征器件基板的数据,且可被配置为基于特征数据来从多个所训练的机器学习模型中选择所训练的机器学习模型。
在另一方面中,一种操作抛光系统的方法包括以下步骤:使用机器学习算法来训练多个模型以生成多个训练模型,每个训练模型被配置为基于来自半导体处理系统的原位监测系统的监测信号来确定基板的层的特征值。存储多个所训练的模型、接收指示要处理的基板的特征的数据、基于数据选择多个所训练模型中的一者,且将所选择的训练模型传递给处理系统。
实施可包括以下特征中的一者或多者。
可在半导体处理系统中处理基板的层。在处理层期间,可用原位监测系统监测基板,且可从原位监测系统产生信号。可将信号传递到训练模型以生成层厚度的测量。可基于厚度的测量为处理系统生成一个或多个控制信号。处理系统可以是化学机械抛光系统,且处理层的步骤可包括以下步骤:对层进行抛光。监测基板的步骤可包括以下步骤:用光谱监测系统进行监测,且信号可包括一系列光谱。
可获得模型的一个或多个超参数。可基于超参数生成原始预测模型。可获得模型的训练数据,且可使用训练数据训练原始预测模型以生成模型。对于多个训练例的每个训练例而言,训练数据可包括训练多个输入信息项和厚度的真实值测量。可由在线或独立计量系统基于分析特定抛光层来产生厚度的每个真实值测量。在线或独立计量系统可包括四点探针、椭圆厚度传感器和透射电子显微传感器中的一者或多者。
模型可包括神经网络。可使用训练数据及已知特征值而通过反向传播来训练人工神经网络。
某些实施可具有以下优势中的一者或多者。可更精确和/或更快速地测量基板上的层的厚度。可减少晶片内厚度不均匀性和晶片到晶片厚度的不均匀性(WIWNU和WTWNU),且可提高端点系统检测期望的处理终点的可靠性。
在附图及以下描述中阐述了一个或多个实施例的细节。根据说明书、附图和权利要求书,其他方面、特征和优势将变得显而易见。
附图说明
图1示出了半导体制造厂的示意性平面图。
图2示出了抛光系统的示例的示意性横截面图。
图3示出了用作抛光设备的控制器的一部分的神经网络。
图4示出了用于收集数据和生成模型的平台的架构。
各附图中类似的参考标号和名称表示类似元件。
具体实施方式
已使用各种监测系统来监测正在进行处理(例如抛光)的基板。对于一些半导体监测过程来说,可训练机器学习系统(例如神经网络),以评估来自监测系统的数据并产生所需的输出参数。例如,可将信号输入到机器学习系统,且机器学习系统可输出基板的层的厚度或输出指示应停止或修改处理的信号。
例如,若监控系统是在抛光基板期间使用的光谱监控系统,则可将光谱或光谱序列输入至机器学习系统,且机器学习系统可生成基板的层的厚度的测量或生成指示应停止抛光或应改变承载头的压力的信号。
当然,需要训练这种机器学习系统。考虑到各种器件和处理配方,每个设备和处理配方可具有监测信号与基板参数的不同关系;对于处理系统的制造商来说,训练机器学习系统可能是不切实际的。相反,可能需要使用由半导体制造厂的操作员生成的数据来训练机器学习系统。讨论了用于存储和操纵训练数据的通用技术。
不同的情况可能需要不同的机器学习模型来处理来自监控系统的数据。不同的情况可能包括器件的差异、正在处理的层的差异及制造中所使用的处理参数的历史的差异等。不同的机器学习模型可能是不同的神经网络配置、或不使用神经网络的模型(例如,遗传算法及支持向量机等)相对于使用神经网络的模型。可基于关于基板的输入信息(例如,来自工厂的基板追踪系统的信息)来选择机器学习模型。
作为另一个问题,从半导体制造工厂中的各种工具获得的原始数据可能不包括每次测量的特征值。例如,处理工具中的原位光学监测系统可用于生成要用作训练数据的一系列光谱。然而,唯一可用的真实值测量可以是从在线或独立计量系统获得的起始和/或结束厚度。起始和/或结束厚度将与系列中的第一和/或最后一个光谱相关联,但系列中的(用于抛光处理中的中间时间的)其他光谱将不具有相关的厚度。需要一种技术来生成这些光谱的训练值。
物理工艺模型可用于生成作为处理时间的函数的特征值;这些特征值可与光谱相关联;这允许(具有特征值的)光谱作为训练数据。不同的情况可能需要不同的物理工艺模型来生成特征值。不同的情况可能包括器件的差异、正在处理的层的差异及制造中使用的处理参数的历史的差异等。不同的物理工艺模型可能使用不同的输入(例如,膜上停止工艺可包括临界阶梯高度,而在暴露下层之前停止抛光的处理可能不需要此变量)、使用不同的输入值(例如,图案密度或不同选择性的不同值)或使用不同的函数将变量转换为特征值(例如,线性与非线性插值)。可基于关于特定抛光处理的输入信息(例如,利用抛光处理所收集的先前实验数据)或来自工厂的基板追踪系统的信息来选择物理工艺模型。
图1示出了半导体制造工厂12的组件。工厂12可包括一个或多个半导体处理工具,例如沉积系统、蚀刻系统及抛光系统等。例如,设备可包括一个或多个抛光系统20。抛光系统20可包括一个或多个抛光站20a及用于将待抛光的基板转移到抛光系统20中或从抛光系统20中转出的转移站20b。每个抛光站20a包括例如为光谱监测系统的原位监测系统70(见图2)。
工厂12也可包括在线或独立的计量系统,其能够产生对感兴趣的基板特征(例如,基板上的层的厚度)的精确测量。这种基板特征的精确测量可称为“真实值测量”。可用于产生真实值测量的系统的示例包括四点探针、椭圆传感器或透射电子显微镜。在线或独立计量系统14也可产生其他测量,例如,基板上的一个或多个点的光谱测量或基板的图像。在一些实施例中,计量系统14不产生基板的物理特征的值,而是产生表示通过处理操作(例如,抛光操作)的进展程度的值。
计量系统14可用于在由处理工具(例如,抛光系统20)处理之前和/或之后测量基板。例如,计量系统14可用于在抛光系统20中抛光基板之前及之后生成对基板上的层的厚度的测量。这可提供基板的抛光前厚度与抛光后厚度的真实值测量。
工厂12也可包括基板追踪系统16,基板追踪系统16追踪基板的识别信息(例如,基板的id编号及用于保持基板的盒的id编号)。基板追踪系统也可存储基板的最终目的的指示;例如,正在制造的器件的类型和/或基板的处理历史(例如,在一个或多个制造步骤中使用的处理参数)。可用在一个或多个计算机上执行的软件(例如,使用一个或多个数据库)来实施基板追踪系统16。
基板追踪系统16也可存储基板的一个或多个物理参数的一个或多个预期值或要在基板上执行的处理。例如,基板追踪系统16可存储基板的图案密度、基板的起始阶梯高度、基板的临界阶梯高度(去除速率开始减慢的阶梯高度)或抛光操作的工艺选择性(不同材料的去除率的比率)中的一者或多者。
工厂12也可包括算法生成平台18;将在下文中进一步详细讨论算法生成平台18。算法生成平台包括在一个或多个计算机上执行的用于训练机器学习系统的软件。系统的训练生成机器学习模型的实例。可将机器学习模型的实例(例如,超参数值)传递至用于处理系统的处理控制器(例如,用于抛光系统20的控制器90)。超参数值可指示机器学习模型,例如,神经网络是卷积或是完全连接、神经网络中节点的隐藏层数量、光谱应减少的维度数量或训练度量。
处理工具可使用所训练的模型来基于原位监测系统的测量值生成特征值。接着,可使用这些特征值来控制处理系统的操作(例如,停止处理或调整处理参数以提供经改善的处理均匀性)。例如,抛光系统可使用经训练的模型以将来自原位监测系统的光谱转换成厚度值,该厚度值可用于检测抛光终点或确定对承载头中的压力的调整。
尽管计量系统14、基板追踪系统16及算法生成平台18被示出为单个设备的一部分,但计量系统14、基板追踪系统16及算法生成平台18彼此不需要处于相同的物理位置或在处理工具中。此外,可用云计算技术实施基板追踪系统16和算法生成平台18。
图2示出了抛光系统20的抛光站的示例。抛光系统20可包括抛光垫30位于其上的可旋转的盘形的平台22。平台可操作以绕轴23旋转。例如,电机24可转动驱动轴26以使平台22旋转。抛光垫30可以(例如)通过一层黏合剂而可拆卸地固定到平台22上。抛光垫30可以是双层抛光垫,其具有外抛光层32及较软的背衬层34。
抛光系统20可包括抛光液体供应端口40,以将抛光液体42(如研磨浆料)分配到抛光垫30上。抛光系统20也可包括抛光垫修整器以研磨抛光垫30,以维持抛光垫30处于一致的研磨状态。
承载头50可操作来将基板10保持抵靠抛光垫30。每个承载头50也包括多个可独立控制的可加压腔室(例如,三个腔室52a至52c),其可将独立可控的加压施加到基板10上的相关区域。中心区域可以是基本上圆形的,及其余区域可以是围绕中心区域的同心环形区域。
可由柔性膜54限定腔室52a至52c,柔性膜54具有基板10安装在其上的底表面。承载头50还可包括保持环56,以将基板10保持在柔性膜54下方。尽管为了便于说明仅在图2中示出了三个腔室,但可有两个腔室、或四个腔室或更多个腔室(例如,五个腔室)。此外,可在承载头50中使用调节施加到基板的压力的其他机构(例如,压电致动器)。
每个承载头50悬挂在支撑结构60(例如,转盘或轨道)上,并通过驱动轴62连接到承载头旋转电机64,使得承载头可绕轴51旋转。可选地,每个承载头50可通过沿着轨道运动或通过转盘本身的旋转振荡而(例如)在转盘上的滑件上横向振荡。在操作中,平台22围绕其中心轴23旋转,且承载头50绕其中心的轴51旋转并横向地跨抛光垫30的顶表面平移。
抛光系统还包括原位监测系统70,原位监测系统70可用于控制抛光参数(例如,腔室52a至52c中的一者或多者中的所施加的压力),以控制区域12a至12c中的一者或多者的抛光速率。原位监测系统70产生指示在每个区域12a至12c中的被抛光的层的厚度的信号。原位监测系统可以是光学监测系统(例如,光谱监测系统)。
光学监测系统70可包括光源72、光检测器74及用于在控制器90(例如计算机)、与光源72及光检测器74之间发送和接收信号的电路76。一个或多个光纤可用于将光从光源72传输到抛光垫30中的窗口36,并将从基板10反射的光传输到检测器74。例如,可使用分叉光纤78来将光自光源62传输到基板10并返回到检测器74。作为光谱系统,光源72可以是可操作的以发射白光;检测器74可以是光谱仪。
电路76的输出可以是数字电子信号,数字电子信号通过驱动轴26中的旋转耦合器28(例如,滑环)到达控制器90。替代地,电路76可通过无线信号与控制器90通讯。控制器90可以是包括微处理器、存储器及输入/输出电路的计算装置(例如,可编程计算机)。尽管是用单个框示出,但控制器90仍可以是具有分布在多个计算机上的功能的网络系统。
在一些实施方式中,原位监测系统70包括安装在平台22中并与平台22一起旋转的传感器80。例如,传感器80可以是光纤78的端部。平台22的移动将导致传感器80跨基板进行扫描。由于平台的旋转,当传感器80在承载头下方行进时,原位监测系统以取样频率进行测量;因此,以横跨基板10的弧形在各位置14处进行测量。
在平台的一次旋转中,从基板10上的不同位置获得光谱。具体来说,可从更靠近基板10的中心的位置获得一些光谱,且可从更靠近边缘的位置获得另外一些光谱。控制器90可被配置为基于定时、电机编码器信息、平台旋转或位置传感器数据和/或基板和/或保持环的边缘的光学检测而自扫描计算每次测量的径向位置(相对于基板10的中心)。控制器可因此将各种测量与各个区域相关联。在一些实施例中,测量时间可作为径向位置的精确计算的替代。
控制器90可基于来自原位监测系统的信号来导出基板的每个区域的特征值。具体来说,随着抛光的进行,控制器90产生随时间的特征值序列。对于基板10下方的传感器的每次扫描来说,控制器90可以是每个区域生成至少一个特征值,或控制器90可在测量频率(不需要与取样频率相同)处为每个区域生成特征值(例如,用于不跨基板扫描传感器的抛光系统)。在一些实施方式中,每次扫描生成单个特征值;例如,可组合多个测量值以生成特征值。在一些实施方式中,每个测量用来生成特征值。
特征值通常是外层的厚度,但可以是如被去除的厚度的相关特征。此外,特征值可以是通过抛光工艺的基板进展的更一般表示;例如,表示在预定进展后的抛光工艺中预期将观察到测量值的平台旋转的时间或数量的指标值。
控制器90可使用由机器学习系统生成的模型的实例来生成特征值。
原位光谱监测系统70和控制器90的组合可提供终点和/或抛光均匀性控制系统100。即,控制器90可基于一系列特征值,在抛光处理期间检测抛光终点并停止抛光和/或调节抛光压力,以减少抛光不均匀性。
图3示出了可由控制器90实施的功能框。这些功能框可包括用于执行维度缩减的可选的维度缩减模块110、机器学习系统(经展示实施成神经网络)120及工艺控制系统130,以调节抛光处理;例如,基于一系列特征值,在抛光处理中检测抛光终点并停止抛光和/或调节抛光压力以减少抛光不均匀性。如上所述,这些功能框可分布在多台计算机上。
神经网络120包括用于每个主要组件的多个输入节点122、多个隐藏节点124(下文也称为“中间节点”)及将生成特征值的输出节点126。在具有单层隐藏节点的神经网络中,每个隐藏节点124可耦合到每个输入节点122,且输出节点126可耦合到每个隐藏节点220。
一般来说,隐藏节点124输出一值,该值是来自隐藏节点所连接的输入节点122的值的加权总和的非线性函数。
例如,隐藏节点124的输出(指定节点k)可表示为:
tanh(0.5*ak1(I1)+ak2(I2)+...+akM(IM)+bk) 等式1
其中tanh是双曲正切、akx是第k个中间节点和(M个输入节点中的)第x个输入节点间的连接的权重,及IM是第M个输入节点的值。然而,可使用其他非线性函数(如修正线性单元(ReLU)函数及其变体)代替tanh。
可选的维度缩减模块110将测量的光谱减少到更有限数量的分量值(例如,L分量值)。神经网络120包括用于减少光谱的每个分量的输入节点122;例如,其中模块110生成L分量值,神经网络120将至少包括输入节点N1、N2...NL
然而,神经网络120可以可选地包括一个或多个其他输入节点(例如,节点122a)以接收其他数据。此其他数据可来自原位监测系统对基板的先前测量,例如,来自先前基板测量的基板处理中较早收集的光谱、例如,来自抛光系统中的另一传感器的在处理另一基板期间收集的光谱、例如,来自于用于控制抛光系统的控制器存储的抛光配方的温度传感器对垫或基板的温度的测量、例如,来自由控制器追踪的变量的用于抛光基板的抛光参数(如承载头压力或平台旋转速率)、例如,或是来自不是抛光系统的一部分的传感器的自垫改变后的基板数量,及例如,由计量站测量的底层膜的厚度。这允许神经网络120在计算特征值时考虑这些其他处理或环境变量。
在用于(例如)器件晶片之前,机器学习系统112需要被配置。
作为维度缩减模块110的配置过程的一部分,控制器90可接收多个参考光谱及与多个参考光谱中的每个参考光谱相关联的特征值(例如,厚度)。例如,可在一个或多个测试基板上的特定位置处测量参考光谱。另外,可用计量设备(例如,接触亮度仪或椭圆仪)来测量特定位置处的厚度。因此,厚度测量可与来自基板上相同位置的参考光谱相关联。多个参考光谱可包括(例如)五到十个参考光谱。
图4示出了用于收集数据和生成模型的平台的架构。主要组件包括工厂工具、算法生成平台及用户界面。
工厂工具包括处理系统(例如,化学机械抛光器20)。处理系统由各种控制参数控制。将控制参数设置为时间函数的数据可称为“配方”。例如,在抛光系统中,控制参数可在指示承载头的各个腔室中的抛光压力及其他参数(如承载头旋转速率、平台旋转速率、浆料分配速率、承载头扫掠及浆料成分等)的配方中设置。可将这些控制参数中的任何一者指定为时间的函数。
尽管监测系统可被认为是处理系统本身的一部分(由虚线框示出),制造工具也包括原位监测系统70。原位监测系统70可以是如上所述的光谱监测系统,但可替代或另外地使用其他传感器,如涡流监测、电机电流或扭矩监测、相机及温度传感器等。
工厂工具还可包括工艺控制器(例如,控制器90),但是工艺控制器可被认为是处理系统本身的一部分(再次由虚线框示出)。工艺控制器从原位监测系统70接收数据并控制处理系统20。此控制通常可实时完成(例如在处理基板时)。例如,工艺控制器90可检测是否停止处理、是否调整工艺控制参数,或是否开始工艺配方的新阶段。调整抛光参数的步骤可包括以下步骤:将新的控制参数值馈送到处理系统。例如,在抛光系统中,工艺控制系统可确定是否调整由承载头施加的一个或多个压力;可将调整后的值传递给处理系统,接着该处理系统实施所调整的工艺(例如,施加经调整后的压力)。
可从算法生成平台18接收工艺控制器90所使用的特定算法。
算法生成平台18可实施为在一个或多个计算机上(例如在服务器系统中)运行的软件。算法生成平台18可具有三个主要功能。
第一,算法生成平台18负责从各种工厂工具接收数据并将该数据存储在数据存储器18a(例如,数据库)中。接收的数据可包括来自原位监测系统的信号(例如,由光谱监测系统测量的系列光谱)、来自处理系统的控制参数(例如,抛光配方)、来自工厂基板追踪系统的基板数据(例如,基板的制造历史和/或正在制造的器件的识别)、及真实值测量,及(可选地)来自计量系统的其他测量。
具体来说,算法生成平台18可在数据存储器18a中接收和存储多组训练数据。每组训练数据可对应于单个基板上的单个处理操作。基板可以是有意用于训练的基板或是在集成电路的通常制造过程中监测的器件基板,且为在集成电路的通常制造过程中监测的器件基板,通过计量系统来测量起始厚度值和/或结束厚度值。
例如,当抛光系统20抛光基板且计量系统14测量基板的层厚度时或在抛光系统20抛光基板且计量系统14测量基板的层厚度之后,可收集数据以形成一组训练数据。所收集的数据可包括在抛光期间测量的光谱、在抛光操作中测量光谱的时间(“时间戳”)及由计量系统进行的(多个)真实值测量。因此,每组训练数据可包括由光谱监测系统测量的多个训练光谱、来自多个训练光谱的每个训练光谱的时间戳,及多个训练光谱的起始厚度值和/或结束厚度值。
通常从单个处理系统(例如,从单个抛光系统)收集多组训练数据。从中收集训练数据的此处理系统可以是(但不一定是)将为其生成控制算法的相同处理系统。在一些实施方式中,从多个处理系统中的每一者(例如,多个抛光系统20中的每一者)收集多组训练数据。
第二,算法生成平台18可生成用户界面以允许用户(例如,半导体制造工厂12的操作员)选择下文讨论的各种选项。可(例如)通过网络服务器18b生成用户界面。类似地,使用者可通过Web浏览器接取用户界面。Web浏览器可与Web服务器18b在同一计算机或不同的计算机上。
第三,算法生成平台18包括算法生成引擎18c。算法生成引擎18c包括若干子组件,该等子组件包括机器学习模型构建器应用程序18d、物理工艺模型构建器应用程序18e及模型训练应用程序18f。
模型构建器应用程序18d允许用户构建各种模型的机器学习系统。例如,模型构建器可允许用户在神经网络中选择多个隐藏节点列、或指定神经网络中特定节点之间的连接或缺少连接,及指定由神经网络使用的非线性函数等。这允许使用者构建特定于使用者执行的工艺的各种模型。
更具体地,机器学习模型构建器18d被配置为建立多种类型的机器学习模型。不同类型的机器学习模型可包括神经网络及不使用神经网络的机器学习模型(例如,随机森林、梯度提升树及支持向量机等)。不同类型的机器学习模型也可包括不同的神经网络配置,例如卷积神经网络与完全连接的神经网络。
机器学习模型构建器18d可(例如通过用户界面)自半导体制造工厂的操作员接收机器学习模型的选择。例如,web服务器18b可生成包括图形控制元素(例如下拉式选单、复选框及自动完成搜寻框等)的网页,该图形控制元素列出多种类型的机器学习模型并允许操作员选择多个机器学习模型中的一者。
机器学习模型构建器18d也可(例如通过用户界面)从半导体制造工厂的操作员接收一个或多个超参数值。(多个)超参数是设置机器学习模型的配置的参数且将取决于机器学习模型的类型。神经网络中隐藏层的数量是神经网络的超参数的示例。其他示例包括节点丢失的百分比、初始化权重及激活函数。同样地,web服务器18b可生成包括图形控制元素(例如下拉式选单、复选框及自动完成搜寻框等)的网页,该图形控制元素允许操作员输入或选择每个超参数的值。
一旦机器学习模型构建器18d已接收到机器学习模型类型的选择及(若必要的话)任何超参数值的选择,机器学习模型构建器18d就可生成机器学习模型的示例。此时,机器学习模型未经训练,因此对于特征值的实际计算无用。
如上所述,物理工艺模型可用于生成作为处理时间的函数的特征值;这些特征值可与光谱相关联;这允许(具有特征值)的光谱用作训练数据。
物理工艺机器学习模型构建器18d被配置为建立多种类型的物理工艺模型。每种类型的物理工艺模型提供函数以生成作为时间的不同的函数的厚度值和/或不同的物理工艺参数。可基于(1)工艺模型的基本功能(例如,线性或非线性)、(2)工艺模型的输出是否依赖于除时间戳之外的物理工艺变量及起始和/或结束值,及(3)物理工艺模型中如何使用其他物理工艺变量来区分不同类型的物理工艺模型。
例如,一种类型的简单物理工艺模型可基于训练光谱的时间(其相对于系列光谱中的第一及最后一个光谱的时间)而通过起始值和结束值之间的线性插值来生成用于训练光谱的厚度值。这可在不依赖于其他变量的情况下完成。
作为另一个例子,(例如在不依赖于其他变量的情况下,)另一种类型的物理工艺模型可基于默认的非线性时间函数来插值厚度值。
作为另一个例子,另一种类型的物理工艺模型可以是使用取决于时间戳、起始和/或结束值及各种物理工艺参数(例如,工艺是否停止在膜上、工艺选择性、工艺开始时间及基板上的图案密度)的函数。各种物理工艺模型在本领域中是已知的。
物理工艺模型构建器18e可(例如通过用户界面)从半导体制造工厂的操作员接收对物理工艺模型的类型的选择。例如,web服务器18b可生成包括图形控制元素(例如下拉式选单、复选框及自动完成搜寻框等)的网页,该图形控制元素列出多种类型的物理工艺模型并允许操作员选择多种类型的物理工艺模型中的一者。
虽然图4示出了用于选择机器学习模型和物理工艺模型的分开的控制元件,但仍可存在单个控制元件(例如,下拉式选单),以用于同时选择已配对的机器学习模型和物理工艺模型。
物理工艺模型构建器18e也可(例如通过用户界面)从半导体制造工厂的操作员接收一个或多个物理工艺参数值。同样地,对于抛光操作来说,物理工艺参数的示例包括工艺选择性、工艺开始时间及基板上的图案密度。同样地,web服务器18b可生成包括图形控制元素的网页,该图形控制元素例如为下拉式选单、复选框,自动完成搜寻框等,该图形控制元素允许操作员输入或选择每个物理工艺参数的值。
模型训练器应用程序18f允许操作员选择将哪些数据用于训练模型的特定示例。例如,假设要使用光谱测量系统,用户可选择哪些基板的光谱将用于训练及与那些光谱相关的真实值测量。
假设数据存储器18a存储多组训练数据,模型训练器应用程序18f可(例如通过用户界面)自半导体制造工厂的操作员接收对多组训练数据中的一组或多组的选择。例如,web服务器18b可生成包括图形控制元素(如下拉式选单、复选框及自动完成搜寻框等)的网页,该图形控制元素列出数据集并允许操作员选择数据组中的一组或多组。
一旦物理工艺模型构建器18d已接收到物理工艺模型的类型的选择及(若需要的话)接收任何处理参数值,物理工艺模型构建器18d可以是每个还没有特征值的训练光谱计算特征值(例如,厚度值)。也就是说,将各种值(例如,训练光谱的时间戳、起始值和结束值)馈送到物理工艺模型中,该物理工艺模型计算训练光谱的特征值。
一旦已建立物理工艺模型的示例,就可使用物理工艺模型来为训练数据中的每个还没有特征值的训练光谱生成特征值(例如,厚度值)。可由使用传统技术的模型训练器应用程序18f来执行训练。例如,对于神经网络而言,可使用测量系列及由物理工艺模型生成的特征值通过反向传播来执行训练。例如,对于神经网络的训练可由使用系列光谱及由抛光过程模型产生的特征值(例如,厚度值)的反向传播来执行。
一旦执行了训练,就可将所训练的机器学习模型的示例传递给处理控制系统,该处理控制系统接着可使用如上所述的所训练的机器学习模型。
例如,在抛光系统中的基板抛光期间,可用原位光谱监测系统监测基板,以产生被抛光的基板的多个测量光谱。将多个所测量的光谱传递到所训练的机器学习模型以产生多个特征值(例如,厚度测量值),且基于多个特征值来控制抛光系统的至少一个处理参数。
具体来说,算法生成平台可包括多种类型的机器学习模型(例如,利用如上所述的不同架构建构的模型)的示例,其中示例由相同或不同的数据组训练。算法生成平台也可包括相同机器学习模型的多个示例,每个示例由不同的数据组训练。
在一些实施方式中,使用者选择将哪个训练的模型示例加载到工艺控制系统中。在一些实施方式中,算法生成器可从工厂工具接收数据并基于该数据选择模型示例。例如,算法生成器可从基板追踪系统接收数据,其中盒中的基板用于制造特定器件。算法生成器接着可选择与该器件相关联的模型示例,并将该模型示例传递给处理控制系统。
可在包括本说明书中公开的结构构件及其结构等同物或上述组合的数字电子电路中、或在计算机软件中、固件中或硬件中实施本说明书中所描述的本发明的实施例及所有功能操作。本发明的实施例可实施为一个或多个计算机程序产品(即,有形地体现在机器可读取存储媒体中的一个或多个计算机程序),以由数据处理设备(例如,可编程的处理器、计算机或多个处理器或计算机)执行或控制该数据处理设备的操作。可用包括编译或直译语言之任何形式的程序语言来编写计算机程序(也称为程序、软件、软件应用程序或代码),且可用包括作为独立程序或作为适于计算环境的模块、组件、子例程或其他单元的任何形式来部署该计算机程序。计算机程序不一定对应于文档。程序可存储在保存其他程序或数据的文档的一部分中、存储在专用于所讨论的程序的单个文档中,或存储在多个协作文档中(例如,存储一个或多个模块、子程序或部分代码的多个文档)。可部署计算机程序以在一个计算机上、或在一个站点的多个计算机上,或在分布在多个站点上并通过通讯网络互连的多个计算机上执行。
本说明书中描述的工艺及逻辑流程可由执行一个或多个计算机程序的一个或多个可程序化的处理器执行,以通过对输入数据进行操作并生成输出来执行功能。工艺及逻辑流程也可由例如为FPGA(现场可程序化门阵列)或ASIC(专用集成电路)的专用逻辑电路执行,且设备也可实施为该专用逻辑电路。
上述抛光系统及方法可应用于各种抛光系统中。抛光垫或承载头任一者或两者皆可移动以提供抛光表面和基板之间的相对移动。例如,平台可绕轨道旋转(orbit)而不是旋转(rotate)。抛光垫可以是固定到平台上的圆形(或一些其他形状)垫。抛光系统可以是线性抛光系统,(例如)其中抛光垫是线性移动的连续或滚动条到滚动条带。抛光层可以是标准(例如,具有或不具有填料的聚氨酯)抛光材料、软材料或固定研磨材料。相对定位的术语使用相对定位或组件的定位;应该理解的是,抛光表面和基板可相对于重力保持垂直取向或一些其他取向。
尽管上文的描述聚焦于化学机械抛光,但控制系统可适用于其他半导体处理技术;例如,蚀刻或沉积(例如,化学气相沉积)。此外,此技术可应用于在线或独立的计量系统(而不是原位监测)。
已描述了本发明的特定实施例。其他实施例在所附权利要求的范围内。

Claims (20)

1.一种操作基板处理系统的方法,包括以下步骤:
接收多组训练数据,每组训练数据包括多个训练光谱、针对来自所述多个训练光谱的每个训练光谱的时间戳以及针对所述多个训练光谱的起始特征值和/或结束特征值;
存储多个机器学习模型,每个机器学习模型提供至少一个不同的超参数;
存储多个物理工艺模型,每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同物理工艺参数的不同函数;
接收从所述多个机器学习模型中对机器学习模型的选择及从所述多个物理工艺模型中对物理工艺模型的选择,以提供所选择的机器学习模型及所选择的物理工艺模型的组合;
接收所选择的机器学习模型的至少一个超参数值及所选择的物理工艺模型的至少一个物理参数值;
根据所选择的机器学习模型及所述至少一个超参数值生成所实施的机器学习模型;
对于每组训练数据中的每个训练光谱而言,基于针对所述训练光谱的所述时间戳、针对该组训练数据的所述起始特征值和/或结束特征值、所述物理参数值及所选择的物理工艺模型来计算特征值,从而产生多个训练特征值,其中每个训练特征值与所述多个训练光谱中的一者相关联;
使用所述多个训练特征值及多个训练光谱来训练所实施的机器学习模型,以生成所训练的机器学习模型;以及
将所训练的机器学习模型传递给所述基板处理系统的处理控制系统。
2.如权利要求1所述的方法,其中所述基板处理系统包括化学机械抛光系统。
3.如权利要求2所述的方法,进一步包括以下步骤:
在所述抛光系统中抛光基板;
在抛光所述基板期间,用原位光谱监测系统来监测所述基板以产生多个测量光谱;
将所述多个测量光谱传递给所训练的机器学习模型以产生多个特征值;以及
基于所述多个特征值来控制所述抛光系统的至少一个处理参数。
4.如权利要求3所述的方法,其中控制所述至少一个处理参数的步骤包括以下步骤:停止抛光和/或调节承载头压力。
5.一种用于控制基板的处理的计算机程序产品,所述计算机程序产品有形地体现在非瞬态计算机可读介质中且包括用于使处理器执行以下操作的指令:
接收多组训练数据,每组训练数据包括多个训练光谱、针对来自所述多个训练光谱的每个训练光谱的时间戳以及针对所述多个训练光谱的起始特征值和/或结束特征值;
存储多个机器学习模型,每个机器学习模型提供至少一个不同的超参数;
存储多个物理工艺模型,每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同物理工艺参数的不同函数;
接收从所述多个机器学习模型中对机器学习模型的选择及从所述多个物理工艺模型中对物理工艺模型的选择,以提供所选择的机器学习模型及所选择的物理工艺模型的组合;
根据所选择的机器学习模型生成所实施的机器学习模型;
对于每组训练数据中的每个训练光谱而言,基于针对所述训练光谱的所述时间戳、针对该组训练数据的所述起始特征值和/或所述结束特征值及所选择的物理工艺模型来计算特征值,从而生成多个训练特征值,其中每个训练特征值与所述多个训练光谱中的一者相关联;
使用所述多个训练特征值及所述多个训练光谱来训练所实施的机器学习模型,以生成所训练的机器学习模型;以及
将所训练的机器学习模型传递给基板处理系统的处理控制系统。
6.如权利要求5所述的计算机程序产品,其中所述特征值包括所述基板上的层的厚度值。
7.如权利要求5所述的计算机程序产品,其中所述多个物理工艺模型中的一些物理工艺模型包括时间的线性函数,并且所述多个物理工艺模型中的一些物理工艺模型包括时间的非线性函数。
8.如权利要求5所述的计算机程序产品,其中所述物理工艺参数包括图案密度、起始步长、临界阶梯高度及工艺选择性中的一者或多者。
9.如权利要求5所述的计算机程序产品,包括用于进行以下操作的指令:接收所选择的机器学习模型的至少一个超参数值;以及接收所选择的物理工艺模型的物理参数值,及其中用于生成所实施的机器学习模型的指令包括根据所选择的机器学习模型及所述至少一个超参数值生成所实施的机器学习模型的指令,且其中用于计算所述特征值的指令包括基于所述物理参数值来计算所述特征值的指令。
10.一种半导体制造系统,包括:
多个抛光系统,每个抛光系统包括用于保持抛光垫的支撑件、用于将基板保持抵靠所述抛光垫的载体、用于引起所述基板和所述抛光垫之间的相对运动的电机、在抛光过程中生成所述基板的一系列测量值和针对所述一系列测量值中的每个测量值的时间戳的原位监测系统以及控制器,
其中所述多个抛光系统中的至少一者的至少一个控制器经配置成使所述多个抛光系统中的一个或多个抛光系统抛光一系列的训练基板,
其中来自所述多个抛光系统的一个或多个系统的一个或多个控制器经配置成接收所训练的机器学习模型、使来自所述一个或多个系统的所述抛光系统抛光一系列的器件基板、从所述一个或多个系统的所述原位监测系统接收所述器件基板的一系列测量值、基于所述一系列测量值和所训练的机器学习模型产生一系列特征值以及基于所述一系列特征值来控制至少一个抛光控制参数;
在线或独立的计量系统,所述在线或独立的计量系统用于针对所述一系列的训练基板中的每者生成起始特征值和/或结束特征值;及
算法生成平台,所述算法生成平台包括一个或多个处理器及存储计算机程序产品的非瞬态计算机可读介质,所述计算机程序产品包括用于使所述一个或多个处理器执行以下操作的指令:
对于每个训练基板而言,从用来抛光所述训练基板的所述多个抛光系统中的一个或多个抛光系统的所述原位监测系统接收在所述训练基板的抛光期间产生的多个训练光谱及针对来自所述多个训练光谱中的每个训练光谱的时间戳,
对于每个训练基板而言,从所述在线或独立计量系统接收针对所述训练基板的所述起始特征值和/或结束特征值,
存储多组训练数据,每组训练数据包括来自所述训练基板的所述多个训练光谱、针对来自所述多个训练光谱的每个训练光谱的所述时间戳以及针对所述训练基板的所述起始特征值和/或结束特征值,
存储多个机器学习模型,每个机器学习模型提供至少一个不同的超参数,
存储多个物理工艺模型,每个物理工艺模型提供不同的函数以生成特征值,作为时间和/或不同的物理工艺参数的不同函数,
接收从所述多个机器学习模型中对机器学习模型的选择及从所述多个物理工艺模型中对物理工艺模型的选择,以提供所选择的机器学习模型及所选择的物理工艺模型的组合,
接收针对所选择的机器学习模型的至少一个超参数值及针对所选择的物理工艺模型的至少一个物理参数值,
根据所选择的机器学习模型及所述至少一个超参数值生成所实施的机器学习模型,
对于每组训练数据中的每个训练光谱而言,基于针对所述训练光谱的所述时间戳、针对该组训练数据的所述起始特征值和/或结束特征值、所述物理参数值及所选择的物理工艺模型来计算特征值,从而产生多个训练特征值,其中每个训练特征值与所述多个训练光谱中的一者相关联,
使用所述多个训练特征值及多个训练光谱来训练所实施的机器学习模型,以生成所训练的机器学习模型,以及
将所训练的机器学习模型传递给所述一个或多个抛光系统的所述控制器,以控制所述器件基板的抛光。
11.如权利要求10所述的系统,其中所述算法生成平台经配置成从所述多个抛光系统中的两个或更多个抛光系统中的每一者接收来自所述训练基板的多个训练光谱以及针对来自所述多个训练光谱的每个训练光谱的所述时间戳。
12.如权利要求11所述的系统,其中所述算法生成平台经配置成存储提供多个所训练的机器学习模型的数据。
13.如权利要求12所述的系统,其中所述算法生成平台经配置成接收或作出对多个所训练的机器学习模型中的一者的选择,并且将所选择的所训练的机器学习模型传递给所述控制器。
14.如权利要求13所述的系统,进一步包括:基板追踪系统,所述基板追踪系统包括一个或多个处理器及存储计算机程序产品的非瞬态计算机可读介质,所述计算机程序产品包括用于存储表征所述多个器件基板中的每一者的数据的指令。
15.如权利要求14所述的系统,其中所述算法生成平台经配置成从所述基板追踪系统接收表征器件基板的数据,并且基于所述表征数据从所述多个所训练的机器学习模型中选择所训练的机器学习模型。
16.一种操作抛光系统的方法,包括以下步骤:
使用机器学习算法来训练多个模型,以生成多个所训练的模型,每个所训练的模型经配置成基于监测信号来确定基板的层的特征值;
存储所述多个所训练的模型;
接收指示要处理的基板的特性的数据;
基于所述数据来选择所述多个所训练的模型中的一者;及
将所选择的所训练的模型传递给所述处理系统。
17.如权利要求16所述的方法,包括以下步骤:
在半导体处理系统中处理所述基板的所述层;
在所述层的处理期间,用原位监测系统来监测所述基板并从所述原位监测系统产生信号;
将所述信号传递给所述训练模型以产生所述层的所述厚度的测量;及
基于所述厚度的测量为所述处理系统产生一个或多个控制信号。
18.如权利要求17所述的方法,进一步包括以下步骤:
获得模型的一个或多个超参数;
基于所述超参数生成原始预测模型;
获取用于所述模型的训练数据;及
使用所述训练数据来训练所述原始预测模型,以生成所述模型。
19.一种抛光系统,包括:
平台,所述平台用于支撑抛光垫;
承载头,所述承载头用于保持基板与所述抛光垫接触;
原位监测系统,所述原位监测系统用于在抛光过程中监测所述基板;
工艺控制系统,所述工艺控制系统经配置成从所述原位监测系统接收模型及信号,并且从所述模型及所述信号确定特征值;及
模型生成器,所述模型生成器经配置成使用机器学习算法来训练多个模型以生成多个训练模型,每个训练模型经配置成基于监测信号来确定基板的层的特征值、存储所述多个训练模型、选择多个模型中的要使用的模型,及将所选择的训练模型传递给所述工艺控制系统。
20.一种用于控制基板的处理的计算机程序产品,所述计算机程序产品有形地体现在非瞬态计算机可读介质中且包括用于使处理器执行以下操作的指令:
使用机器学习算法来训练多个模型,以生成多个训练模型,每个训练模型经配置成基于监测信号来确定基板的层的特征值;
存储所述多个训练模型;
接收指示要处理的基板的特征的数据;
基于所述数据选择所述多个训练模型中的一者;及
将所选择的训练模型传递给所述处理系统。
CN201980021116.4A 2018-03-13 2019-03-08 用于半导体处理的监测的机器学习系统 Pending CN111902924A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862642497P 2018-03-13 2018-03-13
US62/642,497 2018-03-13
PCT/US2019/021441 WO2019177905A1 (en) 2018-03-13 2019-03-08 Machine learning systems for monitoring of semiconductor processing

Publications (1)

Publication Number Publication Date
CN111902924A true CN111902924A (zh) 2020-11-06

Family

ID=67903980

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980021116.4A Pending CN111902924A (zh) 2018-03-13 2019-03-08 用于半导体处理的监测的机器学习系统

Country Status (6)

Country Link
US (3) US10795346B2 (zh)
JP (2) JP7323541B2 (zh)
KR (2) KR102666904B1 (zh)
CN (1) CN111902924A (zh)
TW (2) TWI817992B (zh)
WO (1) WO2019177905A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022186988A1 (en) * 2021-03-03 2022-09-09 Applied Materials, Inc. In-situ monitoring to label training spectra for machine learning system for spectrographic monitoring
CN115863204A (zh) * 2023-02-27 2023-03-28 青岛芯康半导体科技有限公司 晶片加工用在线厚度监视和测量方法及系统
CN117441177A (zh) * 2021-06-10 2024-01-23 应用材料公司 使用机器学习的基板工艺终点检测

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102666904B1 (ko) 2018-03-13 2024-05-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
US11651207B2 (en) 2018-06-28 2023-05-16 Applied Materials, Inc. Training spectrum generation for machine learning system for spectrographic monitoring
US10916411B2 (en) 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
US11592812B2 (en) 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration
JP2020131353A (ja) * 2019-02-19 2020-08-31 パナソニックIpマネジメント株式会社 研磨加工システム、学習装置、学習装置の学習方法
DE102019214653A1 (de) * 2019-09-25 2021-03-25 Rolls-Royce Deutschland Ltd & Co Kg Training von Maschinenlernmodellen zur datengetriebenen Entscheidungsfindung
US11063965B1 (en) * 2019-12-19 2021-07-13 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
US11100221B2 (en) 2019-10-08 2021-08-24 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
SG10202100222WA (en) * 2020-01-17 2021-08-30 Ebara Corp Polishing head system and polishing apparatus
DE102020201239A1 (de) * 2020-01-31 2021-08-05 Rolls-Royce Deutschland Ltd & Co Kg Sensorsystem und Verfahren zum Erkennen eines Zustands zumindest einer Maschine
CN111338275B (zh) * 2020-02-21 2022-04-12 中科维卡(苏州)自动化科技有限公司 一种电气设备运行状态监控方法及系统
US11705373B2 (en) * 2020-03-10 2023-07-18 Northwestern University In situ monitoring of field-effect transistors during atomic layer deposition
JP7390945B2 (ja) * 2020-03-19 2023-12-04 株式会社荏原製作所 研磨装置、情報処理システム及びプログラム
JP2021152762A (ja) * 2020-03-24 2021-09-30 株式会社Screenホールディングス 学習済みモデル生成方法、学習済みモデル、異常要因推定装置、基板処理装置、異常要因推定方法、学習方法、学習装置、及び、学習データ作成方法
CN111506575B (zh) * 2020-03-26 2023-10-24 第四范式(北京)技术有限公司 一种网点业务量预测模型的训练方法、装置及系统
JP7421413B2 (ja) * 2020-05-08 2024-01-24 株式会社荏原製作所 パッド温度調整装置、パッド温度調整方法、および研磨装置
JP7419163B2 (ja) * 2020-05-29 2024-01-22 株式会社Screenホールディングス 基板処理装置、基板処理方法、学習用データの生成方法、学習方法、学習装置、学習済モデルの生成方法、および、学習済モデル
JP2021194748A (ja) * 2020-06-17 2021-12-27 株式会社荏原製作所 研磨装置及びプログラム
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US20220026817A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Determining substrate profile properties using machine learning
JP7466403B2 (ja) 2020-08-03 2024-04-12 キヤノン株式会社 制御装置、リソグラフィー装置、制御方法および物品製造方法
US20220043432A1 (en) * 2020-08-06 2022-02-10 Changxin Memory Technologies, Inc. System for detecting semiconductor process and method for detecting semiconductor process
US20220067762A1 (en) * 2020-08-26 2022-03-03 Coupang Corp. System and method for predicting an optimal stop point during an experiment test
US20220165592A1 (en) * 2020-11-24 2022-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
CN116635693A (zh) * 2020-12-08 2023-08-22 莫列斯有限公司 用于管道和容器的腐蚀和侵蚀监测的系统及方法
CN115697631A (zh) * 2020-12-18 2023-02-03 应用材料公司 自适应浆料分配系统
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
JP2022127882A (ja) * 2021-02-22 2022-09-01 株式会社荏原製作所 基板処理装置
US11586789B2 (en) 2021-04-07 2023-02-21 Applied Materials, Inc. Machine learning based smart process recipe builder to improve azimuthal flow and thickness uniformity
TWI790591B (zh) 2021-04-12 2023-01-21 環球晶圓股份有限公司 晶圓加工系統及其重工方法
CN115884848A (zh) * 2021-04-30 2023-03-31 应用材料公司 使用基于机器学习的热图像处理监控化学机械抛光工艺
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US12009269B2 (en) 2021-06-28 2024-06-11 Sandisk Technologies Llc Virtual metrology for feature profile prediction in the production of memory devices
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
KR102393813B1 (ko) * 2022-02-24 2022-05-04 주식회사 아크트리아 딥러닝 기반 반도체 약액의 정밀 온도 제어 시스템
KR102605515B1 (ko) * 2022-09-15 2023-12-29 (주)성화에스티 인공지능 기반, 피가공물 두께에 기초한 가공 시스템
US20240120186A1 (en) * 2022-10-10 2024-04-11 Kla Corporation Plasma hypermodel integrated with feature-scale profile model for accelerated etch process development
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning
US20240185058A1 (en) * 2022-12-05 2024-06-06 Applied Materials, Inc. Semiconductor film thickness prediction using machine-learning

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
CN101393015A (zh) * 2008-10-17 2009-03-25 华中科技大学 一种微纳深沟槽结构在线测量方法及装置
US20100138026A1 (en) * 2008-03-08 2010-06-03 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
CN103329167A (zh) * 2010-07-30 2013-09-25 克拉-坦科股份有限公司 基于区域的虚拟傅里叶滤波器
US20170109646A1 (en) * 2014-11-25 2017-04-20 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
JP2011249833A (ja) * 1995-03-28 2011-12-08 Applied Materials Inc Cmpプロセス中のインシチュウ終点検出に用いるポリッシングパッド
US6562185B2 (en) 2001-09-18 2003-05-13 Advanced Micro Devices, Inc. Wafer based temperature sensors for characterizing chemical mechanical polishing processes
US7001243B1 (en) * 2003-06-27 2006-02-21 Lam Research Corporation Neural network control of chemical mechanical planarization
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7356377B2 (en) 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US20100094790A1 (en) 2008-10-10 2010-04-15 Micron Technology, Inc. Machine learning of dimensions using spectral intensity response of a reflectometer
US8039397B2 (en) * 2008-11-26 2011-10-18 Applied Materials, Inc. Using optical metrology for within wafer feed forward process control
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
WO2016086138A1 (en) * 2014-11-25 2016-06-02 Stream Mosaic, Inc. Improved process control techniques for semiconductor manufacturing processes
KR20180090385A (ko) * 2015-12-31 2018-08-10 케이엘에이-텐코 코포레이션 반도체 애플리케이션을 위한 기계 학습 기반의 모델의 가속 트레이닝
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10346740B2 (en) 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
JP6782145B2 (ja) 2016-10-18 2020-11-11 株式会社荏原製作所 基板処理制御システム、基板処理制御方法、およびプログラム
US10699214B2 (en) 2016-10-26 2020-06-30 International Business Machines Corporation Automatic identification and deployment of virtual sensor models
KR102449586B1 (ko) * 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
JP6779173B2 (ja) 2017-05-18 2020-11-04 株式会社荏原製作所 基板処理装置、プログラムを記録した記録媒体
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US11200511B1 (en) * 2017-11-17 2021-12-14 Amazon Technologies, Inc. Adaptive sampling of training data for machine learning models based on PAC-bayes analysis of risk bounds
KR102666904B1 (ko) 2018-03-13 2024-05-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
JP2020053550A (ja) 2018-09-27 2020-04-02 株式会社荏原製作所 研磨装置、研磨方法、及び機械学習装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US20100138026A1 (en) * 2008-03-08 2010-06-03 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
CN101393015A (zh) * 2008-10-17 2009-03-25 华中科技大学 一种微纳深沟槽结构在线测量方法及装置
CN103329167A (zh) * 2010-07-30 2013-09-25 克拉-坦科股份有限公司 基于区域的虚拟傅里叶滤波器
US20170109646A1 (en) * 2014-11-25 2017-04-20 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022186988A1 (en) * 2021-03-03 2022-09-09 Applied Materials, Inc. In-situ monitoring to label training spectra for machine learning system for spectrographic monitoring
CN117441177A (zh) * 2021-06-10 2024-01-23 应用材料公司 使用机器学习的基板工艺终点检测
CN115863204A (zh) * 2023-02-27 2023-03-28 青岛芯康半导体科技有限公司 晶片加工用在线厚度监视和测量方法及系统
CN115863204B (zh) * 2023-02-27 2023-06-02 青岛芯康半导体科技有限公司 晶片加工用在线厚度监视和测量方法及系统

Also Published As

Publication number Publication date
US20190286111A1 (en) 2019-09-19
TW202403867A (zh) 2024-01-16
TWI817992B (zh) 2023-10-11
US10795346B2 (en) 2020-10-06
US11733686B2 (en) 2023-08-22
US20190286075A1 (en) 2019-09-19
US10969773B2 (en) 2021-04-06
JP2023162172A (ja) 2023-11-08
US20210018902A1 (en) 2021-01-21
JP7323541B2 (ja) 2023-08-08
TW201946136A (zh) 2019-12-01
KR102666904B1 (ko) 2024-05-20
KR20200120958A (ko) 2020-10-22
WO2019177905A1 (en) 2019-09-19
JP2021515987A (ja) 2021-06-24
KR20240089695A (ko) 2024-06-20

Similar Documents

Publication Publication Date Title
US11733686B2 (en) Machine learning systems for monitoring of semiconductor processing
US11966212B2 (en) Spectrographic monitoring using a neural network
US12020159B2 (en) Training spectrum generation for machine learning system for spectrographic monitoring
CN109844923B (zh) 用于化学机械抛光的实时轮廓控制
US20220283082A1 (en) In-situ monitoring to label training spectra for machine learning system for spectrographic monitoring
US8954186B2 (en) Selecting reference libraries for monitoring of multiple zones on a substrate
TWI841926B (zh) 用於使用成本函數或預期的未來參數變化對基板拋光期間的處理參數的控制的電腦程式產品、方法及拋光系統

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination