JP4694843B2 - 半導体製作プロセスの監視とコンロトールのための装置 - Google Patents

半導体製作プロセスの監視とコンロトールのための装置 Download PDF

Info

Publication number
JP4694843B2
JP4694843B2 JP2004541624A JP2004541624A JP4694843B2 JP 4694843 B2 JP4694843 B2 JP 4694843B2 JP 2004541624 A JP2004541624 A JP 2004541624A JP 2004541624 A JP2004541624 A JP 2004541624A JP 4694843 B2 JP4694843 B2 JP 4694843B2
Authority
JP
Japan
Prior art keywords
apc
data
tool
apc system
coupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004541624A
Other languages
English (en)
Other versions
JP2006501680A (ja
Inventor
フンク、マーリット
ピーターソン、レイモンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006501680A publication Critical patent/JP2006501680A/ja
Application granted granted Critical
Publication of JP4694843B2 publication Critical patent/JP4694843B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0264Control of logging system, e.g. decision on which data to store; time-stamping measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0267Fault communication, e.g. human machine interface [HMI]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31186TCP-IP internet protocol
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32126Hyperlink, access to program modules and to hardware modules in www, web server, browser
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32128Gui graphical user interface
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33148CLS client server architecture, client consumes, server provides services
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33225Interface nc machine to data server
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Factory Administration (AREA)
  • Testing And Monitoring For Control Systems (AREA)

Description

本発明は、半導体プロセスシステム、特に、アドバンストプロセスコントロール(APC)を用いる半導体プロセスシステムに関する。
本出願は、参照によりその全体の内容がここに組み入れられる、2002年9月30日に出願された米国仮出願番号60/414,425に基づき、同出願の利益を得ている。
本出願は、出願中の、2002年3月29日に出願され、「状態とコントロール装置ととの相互作用のための方法」と題された、米国仮出願番号60/368,162と、2002年4月23日に出願され、「単純化されたシステム設定のための方法と装置」と題された、米国仮出願番号60/374,486と、2002年3月29日に出願され、「ツールパフォーマンスを監視するための方法と装置」と題された、米国仮出願番号60/383,619と、2002年7月3日に出願され、「動的なセンサ設定とランタイム実行の方法」と題された、米国仮出願番号60/393,091と、2002年7月3日に出願され、「自動的なセンサ設置のための方法と装置」と題された米国仮出願番号60/393,104とに関連している。これらの出願の各々は、ここで参照によりその全体において組み入れられる。
コンピュータは、製作プロセスをコントロールし、監視し、初期化するために、一般に用いられている。コンピュータは、内向するウエハの流れと重要なプロセスのステップとプロセスの維持可能性とから半導体製作プラントの複雑さを考慮に入れると、これらの操作に理想的である。様々な入力と出力(I/O)の装置が、プロセスのフロとウエハの状態とメインテナンススケジュールとをコントロールし監視するために用いられている。様々なツールが、半導体製作プラントにおいて、エッチングのような重要な操作から、バッチ式プロセスと検査までのこれらの複雑なステップを完了するために、存在している。ほとんどのツールの設置は、インストールソフトウェアを有するコントロールコンピュータのグラフィカルユーザインターフェース(GUI)の一部であるディスプレイスクリーンを用いて達成される。半導体プロセスツールの設置は、時間を要する手続きである。
半導体プロセス設備は、定期的な監視を必要とする。プロセスコンディションは、望ましくない結果を与える重要なプロセスパラメータのわずかな変化と共に、時間にわたって、変化する。小さな変化は、エッチングガスの組成もしくは圧力かプロセスチャンバかウエハ温度かにおいて容易に起こることができる。
多くの場合、プロセスの特性の悪化を反映するプロセスデータの変化は、単に表示されているプロセスデータを参照することによっては、検出されることができない。プロセスの異常性と特性の悪化の初期段階を検出することは、難しい。アドバンストプロセスコントロールにより与えられる予測とパターン認識とが、しばしば必要である。
設備のコントロールは、しばしば、様々なコントローラを有する多数の様々なコントロールシステムにより実行される。コントロールシステムの中には、タッチスクリーンのような人と機械のインターフェースを持つことができるものがある、一方で、他のコントロールシステムは、温度のような一変数を収集し表示するだけのことができる。監視システムは、プロセスコントロールシステムのために表になったデータを収集できなければいけない。前記監視システムのデータ収集は、単一変数のデータと多変数のデータと、データの解析と表示とを扱えなければいけず、収集するべきプロセス変数を選ぶ能力がなければいけない。プロセスの様々なコンディションは、各々のプロセスチャンバの中に与えられた様々なセンサによって監視され、監視されたコンディションのデータは、転送され、コントロールコンピュータに蓄積されている。プロセスのデータが自動的に表示され検出されるなら、大量生産ラインの最適なプロセス条件は、設定されることができ、統計的プロセスコントロール(SPC)チャートを通してコントロールされることができる。設備の非能率的な監視は、全体として操作コストを増加させる設備の中断時間を導く結果となることができる。
したがって、半導体プロセス環境におけるプロセスツールをコントロールするためのアドバンストプロセスコントロール(APC)システムを与えることが、本発明の目的である。半導体プロセス環境では、前記APCシステムは、APCに関連した複数のアプリケーションを与えるAPCサーバと、このAPCサーバに結合されたインターフェースサーバ(IS)と、前記ISとAPCサーバとに結合されたデータベースと、前記APCサーバに結合されたGUI構成成分とを有し、前記ISが、プロセスツールに結合するための手段と、このプロセスツールに結合された複数のプロセスモジュールに結合するための手段とを有する。
加えて、半導体プロセス環境におけるプロセスツールをコントロールするためのアドバンストプロセスコントロール(APC)システムを用いるための方法を与えることは、本発明の目的である。その方法は、複数のAPCに関連するアプリケーションを与えるAPCサーバを与えることと、このAPCサーバに結合されたインターフェースサーバ(IS)を与えることと、前記ISとAPCサーバとに結合されたデータベースを与えることと、前記APCサーバに結合されたGUI構成成分を与えることとを有し、前記ISは、プロセスツールに結合するための手段と、前記プロセスツールに結合された複数のプロセスモジュールに結合するための手段とを有する。
組み入れられ記述の一部を構成する添付されている図面は、すぐに本発明の好ましい実施の形態を示し、上記の一般的な記述と下記の好ましい実施の形態の詳細な記述と共に、本発明の原理を説明するのに役にたっている。より完全な本発明の評価とそれに付随する有利なことの多くは、特に、添付されている図面と共に考えられた時に、以下の詳細な説明を参照して直ちに明らかとなる。
図1は、本発明の実施の一形態による半導体製作環境におけるAPCシステムの例示的なブロックダイヤグラムを示している。示された実施の形態において、半導体製作環境100は、少なくとも1つの半導体プロセスツール110と、多数のプロセスモジュール120、PM1からPM4までと、前記ツールと前記モジュールとプロセスとを監視するための多数のセンサ130と、センサのインターフェース140と、APCシステム145とを有する。APCシステム145は、インターフェースサーバ(IS)150と、APCサーバ160と、クライアントワークステーション170と、GUI構成成分180と、データベース190とを有することができる。一実施の形態では、IS150は、「ハブ」として見られることができるリアルタイムメモリデータベースを有することができる。
APCシステム145は、プロセスツールとプロセスモジュールとセンサとの少なくとも1つをコントロールするために、ツールレベル(TL)コントローラ(図示されていない)を有することができる。
前記図示された実施の形態では、1つのツール110が、4つのプロセスモジュール120と共に示されているが、これは、本発明に必要とはされていない。前記APCシステム145は、1つかそれより多いプロセスモジュールを有するクラスターツールを有する多くのプロセスツールのインターフェースとなることができ、このAPCシステム145は、1つかそれ以上のプロセスモジュールを有するクラスターツールを有する多くのプロセスツールを形作り監視するために用いられることができる。例えば、前記ツールとそれらの関連するプロセスモジュールは、エッチングと、フィーチャトリミングと、蒸着と、拡散と、クリーニングと、測定と、ポリッシングと、デベロッピングと、トランスファーと、ストレージと、ローディングと、アンローディングと、アライニングと、温度コントロールと、リソグラフィと、インテグレイティドメトロロジ(integrated metrology)(IM)と、光学データプロファイリング (ODP)と、粒子検出と、アーク抑制(arc suppression)と他の半導体製作プロセスとを実行するために用いられることができる。
IM要素は、プロセスツールに結合したモジュール(インテグレイティドメトロロジモジュール;IMM)として配置されることができる。例えば、IMMは、ウエハのフィーチャの形を測定して解析するODPシステム(Timbre Inc.より)であることができる。
一実施の形態では、前記プロセスツール110は、ツールエージェント(図示されていない)を有することができ、ツールエージェントは、ツール110の上で走るソフトウェアプロセスであり、ツールエージェントは、イベント情報と、コンテキスト情報と、前記ツールプロセスとデータ取得を同期化するために用いられるスタートストップタイミングコマンドを与えることができる。また、APCシステム145は、前記ツールエージェントへの接続を与えるために用いられることができるソフトウェアプロセスであることができるエージェントクライアント(図示されていない)を有することができる。例えば、APCシステム145は、インターネットもしくはイントラネット接続でプロセスツール110に接続されることができる。
例えば、エージェントクライアントは、イベントとそれらに関連したメッセージをツールエージェントから受け取り、それらのメッセージを前記APCシステムを通してつたえるために用いられることができる。前記クライアントソフトウェアは、コミュニケーションクラスとドライバを有することができる。前記エージェントクライアントのコミュニケーションクラスは、動的にロードできるモジュール(DLL)として実行されるリユーザブルなクラスとして設計されることができる。前記ツールエージェントからのメッセージをパーシング処理し、それらのメッセージを要素に分解するために用いられるメッセージクラスが存在することもできる。エージェントのメッセージクラスは、パラメータとして前記ツールエージェントから受け取られたストリングによりインスタンシェーションされることができる。インスタンシェーションの時に、前記ストリングは、パーシング処理され、全てのクラスの属性は、このストリングからのデータにより満たされる。前記エージェントクライアントのコミュニケーションクラスは、前記ツールエージェントとBSDソケットにより通信し、それは、以下の方法を有する。
a.スタートエージェント:前記ツールエージェントとの通信を確立し、エージェントにスタートメッセージを送る方法。スタートを承認されたメッセージが、
このエージェントから受け取られると、この接続は閉じられ、イベントレシーブスレッドが作られる。前記エージェントとの初期接続が確立されると、前記ツールを発見したローカルインターフェースは、記憶される。
b.イベントレシーブスレッド:これは、前記エージェントと「イベントリッスン(event listen)」接続を確立する。一度この接続が確立されると、このスレッドは、前記エージェントからのメッセージを際限なく待つ。メッセージが受け取られると、新しいエージェントのメッセージオブジェクトがインスタンシェーションされ、メッセージキューに置かれる。このスレッドは、それから「メッセージを待っている」状態に戻る。
c.ゲットネクストメッセージ:次のオブジェクトを前記メッセージキューから得てこれをコーラ(caller)に渡して返す方法。
d.ストップエージェント:前記ツールエージェントにストップシグナルを送る方法。このツールエージェントが、ストップシグナルを受け取ると、前記ツールは、前記イベントレシーブスレッドとの接続を閉じることができる。このイベントレシーブスレッドが、接続が閉じられたことを感知すると、イベントレシーブスレッドは消去される。
一実施の形態では、プロセスツール110は、ソケットを用いるIS150と通信する。例えば、インターフェースは、TCP/IPソケット通信を用いて実行される。あらゆる通信の前に、ソケットは、確立されている。それからメッセージが、ストリングとして送られる。このメッセージが送られた後、このソケットは、無効にされる。
この代わりに、インターフェースは、ディストリビューティドメッセージハブ(DMH)クライアントクラスのような特殊なクラスを用いるCかC++のコードもしくはCかC++のプロセスで拡張されたTCLプロセスとして構造化されることができる。この場合は、プロセスかツールのイベントを上記ソケット接続を通して集める論理は、IS150のテーブルにこのイベントとこれらのコンテキストデータを挿入するために修正されることができる。
前記ツールエージェントは、APCシステムにイベントとコンテキストの情報を与えるために、メッセージを送ることができる。例えば、このツールエージェントは、ロットのスタートとストップのメッセージと、バッチのスタートとストップのメッセージと、ウエハのスタートとストップのメッセージと、レシピのスタートとストップのメッセージと、プロセスのスタートとストップのメッセージとを送ることができる。この上、前記ツールエージェントは、セットポイントデータを送り、並びに/もしくは受け取り、メインテナンスカウンタデータを送る、ならびに/もしくは受け取るために用いられることができる。
一実施の形態では、共通のツールエージェントが、複数のプロセスツール上にインストールされることができる。共通のツールエージェントは、インターフェースのメッセージのフォーマットを共通にすることを可能にすることができる。例えば、コミュニケーションメッセージのフォーマットは、メッセージIDから終了部分(terminator)のメッセージの長さであるメッセージ長と、コマンドとイベントの特定に用いられるメッセージIDと、コマンドもしくはイベントの内容を含んでいるメッセージ本体との3つの部分を有する。この上、このメッセージは、アスキーコードを用いることができ、その長さは可変である。また、各々のメッセージは、コントロールコードにより分けられることができ、終了部分が用いられることができる。代わりの実施の形態では、デュアルエージェントがツール上に確立されることができる。
プロセスツールが、内部センサを有する時、このプロセスツールは、センサと考えられることができ、このデータは、前記APCシステム145に送られることができる。データファイルは、このデータを送るために用いられることができる。例えば、プロセスツールの中には、作られたときにツールの中に圧縮されているトレースファイルを作ることができるものがある。圧縮された、並びに/もしくは圧縮されていないファイルが、送られることができる。前記プロセスツールの中にトレースファイルが作られる時、トレースデータは、終点検出の(EPD)データを含むことも含まないこともできる。このトレースデータは、プロセスについての重要な情報を与える。このトレースデータは、更新されることができ、ウエハのプロセスが完了したときに送られることができる。トレースファイルは、各々のプロセスのための固有のディレクトリに送られる。一実施の形態では、ツールのトレースデータとメインテナンスデータとEPDのデータとは、プロセスツール110から得られることができる。
図1では、4つのプロセスモジュールが示されているが、このことは、本発明のためには必要とされていない。半導体のプロセスシステムは、プロセスツールと関連したあらゆる数のプロセスモジュールを有するあらゆる数のプロセスツールと独立したプロセスモジュールを有することができる。前記APCシステム145(1つかそれより多くのTLコントローラを有する)は、プロセスツールと関連したあらゆる数のプロセスモジュールを有するあらゆる数のプロセスツールと独立したプロセスモジュールを形作り、コントロールし、監視するために用いられることができる。このAPCシステムは、プロセスツールとプロセスモジュールとセンサとを伴うプロセスからのデータを集め、与え、処理し、記憶し、表示することができる。
プロセスモジュールは、IDとモジュールタイプとガスパラメータとメインテナンスカウンタとのようなデータを用いて特定されることができ、このデータは、データベースにセーブされることができる。新しいプロセスモジュールが形作られると、GUI構成成分180におけるモジュール設定パネルもしくはスクリーンをもちいて、このタイプのデータが、与えられることができる。例えば、前記APCシステムは、Tokyo Electron Limitedからの以下のツールタイプをサポートすることができる。それは、Unityに関連したプロセスモジュールと、Triasに関連したプロセスモジュールと、Teliusに関連したプロセスモジュールと、OESに関連したモジュールと、ODPに関連したモジュールとである。図2は、Tokyo Electron Inc.からのシステムの例示的なブロックダイヤグラムを示している。この代わりに、このAPCシステムは、他のツールとこれらに関連したプロセスモジュールをサポートすることができる。例えば、前記APCシステム145は、インターネット接続かイントラネット接続かにより前記プロセスモジュール120に接続されることができる。
前記プロセスモジュールのIDは、整数であることができる。ガスのパラメータの数は、モジュールタイプに依存することができ、前記メインテナンスカウンタの情報はこのモジュールに依存することができる。例えば、特定のメインテナンスカウンタに新しい名前を割り当てることができ、特別なスケールレートを割り当てることができ、このメインテナンスカウンタにツール休止関数を割り当てることができる。メインテナンスカウンタの一部として、一般的なカウンタを与えられることができ、一般的なカウンタは、ユーザにより設定されることができる。
図示された実施の形態において、単一のセンサ130が、関連されたプロセスモジュールとともに示されているが、これは、本発明のために必要とされていない。あらゆる数のセンサが、プロセスモジュールと結合されることができる。前記センサ130は、ODPセンサとOESセンサとVIPセンサとアナログセンサとディジタルプローブを有する他のタイプの半導体プロセスセンサとを有することができる。APCのデータ管理アプリケーションは、様々なセンサからのデータを集め、処理し、記憶し、表示し、出力するために用いられることができる。
前記APCシステムにおいて、センサのデータは、外部と内部のソースの両方により与えられることができる。外部のソースは、外部のデータレコーダのタイプを用いて定義されることができる。データレコーダのオブジェクトが、外部のソースの各々に割り当てられることができ、状態変数の表現が用いられることができる。
センサの設定情報は、センサのタイプとセンサのインスタンスパラメータを結合する。センサのタイプはセンサの機能に対応する一般的な用語である。センサのインスタンスはこのセンサのタイプと特定のプロセスモジュール並びにツールとを対にする。少なくとも1つのセンサインスタンスが、ツールに取り付けられた物理的なセンサの各々に対して設定されている。
例えば、OESセンサは、一つのタイプのセンサであることができ、VIプローブは、他のタイプのセンサであることができ、アナログセンサは異なるタイプのセンサであることができる。加えて、追加の一般的なタイプのセンサと追加の特定のタイプのセンサがあることができる。センサのタイプは、運転時に特定の種類のセンサを設定するのに必要とされる全ての変数を有している。これらの変数は、静的(このタイプの全てのセンサは、同じ値を持つ)であることができ、インスタンスにより設定可能である(このセンサのタイプのインスタンスの各々は、独自の値を持つことができる)ことができ、データ収集プランにより動的に設定可能である(このセンサが運転時に作動されている各々の時に、センサは、異なる値を与えられる)ことができる。
「インスタンスにより設定可能な」変数は、センサもしくはプローブのIPアドレスである。このアドレスは、インスタンスにより(プロセスチャンバの各々に対して)代わるが、運転から運転で変化しない。「データ収集プランにより設定可能な」変数は、高調波の周波数のリストであることができる。これらは、前記コンテキスト情報に基づいてウエハの各々に対して異なるように設定されることができる。例えば、ウエハのコンテキスト情報は、ツールIDとモジュールIDとスロットIDとレシピIDとカセットIDとスタート時刻と終了時刻とを有することができる。おなじセンサのタイプの多くのインスタンスがあることができる。センサのインスタンスは、特定のハードウェアに対応し、センサのタイプをツール並びに/もしくはプロセスモジュール(チャンバ)に結びつけている。換言すると、センサのタイプは、一般的であり、センサのインスタンスは、固有である。
図1に示されているように、前記センサインターフェース140は、前記センサ130と前記APCシステム145との間のインターフェースを与えるために用いられることができる。例えば、前記APCシステム145は、インターネットもしくはイントラネット接続によりセンサインターフェース140に接続されることができ、このセンサインターフェーズ140は、インターネットもしくはイントラネット接続により前記センサ130に接続されることができる。また、このセンサインターフェース140は、プロトコルコンバータとメディアコンバータとデータバッファととして作用することができる。加えて、このセンサインターフェース140は、データ取得やピアトゥピア通信やI/Oスキャニングのようなリアルタイムな関数を与えることができる。この代わりに、このセンサインターフェース140は、消去されることができ、前記センサ130は、直接前記APCシステム145に結合されることができる。
前記センサ130は、静的もしくは動的なセンサであることができる。例えば、動的なVIセンサは、周波数範囲とサンプリング時間とスケーリングとトリガとデータ収集プランにより与えられたパラメータを用いて実行時間に確立されたオフセット情報とをもつことができる。このセンサ130は、静的並びに/もしくは動的であることができるアナログセンサであることができる。例えば、アナログセンサは、ESC電圧のためのデータと、マッチャ(matcher)パラメータと、ガスパラメータと、流量と、圧力と、温度と、RFパラメータと、他のプロセスに関連したデータとを与えるために用いられることができる。このセンサ130は、VIPプローブとOESセンサとアナログセンサとディジタルセンサとODPセンサと他の半導体プロセスセンサとのうち少なくとも1つを有することができる。
一実施の形態では、生の(raw)データファイルにデータポイントを書くことができる。例えば、前記IS150は、データ取得を開始するためにセンサインターフェースにスタートコマンドを送ることができ、ファイルを閉じさせるためにストップコマンドを送ることができる。前記IS150は、センサのデータファイルを読みパーシング処理をし、そのデータを処理し、データの値をメモリ内のデータテーブルにいれることができる。この代わりに、前記センサインターフェースは、そのデータをリアルタイムで前記IS150に流すこともできる。前記センサインターフェースがファイルをディスクに書くことを可能にするためにスイッチも与えられることができる。このセンサインターフェースは、ファイルを読みオフラインの処理と解析のために前記IS150にデータポイントを流すための方法を与えることもできる。
図1に示されているように、前記APCシステム145は、データベース190を有することができる。ツールのメインテナンスデータは、このデータベース190に記憶されることができる。加えて、前記ツールからの生のデータとトレースデータとが、ファイルとしてデータベース190に記憶されることができる。データの量は、プロセスが実行されプロセスツールが実行される頻度はもちろん、ユーザにより設定されたデータ収集プランに依存している。例えば、データ収集プランは、どのようにそしていつツールの状態とプロセスに関連したデータを集めるのかを決定するために確立されることができる。前記プロセスツールとプロセスチャンバとセンサとAPCシステムから得られたデータは、テーブルに記憶される。
一実施の形態において、このテーブルは、前記IS150においてはメモリ内テーブルとして、前記データベース190においては、持続性のストレージとして実行される。前記IS150は、前記テーブルにデータを置くことはもちろん、カラムとロウの生成にStructured Query Language(SQL)を用いることができる。このテーブルは、前記データベース190の持続性のテーブルにおいて複製されることができ(すなわち、DB2が使われることができる)、同じSQLのステートメントを用いて設けられることができる。
図示された実施の形態では,前記IS150は、メモリ内リアルタイムデータベースとサブスクリプションサーバの両方であることができる。例えば、クライアントプロセスは、関連のあるデータテーブルのよく知られたプログラムモデルを用いてSQLを使ってデータベース関数を実行することができる。加えて、このIS150は、選択基準にあうデータが挿入され、更新され、消去される時はいつでも前記クライアントソフトウェアが非同期の通知を受け取るデータサブスクリプションサービスを与えることができる。サブスクリプションは、未来のデータの変化の通知をフィルタするために、どのテーブルのカラムが関心があり、どのロウの選択基準が用いられているのかを明記するためにSQLの選んだステートメント(SQL select statement)を完全に活用する。
前記IS150は、データベースであり、サブスクリプションサーバであるから、存在するテーブルデータが初期化される時に、クライアントは、存在するテーブルデータに「同期化された」サブスクリプションをひらくことができる。このIS150は、パブリッシュ/サブスクライブ機構と、メモリ内のデータテーブルと、イベントを整理する監視するためのロジックとを通して、データの同期化を与え、システムを通して警報する。このIS150は、ソケットとUDPとパブリッシュ/サブスクライブとを有する技術に基づいたいくつかのメッセージングTCP/IPを与える。
例えば、このIS150の構造は、リアルタイムのデータ管理とサブスクリプション機能とを与えることができる多くのデータハブ(すなわち、SQLデータベース)を用いることができる。アプリケーションモジュールとユーザインターフェースとは、このデータハブの中の情報にアクセスし、この情報を更新するためにSQLのメッセージを用いている。ランタイムデータを関連のあるデータベースにおくことに関連したパフォーマンスの制限のために、ランタイムデータは、前記IS150により管理されているメモリ内のデータテーブルに置かれている。これらのテーブルの内容は、ウエハプロセスの終わりに関連のあるデータベースにおかれることができる。
図1に示された図示された実施の形態では、単一のクライアントワークステーション170が示されているが、そのことは、本発明に必要とされていない。前記APCシステム145は、複数のワークステーション170をサポートすることができる。一実施の形態では、このクライアントワークステーション170は、ユーザが、センサを設定することと、ツールとチャンバとセンサとの状態を含む状態を見ることと、プロセスの状態を見ることと、ヒストリカルデータを見ることと、故障(fault)データを見ることと、モデリング関数とチャーティング関数とを実行することとを、可能にしている。
図1に示された図示された実施の形態では、前記APCシステム145は、前記IS150に結合されることができるAPCサーバ160と、クライアントワークステーション170と、GUI構成成分180と、データベース190とを有することができるが、このことは、本発明に必要とされていない。このAPCサーバ160は、少なくとも1つのツールに関連したアプリケーションと、少なくとも1つのモジュールに関連したアプリケーションと、少なくとも1つのセンサに関連したアプリケーションと、少なくとも1つのISに関連したアプリケーションと、少なくとも1つのデータベースに関連したアプリケーションと、少なくとも1つのGUIに関連したアプリケーションとを有する多くのアプリケーションを有することができる。
前記APCサーバ160は、多数のプロセスツールをサポートし、ツールとプロセスモジュールとセンサとプローブとからのデータを収集し同期化し、データベース内にデータを記憶しユーザが存在するチャートを見ることを可能にし、並びに/もしくは故障の検出をあたえる少なくとも1つのコンピュータとソフトウェアとを有している。例えば、このAPCサーバ160は、Tokyo ElectronからのIngenioソフトウェアのようなオペレーショナルソフトウェアを有することができる。このAPCサーバは、オンラインシステム設定と、オンラインのロットからロットの(lot-to-lot)故障の検出と、オンラインのウエハからウエハの故障の検出と、オンラインのデータベースの管理とを可能にし、ヒストリカルデータに基づいたモデルを用いてサマリ(summary)データの多変量解析を実行している。加えて、APCは、プロセスとプロセスツールのリアルタイムの監視を可能にしている。
例えば、最小で3ギガバイトの利用可能なディスク領域と、少なくとも600MHzのCPU(デュアルプロセッサ)と、最小で512メガバイトのRAM(物理メモリ)と、RAID 5設定の9ギガバイトのSCSIハードドライブと、最小で前記RAMのサイズの2倍のディスクキャッシュと、ソフトウェアのインストールされたWINDOWS(登録商標)2000サーバと、Microsoft Internet Explorer(登録商標)と、TCP/IPネットワークプロトコルと、少なくとも2枚のネットワークカードとを有することができる。
テーブルへのソフトウェアインターフェースは、TCLとSQLとの組み合わせにより与えられている。例えば、バックグラウンドで作動しているローダのプロセスは、ファイルからデータベースにSQLコマンドを送るデータベースにデータをおくことができる。メモリ内テーブルから持続性のテーブルへのデータの移動は、SQLをファイルに書き、そのファイルをローダのディレクトリに置くことによりなされることができる。このSQLが、実行された後、このファイルは、ローダのディレクトリから自動的に消去されることができる。
システムで収集されたデータは、リアルタイムのセンサの収集とデータベースの記憶との間の一組の段階を通して流れる。システムから収集されたデータは、まず前記IS150のリアルタイムのメモリSQLデータベースにロードされている。SQLデータベースは、前記APCシステムのデータ管理部分におけるプランを通してユーザにより定義された異なるアルゴリズムにより、そしてコントロールジョブにより定義されたスクリプトにより、処理されるべきデータの物理的な位置を与えている。
前記APCシステム145は、センサからの生のデータを有するファイルとツールからのトレースデータを有するファイルとを記憶する少なくとも1つの記憶装置を有することができる。もし、これらのファイルが、きちんと管理されていないなら(すなわち、定期的に消去されていない)、この記憶装置は、ディスク領域が不足しえて、新しいデータの収集を止めてしまう可能性がある。このAPCシステムは、ユーザに古いファイルを消去することを可能にし、それによりデータ収集が中断することなく続くことができるデータ管理アプリケーションを有することができる。このAPCシステム145は、システムを操作するために用いられる複数のテーブルを有することができ、これらのテーブルは、前記データベース190に記憶されることができる。加えて、オンサイトかオフサイトのコンピュータまたはワークステーション並びに/もしくはホストのような他のコンピュータ(図示されていない)は、1つもしくは多くのツールに対して、データもしくはチャートを見ること、SPCチャーティング、EPD解析、ファイルアクセスのような機能を与えることができる。
図1に示されているように、前記APCシステム145は、GUI構成成分180を有することができる。例えば、GUI構成成分は、前記APCサーバ160とクライアントワークステーション170とツール110との上のアプリケーションとして走ることができる。
前記GUI構成成分180は、APCシステムユーザに、所望の設定とデータ収集と監視とモデリングと問題解決のための仕事とを、できるかぎりすくない入力で実行することを可能にする。このGUIの設計は、SEMI Human Interface Standard for Semiconductor Manufacturing Equipment(SEMI Draft Doc.#2783B)と、SEMATECH Strategic Cell Controller(SCC)User−Interface Style Guide1.0(Technology Transfer 92061179A−ENG)とに従う。当業者は、GUIパネルまたはスクリーンは、左から右への、並びに/もしくは、右から左への、下から上への、上から下への、もしくは組み合わせの選択タブの構造を有することができることを認める。
加えて、例示のために示されたスクリーンは、英語バージョンだが、このことは、本発明のためにひつようではなく、異なる言語が用いられることができる。
また、前記GUI構成成分180は、前記APCシステムとユーザの間の相互作用の手段を与えている。このGUIが始まると、ユーザの識別とパスワードを確認するログオンスクリーンが表示されることができ、それは、第1レベルのセキュリティをあたえている。望ましくは、ユーザは、ログオンする前に、セキュリティアプリケーションを用いて登録されることができる。ユーザの認証のデータベースのチェックは、認証レベルを記述し、それにより、利用可能なGUI機能を簡素化する。ユーザが認証されていない選択アイテムは、異なることができ、利用不可能になることができる。前記セキュリティシステムは、またユーザが現存するパスワードを変えることを可能にする。例えば、ログオンのパネルまたはスクリーンは、Netscape(登録商標)かInternet Explorer(登録商標)のようなブラウザツールから開かれることができる。ユーザは、ユーザIDとパスワードとをログオンフィールドに入れることができる。
認証されたユーザと管理者は、GUIのパネルまたはスクリーンをシステム設定とセンサのセットアップパラメータを変更するために用いることができる。前記GUI構成成分180は、ユーザが、プロセスツールとプロセスモジュールとセンサと前記APCシステムとを設定することを可能とするために、設定構成成分を有することができる。例えば、GUIの設定パネルまたはスクリーンは、プロセスツールとプロセスモジュールとセンサとセンサのインスタンスとモジュールの休止と警報との少なくとも1つのために与えられることができる。設定データは、属性データベーステーブルに記憶されることができ、インストール時においては、デフォルト値で設定されることができる。
前記GUI構成成分180は、プロセスツールとプロセスモジュールとセンサと前記APCシステムのために現在の状態を表示するための状態構成成分を有することができる。加えて、この状態構成成分は、1つもしくはそれより多くの異なるタイプのチャートを用いるユーザにシステム関連した、そしてプロセスに関連したデータを提供するためのチャート構成成分を有することができる。
また、GUI構成成分180は、リアルタイムの操作構成成分を有することができる。例えば、GUI構成成分は、バックグラウンドのタスクに結合されることができ、分配されたシステムのロジックは、このバックグラウンドのタスクとGUI構成成分との両方により用いられる共通の機能性を提供する。分配されたロジックは、このGUI構成成分に返された値が、バックグラウンドのタスクに返された値と同じであることを保証するために用いられることができる。さらに、このGUI構成成分180は、APCのファイル管理GUI構成成分とセキュリティ構成成分を有することができる。ヘルプのパネルまたはスクリーンもまた利用可能である。例えば、ヘルプファイルは、PDF(Portable Document Format)並びに/もしくはHTMLフォーマットで与えられている。
図1に示されているように、APCシステム145は、ファクトリシステム105並びに/もしくはE診断システム115に結合されることができる。ファクトリシステム105並びに/もしくはE診断システムは、前記ツールとモジュールとセンサと半導体プロセスシステムにおけるプロセスを外部から監視するためのならびに外部からコントロールするための手段をあたえることができる。この代わりに、前記ファクトリシステム105並びに/もしくはE診断システム115は、ツールの状態の監視を実行することができる。例えば、ユーザは、ファクトリシステム105並びに/もしくはE診断システム115により半導体プロセスシステムに結合されたターミナルに基づいたウェブを用いてツールの状態を監視するシステムにアクセスすることができる。
加えて、前記APCシステムと前記E診断システムは、リアルタイムに問題を解決するために一緒に作動することができる。例えば、前記APCシステム145が、故障を検出した時、その問題を診断するために必要な情報は、このAPCサーバにより束ねられ、前記E診断システムに伝えられるか、後のE診断システムによるアクセスのために記憶される。操作方法は、セキュリティの制約並びに/もしくは顧客のビジネスルールを用いて決定されることができる。
また、APCは、センサを加えるための、そしてコンテキスト並びに/もしくはイベントに駆動されるデータ収集プランを編集するための手段を有している。例えば、このことは、E診断の「プローブ」並びに/もしくはソフトウェア構成成分が、E診断システムがシステムの問題を解決するためにダウンロードされることを可能にすることができる。前記APCシステムは、問題を診断し、検出し、並びに/もしくは予測するために用いられることができる追加のデータをあたえることができる軽便な診断のツールを有することができる。例えば、前記APCシステムは、これらの診断のツールを追加のセンサとして用いることができる。最低のレベルとしてアナログの入力を有する、多数のプロトコルをサポートする一般的なセンサインタフェースを用いて、局所的な軽便な診断ユニットは、前記ファクトリシステムに結合されることができ、それから前記APCシステム、E診断システム並びに/もしくはファクトリシステムにより遠隔的に用いられることができる。
前記APCシステムは、遠隔的に前記ファクトリで開発され、このファクトリもしくは前記E診断システムからダウンロードされた新しいアプリケーションを与えられることができる。例えば、この新しいアプリケーションは、前記APCサーバに局所的に存在することができる。前記APCシステムは、新しい手続きを学び、動的にセンサを加え、アプリケーションを加え、カスタムセンサのためにGUIスクリーンを加えることさえする能力を有する。さらに、このAPCシステムは、いつツール並びに/もしくはモジュールがうまく作動しなかったか(すなわち、ウエハを取り扱うシステムのモータかアクチュエータの腕の位置の問題)を理解するためのタイミング解析の割り当てのような非常に特有な手続きを実行することができる。
加えて、このAPCシステムは、ツールパフォーマンスに基づいてサンプリングレートを変化させることができる。例えば、データ収集のサンプリングレートと解析の量は、ツールの状態に基づいて変化されることができる。このAPCシステムは、また、問題を予測するか、ツール並びに/もしくはモジュールが限界状態近くで動いていることを検出することができる。
加えて、アドバンストユーザと管理者は、システム設定とセンサのセットアップパラメータを変更するためにGUIスクリーンを使うことができ、ツールに関連したストラテジとプランを作り編集することができ、並びに/もしくは、ツールとモジュールの数を変更することができる。
前記APCシステムは、顧客(エンドユーザ)がプロセスツール、プロセスモジュール、並びに/もしくはセンサを加えることを可能にする設定可能なシステムを用いて実行される。このAPCシステムは、顧客が監視ソフトをカスタマイズし、解析アプリケーションを加え、並びに/もしくは新しいツールとモジュールとセンサを環境にインストールし監視することとを可能にする開発環境と方法とを与える。
前記APCシステムソフトウェアの構造は、データ取得構成成分とメッセージシステム構成成分と関連するデータベース構成成分とポストプロセス構成成分との4つの機能上の構成成分を有する。この構造は、またランタイムデータの取得パラメータを記憶するために用いられるメモリ内データテーブルを有する。前記APCシステムの外部にはツールエージェントはもちろん前記ツールがあり、このツールは、データ取得とツールプロセスを同期化するために用いられるコンテキスト情報とスタートストップタイミングコマンドを与える。
前記データ取得構成成分は、パラメータと呼ばれるデータポイントをあつめ、ファイルにそれらを書き込んでいる。前記メッセージシステムは、このデータ取得構成成分から受け取ったランタイムデータの一時的な記憶のためにメモリ内データテーブルを用いている。このメッセージシステムは、エージェント並びに/もしくはツールクライアントにより前記データ取得期間のスタートと終了とを通知されている。データ取得期間の終わりには、そのデータは、関連するデータベースにおかれ、メモリ内データテーブルは、次の取得期間のためにクリアされている。前記メッセージシステムにより供給されたデータのポストプロセスは、ランタイムに実行され、前記関連するデータベースに記憶されたデータのポストプロセスは、オフラインで実行される。
前記APCシステムの目標は、半導体プロセスシステムのパフォーマンスを改善するためにリアルタイムデータとヒストリカルデータとを用いることである。この目標を成し遂げるために、潜在的な問題は、それが起こる前に予測され、正されることができ、このようにして装置のダウンタイムと生産されるノンプロダクトのウエハを減少させている。これは、データを収集し、そのデータを特定のツール、プロセスモジュール並びに/もしくはセンサの振る舞いをモデル化するソフトウェアアルゴリズムに与えることにより達成されることができる。前記APCシステムは、プロセスのパラメータ上の適応を出力し、それは、それからツールパフォーマンスを特定の極限内に保つためにフィードフォワードかフィードバックされている。このコントロールは、様々なレベルで様々な形式で実行されることができる。
前記APCシステムの警報管理部分は、故障検出アルゴリズム、故障分類アルゴリズム、並びに/もしくは故障予測アルゴリズムを与えることができる。このAPCシステムは、いつツール、プロセスモジュール、並びに/もしくはセンサがうまく機能しないのかを予測し、機能不全を正し、メインテナンス機能とプロセス機能の間に生産されたノンプロダクトのウエハの数を減少させるために可能な解決策を特定することができる。
故障の予測は、故障の検出と不良のモデル化の組み合わせである。この方法は、チャンバのクリーニングと消耗部分の置き換えを最適化するために用いられることができ、生産に一時的な停滞がある時に、予防的なメインテナンスタスクの「日和見主義のスケジューリング」を容易にすることを意図されている。故障の予測は、複雑な多変量のモデルか単純な一変数関係(すなわちエッチングにおけるウェットクリーニングのためのAPCの角度)の一方に基づくことができる。例えば、故障の予測は、ツール、プロセスモジュール、並びに/もしくはセンサがいつ失敗するのかと、いつツール、プロセスモジュール、並びに/もしくはセンサにメインテナンスを実行するべきかを予測するために用いられることができる。
前記GUIアプリケーションは、ユーザにセンサがデータを収集しているかどうかを決定する能力を与える。データ収集プランが、センサからのデータを必要としない時、センサの状態は、ユーザにこのセンサはオンになっていることが期待できないという表示を与える。例えば、データ収集プランが、センサからのデータを必要としない時は、そのセンサの状態は「オンライン オフ」のはずであり、ユーザがセンサをシステムレベルで割り込み禁止状態にした時は、状態は、「オフライン オフ」のはずである。
ツール、モジュール、並びに/もしくはセンサのインターフェースは、不良とサービスに関連した妨害に対して耐性がある。加えて、このインターフェースは、セットアップと問題解決の能力がある。例えば、妨害が起こった時、前記ツール、モジュール、並びに/もしくはセンサ、並びに/もしくはAPCシステムは、正しい振る舞いを決定し、機能性の損失を最小化するために、その妨害を検出し、ログ、警報、自動の復元と解析とを初期化することができる。このように、顧客が、ツール、モジュール、センサ、並びに/もしくはAPCシステムが、機能性を減少されて作動している間に、製品を製造する危険は、減少されることができる。
加えて、問題解決、並びに/もしくは、メインテナンスアプリケーションは、サービスとメインテナンスのモードの間に作動することができる。センサのコミュニケーションの問題解決の目的で、センサは、ウエハを実行することなく、テストされることができる。例えば、センサは、ウェブに基づいたGUIから設定され、スタートされ、ストップされることができる。この態様は、センサのセットアップと日常のセンサのメインテナンスにおいてひろく用いられることができる。
前記APCシステムは,Tokyo Electron Limited,INCからのDoc.No.1D97−A50003−21に示されているように設置され設定されることができる。この文書は、「APC Installation/Startup Manual」と題され、参照によりその全体において本発明に組み込まれている。
図3は,本発明の一実施の形態による前記APCシステムのための単純化されたデータフローダイヤグラムである。主要なデータフローの道は、図3に示されている。前記APCソフトウェアの構造は、データ収集サブシステムとメッセージシステムサブシステムと関連するデータベースサブシステムとポストプロセスサブシステムとの4つの機能上のサブシステムを有する。この構造は、またランタイムデータ取得パラメータを記憶するために用いられるメモリ内のデータテーブルを有している。このAPCソフトウェアの外部に、前記ツールエージェントはもちろん、前記ツールプロセスとデータ取得を同期化するために用いられるコンテキスト情報とスタートストップタイミングコマンドを与える前記ツールがある。
前記データ取得サブシステムは、パラメータとよばれるデータポイントを収集し、それらをファイルに書き込んでいる。前記メッセージシステムは、データ取得サブシステムから受け取ったランタイムデータを一時的に記憶するために、メモリ内データテーブルを用いる。前記エージェントクライアントは、このメッセージシステムにデータ取得期間の始めと終わりを通知している。このデータ取得期間の終わりには、このデータは、関連するデータベースにおかれ、メモリ内のデータテーブルは、次の収集期間のためにクリアされる。前記メッセージサブシステムにより与えられたデータのポストプロセスはランタイムに実行され、前記関連するデータベースに記憶されたデータのポストプロセスは、オフラインで実行される。
データ収集としても知られるデータ取得は、2つのルートを通して達成されている。前記ツールは、ウエハの処理の間にデータを収集し、そのデータをトレースファイルに記憶する。各々のウエハが、前記ツール上で処理された後、このトレースファイルは、前記ツールから前記APCファイルシステムにコピーされ、前記APCソフトウェアは、このファイルをパーシング処理し、メモリ内データテーブルにそのデータをおいている。メモリ内データは、それから前記関連するデータベースに送られ、最終的には、ポストプロセス構成成分に置かれる。
プロセス関連のデータは、前記APCシステムにより、1つもしくはそれより多くのセンサを用いて収集される。各々のセンサは、データレコーダを用いる。ランタイムには、このデータは、前記ツール上のトレースファイルと同様のファイルに送られる。レシピの終わりでは、このファイルは、パーシング処理され、そのデータは、前記IS150により管理されているメモリ内データテーブルに送られる。
ポストプロセスのデータフローは、2つの道の1つに従っている。このポストプロセスが、ランタイムに行われるときは、データは、前記IS150から少なくとも1つのポストプロセス構成成分に流れている。このポストプロセスが、オフラインで行われている時、このデータは、前記関連するデータベースから前記ポストプロセス構成成分に流れている。これらの道は、図3に示され、それぞれ「ランタイム」と「オフライン」とラベルされている。
図4は、本発明の実施の形態による故障の検出と分類のプロセス(FDC)のための単純化されたフローダイヤグラムを示している。図示された実施の形態において、APCの構造の様々な構成成分とともに、エッチングのプロセスモジュールが示されている。
図4において、入ってくるウエハの状態と出て行くウエハの状態が示されている。例えば、この入ってくるウエハの状態は、ウエハID、ロットID、カセットナンバ、スロットID、プロセスステップもしくはレイヤナンバ、プロセスレシピからなるコンテキストによって特徴付けられることができる。入ってくるレイヤの厚さのような追加の情報も利用することができる。また、前記出て行くウエハの状態は、ウエハID、ロットID、カセットナンバ、スロットID、プロセスステップもしくはレイヤナンバ、プロセスレシピからなるコンテキストによって特徴付けられている。
エッチングプロセスモジュールもしめされているが、他のモジュールが使われることができる。例えば、プラズマエッチングプロセスは、レシピに基づくことができ、1つもしくはそれより多くのステップを有することができる。ステップは、連続して番号付けされることができ、プロセスのセットポイントが一定である時間を参照している。プロセスのセットポイントは、レシピに依存してステップの境界で変えられる。
加えて、モデル導出構成成分が、示されている。例えば、モデル導出構成成分は、プロセスモデルエンジンが、現在のウエハプロセス上での解析を実行するのに必要とされる設定とデータを有することができる。この設定は、ユーザ、普通はプロセスの技術者、から与えられることができ、センサの設定と、集めるべきプロセス変数のリストと、集めるべきセンサ変数のリストと、この変数のそれぞれをどのように処理するかについての指示と、どのタイプのモデルを実行するかという選択とを有している。前記データは、通常作動するプロセスを表すトレーニングセットから抽出された値を有することができる。
図4には、プロセスモジュール(PM)状態とセンサとプロセスデータとの構成成分が、示されている。APCシステムの一つの機能は、様々なセンサからデータを集め、このデータの収集と前記エッチングツールにおけるプロセスを同期化することである。集めるべきデータの数とタイプは、データ収集プランと呼ばれる設定パラメータに依存している。プロセスモジュール(PM)状態とセンサデータとプロセスデータとは、テーブルの中に集められることができる。データ収集は、ツールエージェントクライアントによって報告されているように、前記ツールエージェントからくるイベントによってエッチングのプロセスと同期化される。
図4に示されているプロセスモデルエンジンは、現在のプロセスを前記トレーニングセットによって表される以前のウエハプロセスと比較する様々な方法はもちろん、生のデータの処理と解析を与えることができる。データ解析プランに基づいて選ばれた変数のサマリが、作られることができ、サマリ化されたデータは、前記モデルエンジンに送られることができる。例えば、このモデルエンジンは、「PCA」モデルと「PLS」モデルとをサポートすることができる。典型的には、プロセス変数だけが知られているならば、PCAが、用いられる。もし、プロセス変数と計測データとが利用できるならば、PLSが用いられる。
データ管理システム構成成分も、図4に示されている。前記APCシステムにおいて、データと実行される動きはこのデータ管理システムにおかれている。このデータ管理システムは、センサとプロセスとのデータをセーブするためのファイルシステムはもちろん、持続性の(persistent)データベースからも成り立っている。センサのデータとプロセスのデータとセンサとプロセスのデータの処理の結果は、前記持続性のデータベースと、データ収集プランとデータ解析プランとの設定に依存したファイルシステムとにおかれる。
図4では、「期待される結果もしくは期待される結果からのずれ」とラベルされているモデルの出力構成成分が、示されている。例えば、プロセスモデルエンジンからの出力は、1つもしくはそれより多くの数値の形の、期待された結果もしくは期待された結果からのずれである。PCAもしくはPLS解析の場合、値は、データベースにおける統計的なパラメータとしてサマリ化されるプロセス変数の集まりを表しており、「T2とQ」とラベルされている。他のサマリは、データ解析プランにおける設定に基づいてプロセスモデルエンジンにより作られることができる。
成成分が、図4に示されており、その中で、前記期待された結果もしくは期待された結果からのずれは、「Western Electric Rules」と呼ばれるパターン整合ルール(pattern matching rules)のセットはもちろん、上方および下方の数値制限からなるSPC実行ルール(run-rules)を用いて、比較されている。プロセス制限という用語は、特定のプロセスのために確立された数値のセットに言及している。これらの値は、上方コントロール制限と下方コントロール制限とを有する。プロセスが、この制限の中にない時に実行される動きは、解析ストラテジにおける設定に基づいている。
1において、前記入ってくるウエハの状態が、エッチングプロセスモジュールに与えられている。例えば、この入ってくるウエハは、ウエハID(スクライブ)と、ロットIDと、レイヤナンバもしくはプロセスステップと、カセットナンバと、スロットIDと、エッチングレシピネームとにより特徴付けられることができる。この情報は、エッチングプロセスに先立ってエッチングツールにわたされ、「ウエハイン」イベントとともに前記APCシステムに渡される。イベントと関連したデータとは、前記ツールエージェントからツールエージェントクライアントに送られる。「ウエハイン」のイベントを受け取るとすぐに、前記APCサーバは、メモリ内のテーブルに関連したデータをおき、レシピの名前に基づいて前記データ収集プランにより必要とされるセンサ設定の検査を行い、各々のせんさに設定を送っている。後に、エッチングプロセスは、前記ツールエージェントから前記ツールエージェントクライアントに「レシピ開始」イベントを送り、エッチングプロセスの開始を合図している。前記APCサーバは、「取得開始」のコマンドを各々のセンサに送ることにより応答している。加えて、他のイベントが、エッチングウエハプロセスの間に可能である。
2において、前記エッチングプロセスモジュールは、PM状態とセンサデータとプロセスデータとのようなデータを与えている。例えば、エッチングプロセスが終了するとすぐに、エッチャモジュールは、エッチングプロセスのデータファイルをエッチャ上のローカルディスクドライブに書き込むことができ、「レシピ終了」イベントを前記ツールエージェントからツールエージェントクライアントにおくることができる。「レシピ終了」のイベントを受け取るとすぐに、前記APCサーバは、データファイルを前記ツールからAPCサーバファイルシステムに転送している。ファイル転送が終了すると、前記APCサーバは、プロセスファイルとセンサデータの各々をパーシング処理し、その結果をメモリ内のテーブルにおく。
3では、エッチングプロセスデータは、出て行くウエハ状態を確立するために用いられることができる。例えば、プロセスの終了とエッチングプロセスからのウエハの除去とは、前記ツールエージェントからツールエージェントクライアントへの「ウエハアウト」イベントにより合図されることができる。前記APCサーバは、このイベントと関連するデータをメモリ内のテーブルにおき、ウエハの対象と関連しているあらゆるプロセスルーチンを開始することにより応答することができる。
4では、前記モデルの導出の出力は、前記モデルエンジンに与えられている。例えば、サマリ計算の完了がプロセスモデルの実行のトリガになることができる。この時点で、指示と以前に解析されたトレーニングセットからの入力データとのセットからなる前記モデルの導出は、前記プロセスモデルエンジンに読み込まれている。このモデルの導出は、どのように結果を期待された結果からのずれとして出力するかという指示を有することができる。
5において、前記PM状態とセンサのデータとプロセスのデータとは、前記モデルエンジンに与えられることができる。例えば、一度プロセスとセンサのデータが、前記メモリ内のテーブルにおかれると、データの処理が始まることができる。プロセスは、サマリの計算をし、エッチングのプロセスのレシピの中の個別のプロセスのステップの統計的なサマリをつくることにより進む。実行するべき統計的な計算のパラメータとタイプの選択は、ユーザにより設定可能である。平均と標準偏差と最小と最大は、各々のパラメータとプロセスのステップに対して計算されることができる。これらの計算の結果は、メモリ内テーブルにさらなるプロセスのためにおかれることができる。サマリ計算の処理の完了は、計量的な結果が必要とされていないと仮定するならば、プロセスモデルの実行のトリガとなる。
6において、前記モデルエンジンは、結果を出力している。例えば、前記プロセスモデルエンジンの実行は、前記モデルの導出における指示に依存して、期待された結果もしくは期待された結果からのずれの形で、1つもしくはそれより多くの数値の結果をつくっている。これらの結果の各々は、設定可能な運転のセットに対する評価のための実行ルール評価プロセスに送られることができる。これらのルールは、プロセスが限界の内側にあるかないかを示す値のセットに対する現在の点の評価を有する。
7から10において、プロセスが、前記限界の内側にない時の状況に対して、プロセスが示されている。例えば、故障が、検出されている時、システムは、技術者に知らせるように設定されている。これは、電子メイルを送るか、適切な人もしくは人々を呼び出す警報システムを通して実行されることができる。
故障が検出されている時、システムは、ツールを休止するように設定されることができる。これは、特定のツールメインテナンスカウンタに値を送ることにより実行されることができる。故障が、検出されている時、システムは、メインテナンスを示唆するように設定されることができる。これは、電子メイルを送るか、適切な人もしくは人々を呼び出す警報システムを通して実行されることができる。故障が、検出される時、システムは、この故障を分類するように設定されることができる。これは、(もし、前記プロセスモデルが、PCAかPLSを用いているなら)トレースチャートへのコントリビューションチャートへの警報を記述するSPCチャートからのドリルを含む手動操作であることができる。
11と12とにおいて、データが、前記データ管理システムに送られている。例えば、故障が検出されないなら、このイベントは、このデータ管理システムにおかれることができる。また、故障が検出され、もしくは修正が必要とされているときは、故障活動(fault action)が、持続性のデータベースにおかれることができる。
13と14とにおいて、データが、前記モデルエンジンと前記データ管理システムの間で交換されている。例えば、プロセスのためのデータが、前記プロセスモデルエンジンによって処理され解析された後に、それは、前記持続性のデータベースにおかれることができる。加えて、特定のシナリオでは、プロセスの現在の状態との比較のために前記プロセスモデルエンジンにおいて再構成される以前のプロセスの運転からのヒストリカルデータを必要としている。また、前記プロセスモデルエンジンは、プロセスとセンサのデータに基づいたCDを予測することができる。長い間、このようなモデルは、実際のプロセスパフォーマンスからそれることが知られている。実際の計測的な結果との定期的な比較が、プロセスモデルが、この逸脱から正されることを可能にする。
前記APCシステム145(図1)は、システムを操作するために用いられる複数のテーブルを有することができ、これらのテーブルは、データベース190(図1)に記憶されることができる。
前記テーブルは、入力センサ装置、プロセスツール、解析モジュール、出力インターフェース、装置資源などのようなシステムの構成成分を設定するために用いられることができる。例えば、構成成分テーブルは、独自のモジュールもしくは構成成分IDの割り当て、モジュールもしくは構成成分の記述などのような項目を有することができる。
また、このテーブルは、属性テーブルを有することができ、構成成分テーブルのエッチング構成成分は、装置の位置、クロックのオフセット、最後の較正の時間、所有者の技術者などの事柄を特定するために用いられることができる、この属性テーブルにおける多くの関連する記録を有することができる。例えば、前記属性テーブルは、前記ツール、チャンバなどのための設定情報を有することができる。システムの設定パラメータは、この属性テーブルに、type=’system’とID=’configuration’で記録されることができる。テーブルの中の残りのカラムである名前と値とは、特定のパラメータとその値とを特定するために用いられる。
加えて、APCシステム設定のGUIスクリーンは、前記属性テーブルからデータを得ることができる。例えば、ユーザが、セーブか消去を実行するとき、属性テーブルの操作データは、更新されることができる。
前記APCシステムは、また構成成分のための(名前,値)の対と、プロセスの運転と、モデルデータベースと、他のものとの標準化された記憶を管理するために用いられる運転属性テーブルを有することができる。この独立したテーブルでは、対の数に制限がなく、特定の項目が、標準化されインデックスのつけられた表現のためにはやく見つけることができる。テーブルの中には、標準化されていない属性領域をもつものがある。これは、属性の期待される数が小さく、使用パターンが個々の値へのよい直接のアクセスを要求しない場合になされる。
関連テーブルは、また前記APCシステムに含まれることができる。それは、関連を記述するために用いられる一般的なテーブルである。例えば、モデルのインプットデータのテーブルをもつモデルの関連は、parent type=‘model’、parent ID=‘my model1’、item type=‘table’、item ID=‘model data1’、attributes=’{last edit{1999−05−19 09:30:23}}‘を有することができる。
加えて、前記APCシステムは、生の運転(raw runs)のためのヘッダテーブルを定義するために用いられることができるプロセス運転テーブルを有することができる。プロセス運転テーブルは、開始時間、ウエハ、材料のタイプなどのような項目を有することができる。コンテキストのロウは、ソフトウェアが、コンテキストを知ることができるようにどんな関連した生のロウよりも前に作られる。運転のほかの関連した属性は、前記属性テーブルに記憶され、運転IDのようなパラメータを用いて結合される。
さらに、前記APCシステムは、運転のためにセンサのための設定と操作パラメータを有することができる装置運転テーブルを有することができる。例えば、この装置運転テーブルは、また生の運転データにどんな観測が書かれるのかを記述するヘッダデータを有することができる。これは、設定と収集されたデータが、運転から運転で変えられることを可能にする。
加えて、前記APCシステムは、生の観測を収集し発行するために用いられることができる生の運転データテーブルを有することができる。例えば、ウエハの各々は、それに関連した生の運転データテーブルを有することができる。
生のセンサのモデルデータテーブルへの読み込みのマッピングを設定するために、モデルマップテーブルが、使われることができる。例えば、出力テーブルが、値を失うことなく完全なロウを持つように設定されることができ、内挿データもしくは、以前の観測の使用のような設定オプションがあり、出力を構成している間に用いられることができる。テーブルは、また属性テーブルに誰がマップを設定したのか、いつそれが直されたのかなどを記述する属性を有することができる。
また、前記APCシステムは、モデルデータテーブル中のカラムを記述するために用いられることができるモデルカラムテーブルを有することができる。例えば、データは、新しいモデルデータテーブルを動的につくるために用いられることができる。モデルデータテーブルは、モデル解析のために入力と出力とのデータを保持するために動的に作られたテーブルであることができる。テーブルの作成と数は、データマップテーブルをモデル化するためにロウにおいて設定されることができる。
前記APCシステムは、また運転サマリ情報を有する運転サマリテーブルを有することができる。例えば、運転サマリテーブルは、運転IDと運転タイプと値の名前と開始ステップと終了ステップと値の最小と値の最大とのような情報を有することができる。
前記APCシステムは、また警報情報(すなわち、タイムスタンプ、警報コード、記述など)を有することができる少なくとも1つの警報テーブルを有することができる。
多変量解析モデルテーブルも、与えられることができる。例えば、このテーブルと関連するテーブルとは、Simca−Pのような多変量モデリングアプリケーションの出力をパーシング処理することにより埋められることができる。設定ダイアローグは、ユーザに、パーシング処理されることができない、もしくは計算されることのできないデータ項目をプロンプトで指示をだして得るために用いられることができる。
前記APCシステムは、システム操作の間に用いられる多くのアプリケーションを有することができ、このアプリケーションは、ツールAPCマスタアプリケーションとAPC警報アプリケーションと1つまたはそれより多くのセンサインターフェースアプリケーションとAPCデータベース管理サポートアプリケーションとAPCデータ収集サポートアプリケーションとAPCイベント管理サポートアプリケーションとプローブインターフェースアプリケーションとAPCプロセスチャンバサポートアプリケーションとAPCストラテジ選択アプリケーションとツールインターフェースアプリケーションとウエハデータアプリケーションとAPCプラン実行アプリケーションとを有することができる。
加えて、前記APCシステムは、ソフトウェアがインストールされているネットワーク上のいかなる場所からも実行されることができるデバッグと診断のアプリケーションを有することができ、これらのアプリケーションは、前記APCシステムを検査し、診断し、デバッグし、並びに/もしくはこのAPCシステムを変更するために用いられることができる。例えば、前記APCサーバ160は、ファイルのメインテナンスを実行することができる。この代わりに、ワークステーションが、ファイルのメインテナンスを実行する。ログファイルは、前記データベース190に記憶されることができ、前記APCシステムの問題の解決をするために用いられる。これらのファイルは、限られただけの時間の間、保持されることができる。システムエラとアプリケーションの故障の他の原因は、ログファイルの中で見つけられることができる。例えば、ログファイルは、システムエラメッセージとイベントタイミングとのような情報を有する警報ログと、イベント情報を有するツールイベントログと、前記APCサーバ上での活動の履歴を有するオペレーティングシステムログとを有することができる。これらのログは、メインテナンスが最小になるように、サーキュラログ(一度ファイルがあるサイズに達すると一番古いデータを消去)のために設定されることができる。
また、前記APCシステム145は、警報とイベント情報とを顧客の製作実行システム(MES)に与えることができるインターフェースアプリケーションを有することができる。
前記インターフェースサーバのメッセージ構成成分は、アプリケーション間の接続とメッセージの流れとを与えるために用いられることができる。例えば、アプリケーションモジュールとユーザインターフェースとは、データハブ中の情報にアクセスし更新するためにSQLメッセージを用いることができる。
ツールAPCマスタアプリケーションは、例えば、シャットダウン時にクリーンアップするために用いられることのできるシャットダウンオールの方法と、パラメータを初期化するために使われることができる初期化の方法と、ロジック管理プロセスの優先度を押し上げるために使われることができるLgmgrブーストの方法と、データベースからシステム設定をロードするために使われることができる設定ロードの方法と、データベースからシステム状態変数をロードするために用いられることができる状態ロードの方法と、データベースにシステム設定をセーブするために用いられることができる設定セーブの方法と、前記GUIの時間変数を更新するために用いられることができるGUI時間更新の方法とを有する一組の方法を有することができる。
加えて、ツールAPCマスタアプリケーションは、メモリ変数を用いることができ、前記APCシステムのログリクエストレコードであるシステムログリクエストと、属性データベーステーブルに入り属性データテーブルから出てくるデータを保持する記録フォーマットである属性フォーマットレコードとの、前記ツールAPCマスタアプリケーションと関連付けられた2つのレコードフォーマットがあることができる。
加えて、前記APCシステム145は、このシステムからのAPCとツールの警報を処理することができるAPC警報アプリケーションを有することができる。このAPC警報アプリケーションは、GUIディスプレイのために警報のテキストを保持するために用いられることができる少なくとも1つのメモリ変数を用いることができる。警報ファイルの方法が、APCとツールの警報をデータベースの警報テーブルに書き込むために用いられることができる。この警報ファイルは、警報メッセージとイベントIDとの2つのパラメータを有することができる。この方法は、警報TCLAPIを呼び出し、警報情報を渡している。この警報は、警報管理手続きを実行することにより処理されることができる。例えば、警報が起こったとき、電子メイルが、送られることができ、エントリは、持続性データベースの警報テーブルに書き込まれることができ、警報のテキストは、GUIの状態ディスプレイを用いて表示されることができる。また、ユーザは、警報ログGUIスクリーンを通して警報の内容を見ることができる。
また、前記APCシステム145は、1つかそれより多いセンサインターフェースアプリケーションを有することができる。例えば、少なくとも1つのOESデータレコーダが、各々の光学放出センサからのデータを記録するために用いられることができ、プロセスツールと関連付けられた各々のOESセンサのためのOES装置インターフェースがあることができる。データを収集しデータをファイルに書くサンプルを得る方法と、スタートアップ時に走る初期化する方法と、センサを記録のために設定し書き込むためにファイルを開くセットアップの方法と、OES装置の接続をはじめるデバイス開始の方法と、データの記録を開始する記録開始の方法と、OES装置の接続を終了しコントロールレジスタに書き込みファイルを閉じるデバイス終了の方法とを有するOESデータレコーダアプリケーションと関連付けられた多くの方法があることができる。
加えて、APCデータベース管理アプリケーションが、前記APCシステム145の一部として、日常のデータのバックアップと、データのアーカイブと、APCとSPCDBデータベースの中のデータ消去とを実行するために与えられることができる。(すなわち、バックアップとアーカイブは、圧縮されジップされている)
例えば、BADRRユーティリティプログラムが、チャートのヒストリカルデータポイントをバックアップしパージするために設定されることができる。BADRRGUIモジュールは、どのようにデータが処理されるべきかを決定するために、ユーザが、向かう幾つかのGUIパネルを有することができる。バックアップ機能が、どのデータがバックアップされるべきか決定するために用いられることができる(バックアップデータは、運転されないウエハのデータの設定データからなりたっている)。アーカイブ機能は、アーカイブされるべきデータを決定している。消去機能は、どのデータが消去されるべきか決定し、それらを一度に1つの運転IDごとに処理する。データは、アーカイブされたあとだけ消去されることができる。リストア機能は、記憶されている現在のファイルをバックアップディレクトリに持っていき、それを作業ディレクトリにアンジップし、そのファイル名にしたがって各々のテーブルをリストアすることができる。リトリーブ機能は、アーカイブディレクトリに記憶された選択されたファイルを作業ディレクトリに持っていき、各々のテーブルをそのファイル名にしたがってリトリーブすることができる。リインデックス機能は、テーブルとインデックスとの上の現在の統計を集めることができる。この機能は、最適化プログラムに、データをリトリーブする時に最良のアクセスプランを決定するために用いる最も正確な情報を与えている。リオーグ(reorg)機能は、細分化されたデータを消去するためにロウを再構築し、情報を構成することによりテーブルを再構成している。このリオーグ機能は、前記APCシステムが、データを集めていないときだけ実行されることができる。
APCデータ収集サポートアプリケーションも、前記APCシステム145の中に与えられることができる。このAPCデータ収集サポートアプリケーションは、ゲットロストデータ機能とゲットウエハデータ機能とセットアップセンサ機能とスタートセンサ機能とストップセンサ機能とロードデフォルトプラン機能との少なくとも1つを有する多くの方法を有することができる。
前記APCシステム145において、APCイベント管理サポートアプリケーションも、与えられることができる。このAPCイベント管理サポートアプリケーションは、イベントに基づいて方法をディスパッチングするために用いられることができる。このAPCイベント管理サポートアプリケーションは、アクティブイベントの方法とファイルレディの方法とアラームの方法とロットエンドの方法とロットスタートの方法とレシピエンドの方法とレシピスタートの方法とRFオフの方法とRFオンの方法とウエハインの方法とウエハアウトの方法との少なくとも1つを有する多くの方法を有することができる。さらに、プローブインターフェースアプリケーションが、前記APCシステムの一部として与えられることができる。このプローブインターフェースアプリケーションは、プローブとセンサインターフェースの間の連絡を与えるために用いられることができ、RS−232上の独占のテキストベースのプロトコルを用いることができる。
例えば、SCNx=freqコマンドが、プローブのセットアップの間に出されることができ、イベント中のウエハによってトリガされることができる。変数xは、0から16の範囲のインデックスナンバであることができる。周波数は、ヘルツで特定されることができる。記録は、レシピのスタートイベントが受け取られた時、始まることができる。この時点で、SCNコマンドが、ある固定された期間に出されることができ(すなわち、サンプルの間の時間)、コマンドの値は、レジスタに記憶されることができる。前記SCNコマンドが出されると、プローブは、セットアップコマンドで特定された各々の周波数に対して一連のデータを返すことができる。各々の一連のデータは、周波数と時間と状態と電圧と電流と位相とインピーダンスとを有することができる。
APCプロセスチャンバサポートアプリケーションも、前記APCシステム145に与えられることができる。このAPCプロセスチャンバサポートアプリケーションは、ツールエージェントからイベントを受け取り、グローバルメモリを更新するレシーブツールイベントの方法と、プロセスチャンバのための状態変数を更新するアップデートステートの方法とを有する多くの方法を有することができる。このAPCプロセスチャンバサポートアプリケーションは、プロセスチャンバの状態を記述するために用いられるチャンバ変数と、現在のロットの運転を記述するために用いられるカレントロット変数と、運転IDの一部で各々の時に増加する運転IDカウンタ変数とを有する多くのメモリ変数を有することができる。
また、前記APCシステム145は、ツールインターフェースアプリケーションを有することができる。このツールインターフェースアプリケーションは、ツールのインターフェースとファイル転送と一般的な状態とを管理することができる。例えば、ツールインターフェースアプリケーションは、前記ツールからイベントIDを取り込むチェンジイベントの方法と、装置のインターフェースにより呼び出されるデバイスフェイルドの方法と、装置のインターフェースにより呼び出されるデバイスリカバリの方法と、前記ツールからアクティブなイベントを受け取るアクティブイベントの方法と、前記エージェントのコミュニケーションが失われたときに走るエージェントダウンクリーンアップの方法と、前記エージェントが失敗したかどうかを見るためにアクティブなフラグの状態を検査するチェックアクティブの方法と、固有の方法にイベントをディスパッチングするイベントディスパッチャの方法と、新しい求められていないイベントが前記ツールエージェントから送られてきたときにトリガされるイベントレシーバの方法と、レコードサイズが変化したときにレコードサイズを見つけるゲットツールレコードサイズの方法と、前記ツールからトレースファイルをダウンロードするゲットトレースデータの方法と、前記ツールにコミュニケーションを始めるためにクライアントエージェントをダウンロードするスタートエージェントの方法と、前記エージェントはもちろんエージェントクライアントも停止するストップエージェントの方法とを有する複数の方法を有することができる。
前記APCシステム145は、またウエハデータアプリケーションを有することができる。このウエハデータアプリケーションは、シミュレートされたウエハのデータの動的な割り当てを与えることができる。このウエハデータアプリケーションと関連付けられたメモリ変数は、プールのアレイのサイズにセットされたウエハプールサイズ変数と、ウエハレコードのプールであるウエハプールアレイとを有する。このウエハデータアプリケーションと関連付けられた方法は、前記ツールインターフェースが止められた後にウエハをクリーンアップするクリーンアップの方法と、ウエハのプールを知られた状態に初期化するイニシャライズウエハの方法とを有する。
加えて、前記APCシステム145は、APCストラテジ選択アプリケーションを有することができる。このAPCストラテジ選択アプリケーションは、各々のチャンバにとってアクティブなストラテジの名前を有することができる。例えば、このAPCストラテジ選択アプリケーションは、どのストラテジを実行するかを決定するコンテキストマッチングのような方法を用いることができる。コントロールストラテジは、コントロールストラテジの名前を特定するか、コントロールストラテジをダウンロードすることにより、ホストコンピュータにより選ばれることができる。
前記APCシステムの運転は、コンテキストにより駆動されているストラテジとプランとを用いて確立されることができる。ストラテジは、前記APCシステム上で一組のシーケンスの間に何が起こるべきかを定義するためにもちいられる。この組のシーケンスは、ロットかバッチかウエハかレシピか一組の機械の活動かと関連付けられることができる。ストラテジは、プロセスとプリプロセスとポストプロセスの活動の組み合わせを有することができる。ストラテジの各々は、複数のプランを有することができる。プランの運転コントロールかプランのセットは、コントロールジョブと呼ばれることができる。
ストラテジは、イベントに基づいて作動され、無効にされる所定のスクリプトであることができる。例えば、コントロールジョブは、与えられたコンテキスト(ロット、チャンバ、プロセスレシピなど)のためのプロセスチャンバ内でのウエハの運転のための一組のデータ収集と設定プランとを設定することができる。ストラテジは、ウエハのコンテキストによって決定された特定の振る舞いをもつことができる。多数のチャンバの上を走ることができる、ロットのコンテキストと関連付けられたストラテジは、各々のモジュールのためのプランを設定することができる。ストラテジのコンテキストは、高優先度のロットとチャンバの限定(qualification)運転と外来の材料の監視とチャンバの調節とロットナンバとレシピの名前とプロセスチャンバと組み合わせとのためにカスタマイズされることができる。
前記APCシステム145は、さらにどのストラテジを実行するべきか決めるために用いられることができるAPCプラン実行(PE)アプリケーションを有することができる。例えば、ストラテジは、あらゆる所定の時にアクティブであるべき一組のプランを記載することができ、イベントは、実行するべき特定のストラテジを決定するために前記PEにより評価されることができ、作用は、プランの形式で実行されることができる。プランは、単一のウエハのためにおきる特定の実行であることができる。作用は、センサのセットアップを管理する所定のスクリプトか与えられたウエハに対するデータ収集とデータ解析かロットかウエハの運転のセットにより指定されることができる。
PEアプリケーションは、多くのプランを同時に始めることができる。例えば、各々のチャンバに対して少なくとも1つのプランが、実行されることができ、各々のツールに対して少なくとも1つのプランが実行されることができる。プランは、チャンバクリーニングの間の時間と同じくらい長く続くことができ、もしくは、単一のウエハを実行する時間と同じくらい短く続くことができる。
図4は、本発明の実施の形態によるイベントコンテキストとストラテジとコントロールジョブとプランとのための例示的な関係のダイヤグラムを示している。内部と外部の両方のイベントは、前記APCシステムに与えられ、適切にそれにしたがって実行されることができる。イベントをつくる各々のインターフェースにとって、イベントを受け取り、それらを伝えるシステムアプリケーションがあることができる。
図5は、本発明の実施の形態による単純化されたデータフローのダイヤグラムを示している。前記ツールとセンサとから関連するデータベースへのデータの流れが、図5に示されているように、単純化されたデータフローダイヤグラムによって表されることができる。図示された実施の形態において、矢印は、イベントを表し、各々の矢印は、イベントの名前によりラベルされることができる。この場合、時間は、ダイヤグラムの上からダイヤグラムの下に流れている。例えば、図5に示された単純化されたフローダイヤグラムは、初期化とロットのプロセスとデータベース管理との間の構成成分間のイベントとデータの流れを示すために用いられることができる。イベントは、プロセスと記憶のために直接前記インターフェースサーバに行くことができる。前記インターフェースサーバは、大局的な状態変数のテーブルを維持することができる。イベントと警報の各々は、対応する状態を更新するために用いられることができる。
図6は、本発明の実施の形態によるインターフェースサーバの例示的な簿ロックダイヤグラムを示している。図示された実施の形態において、プロセス間のコミュニケーションは、ディストリビューティッドメッセージハブ(DMH)メッセージシステムを用いて実行されることができる。例えば、DMHシステムは、メイルボックスとして参照される名前のつけられたメッセージキューへの、そしてメイルボックスとして参照される名前のつけられたメッセージキューからのプレインテキストメッセージを利用することができる。メッセージが、特定のメイルボックスに到着する時に、コールバックロジックが実行されることができる。DMHシステムは、非同時性のメッセージとメッセージキューとをピーク負荷をならし、資源の負荷を減少させるために使うことができる。加えて、センサインターフェースとデータベースインターフェースとは、DMHメッセージシステムサーバの単純な単一スレッドのクライアントとして書かれることができる。
例えば、ツールに関連したアプリケーションが、1つもしくはそれより多くのプロセスツールのインターフェースとなるために用いられることができる。ツールアプリケーションは、ツールから発生するイベントのためのインターフェースとして使われることができるエージェントクライアントを有することができる。このエージェントクライアントは、イベントが、前記ツール上で走るツールエージェントから受け取られる時、イベントを処理することができる。このツールアプリケーションは、前記エージェントクライアントからの求められていないデータによりトリガされることができるイベントレシーバの方法を有することができる。データは、前記イベントレシーバに、レコードフォーマットに直接マップされることができる塊の形で来ることができる。加えて、前記イベントレシーバは、ツールレコードをプロセスチャンバ内のアレイのレコードにマップすることができ、ディスパッチイベントの方法を呼び出すことができる。このイベントは、それからストラテジとコントロールジョブアプリケーションに伝えられることができる。
イベントが、前記APCシステムを伝えられていくと、オブジェクトのなかに状態を変えることによって反応できるものがある。例えば、ロット状態機械かバッチ状態モデルかが使われることができる。ロット状態機械は(ロットプロセスのために)オンになることができ、(ロットのアイドリングのために)オフになることができ、バッチ状態機械は、(バッチプロセスのために)オンになることができ、(バッチのアイドリングのために)オフになることができる。
加えて、ツール状態機械が用いられることができる。例えば、ツール状態は、ツールレディとツールランニングとツールポーズとツールストップとを有することができる。故障が検出された時は、前記ツールは、故障の深刻さに依存して休止されるか停止される。このツールが、休止されたとき、前記GUI構成成分は、APCのユーザが応答するべき次の行動の選択を表示するために用いられることができる。また、前記GUI構成成分は、故障がトリガとなるツールの休止、可能な原因の上位5つのリストへと続くコントロールチャートを表示し、次の行動の選択を提供することができる。次の行動とは、すなわち、故障したウエハの生のデータを見る、故障したウエハのコントリビューションチャートを見る、現在の故障したウエハと同じレシピで処理されたウエハのサマリデータの履歴を見る、検索キーを入力することにより見られることができるデータを指定する、センサをチェックする、解析をスキップしてツールの休止をクリアする、解析をスキップしてメインテナンスのためにツールをオフラインにする、である。APCユーザは、休止をクリアする決定をする責任を有する。
さらに、プロセスチャンバ状態機械が、各々のプロセスモジュール(図1 PM120)に対して確立されることができる。例えば、プロセスチャンバのオブジェクトは、使用法状態と使用中状態とレシピ状態との3つの状態変数を有することができる。例示のチャンバ状態は、「アイドリング」と「使用中」と「準備完了」と「プロセス」と「プラズマナットアクティブ」と「プラズマアクティブ」と「レシピ完了」とを有することができる。
一般的な場合に対して、データレコーダオブジェクトの各々は、センサのスタート時に可能にされ、ウエハの完了時にスイッチが切られている。いくつかの場合には、データは、ウエハの間に記録されることができる(環境データ、すなわちトランスファーチャンバ真空、温度、湿度など)。この場合、レコーダオブジェクトは、データをあるサンプルレートでウエハに関連付け、(設定可能な)異なるサンプルレートでマシンオブジェクトに関連付ける多数の出力を有することができる。
加えて、前記APCシステム145は、APC機能性をもたらすために用いられるメインインターフェースとプロセスコントロールを記述し管理するオブジェクトとを有するコントロール実行構成成分を有することができる。結局、この構成成分は、コントロールストラテジを実行している。
一実施の形態では、少なくとも1つのアプリケーションが、Windows(登録商標)NTのサービスとして実行するために設定されることができる。それ自体、このアプリケーションは、システムブートとともにユーザログインなしでスタートし、このアプリケーションは、ユーザがログオンしログアウトする間実行されつづけ、このアプリケーションは、ユーザのディスプレイと相互作用しないように設定されることができ、このアプリケーションは、ログインされているユーザのログオンアカウントと異なるセキュリティ保証のついた異なるログオンアカウントを用いることができる。
加えて、前記APCシステム145は、ウィルス防御構成成分を有することができる。例えば、ウィルス防御ソフトウェアが、前記APCサーバ160とクライアントワークステーション170との上にインストールされることができ、LAN接続が、スケジュールを基礎とした更新を実行するために用いられることができる。
さらに、前記APCシステム145は、1つもしくはそれより多くのGUIスクリーンと結合されることができるオンラインヘルプ構成成分を有することができる。例えば、ヘルプスクリーンは、ユーザにアクセスすることができ、ヘルプファイルは、PDF(Portable Document Format)並びに/もしくはHTMLフォーマットで与えられることができる。
APCシステムアプリケーションは、故障とサービスに関連した妨害に対して耐性がある。加えて、このアプリケーションは、セットアップと問題解決の能力を与える。例えば、妨害が起こったとき、前記APCシステムアプリケーションは、妨害を検出し、データの損失を最小にするために正しい振る舞いを始める。このように、顧客が前記APCシステムが、減少した機能性において作動している間に製品を製造する危険は、減少されることができる。
前記APCシステムは、顧客(エンドユーザ)がツールとチャンバとセンサとを加えることを可能にする設定可能なシステムを用いて、実行されている。このAPCシステムは、顧客がデータ管理アプリケーションをカスタマイズすることを可能にする開発環境と方法とを与えている。
TEL Ingenio TLAPCソフトウェアは、数々の機能すなわち、故障の検出と分類(FDC)、E診断サービスとラントゥラン(R−2−R)コントロールを与える。FDCは、現在のプロセスの結果をヒストリカルデータと比較することにより作用し、検出された故障のレベルに依存して通知と介入を発生している。R−2−Rは、プロセスレシピを調整し、プロセスモデルを長い時間維持するためにフィードフォワードとフィードバックの両方のコントロールループを与える。
図8は、本発明の実施の形態による半導体プロセスシステムにおけるプロセスツールを監視するためのフローダイヤグラムの単純化された図を示している。ソフトウェアと関連付けられたGUIスクリーンは、システムの1つもしくはそれより多くのプロセスツールを監視するための単純でユーザに便利な手続きを与えている。手続き800は、半導体プロセスシステムの中のプロセスツールによって実行され、各々の生産ステップのために実行されることができる。この代わりに、手続き800は、半導体プロセスシステムにおけるプロセスモジュールによって実行される一組の生産ステップのために実行されることができる。生産ステップは、エッチングプロセスか蒸着プロセスか拡散プロセスかクリーニングプロセスか測定プロセスかトランスファープロセスか他の半導体製作プロセスであることができる。
810において、スタートイベントが、受け取られることができる。例えば、プロセスツールコントローラは、前記APCシステムにスタートイベントを送ることができる。この代わりに、ホストのような他のコンピュータがスタートイベントを送ることができる。スタートイベントは、プロセスもしくはレシピステップが開始する時点であることができ、コンテキストベースであることができる。例えば、ウエハインとレシピスタートとプロセススタートとステップスタートとモジュールスタートとが、スタートイベントであることができる。また、ウエハがプロセスチャンバに入る時、スタートイベントが起こることができる。この代わりに、ウエハが、トランスファチャンバに入る時か、ウエハが、プロセスシステムに入る時か、データがホストもしくはIMから利用可能な時に、スタートイベントが起こることができる。
コントロールストラテジが、プロセスモジュール並びに/もしくはプロセスツール上の一組のシークエンスの間に何が起こるのかを定義している。コントロールストラテジは、単一のウエハか単一のツールか単一のロットかツール活動の組み合わせのための一組のシークエンスを定義することができる。コントロールストラテジは、プロセスの活動の組み合わせを有することができ、コントロールストラテジは、コンテキストと関連付けられることができる。望ましくは、コンテキスト情報が、ある操作と他の操作を関連付けるために用いられている。特に、このコンテキスト情報は、プロセスのステップもしくはレシピと1つもしくはそれより多くのストラテジ並びに/もしくはプランを関連付けている。
815において、コントロールストラテジが、実行されている。前記コントロールストラテジは、ユーザが、いつコントロールストラテジが選択されるのかに影響を与える1つもしくはいくつかのコンテキスト項目を定義することができる。コントロールストラテジは、プロセスモジュールのレベルではなく、システムかツールのレベルで定義されることができる。R2Rコントロールは、たいてい多くのIMとプロセスモジュールとを通るウエハを有する。一つのコントロールストラテジが、あるウエハのために実行される。あるウエハのコンテキストが、多くのコントロールストラテジに整合するなら、第一の整合しているコントロールストラテジが選ばれることができる。
コントロールストラテジは、「ウエハごと」を基礎として、評価されることができる。コントロールストラテジは、有効か無効として指定されることができる。コントロールストラテジは、無効にされている時実行されない。コントロールストラテジは、シミュレーションとして指定されることができ、その場合には、全てのそのコントロールプランは、シミュレーションモードにおいて実行されることができ、これは、前記ツール上でレシピが変化されないことを意味している。
前記コントロールストラテジは、多くのコントロールプランを有することができる。このことは、あるウエハのためのいくつかのコントロールモデルの実行を可能にする。しかしながら、単一のコントロールプランは、実際に前記ツール上でレシピの変化を起こすコントロールプランとして指定されなければならない。その他のコントロールプランは、シミュレーションモードで実行されることができる。
コンテキストに敏感なコントロールプランは、プロセスシステムまたはツールがウエハを処理している時にどんなコントロール活動がとられるべきかを定義している。例えば、コントロールプランのコンテキストは、単一のプロセスシステムのレシピだけの選択に限定されることができる。
コントロールプランの情報は、前記メモリ内テーブルとDB2の内部とに記憶されることができる。このコントロールプランは、R2Rコントロールを達成するために用いられることができるモデルを有することができる。システムレシピと他のコンテキストは、親コントロールストラテジから受け継がれる。このコントロールプランは、統合セクションとコントロールセクションとアルゴリズムセクションとを有することができる。この統合セクションは、どのモジュールが、コントロールデータのソースで、R2Rコントロールのターゲットであるのかを決定することができる。前記コントロールセクションは、多くのフィードフォワード変数のサポートを与えることができる。前記アルゴリズムセクションは、異なるタイプのコントロールモデルを与えることができる。
コントロールプランは、前記コントロールモデルを有することができる。多くのコントロールモデルが同時に実行される時、前のモデルからの出力は、第2のモデルの入力として使われることができる。コントロールモデルからの出力が、同じ実行サイクルの前のコントロールモデルによって設定されたパラメータを変化させる場合、警報メッセージが生成されることができ、新しい設定が使われている。プロセスモジュールは、1つもしくはそれより多くのコントロールプランを有することができ、一つのコントロールプランは、プロセスモジュールの、もしくは他のプロセスモジュールの、他のコントロールプランにデータを与えることができる。
前記コントロールプランは、実行されるべきコントロールモデルを選択するのに必要な情報を有する。コントロールプランは、1つそして1つだけのプロセスモジュールと関連付けられている。そのため、コントロールされている各々のプロセスモジュールのためのコントロールプランが必要ある。
前記コントロールプランは、コントロールモデルが使うことができる最小そして最大の範囲を記述している。コントロールモジュールの範囲は、重なることができる。ユーザによって、最小並びに/もしくは最大の範囲が、入力されていない時、欠けている範囲は、制限されないことができる。
コントロールプランは、一般にプロセスモジュールとレシピの組み合わせとに関連している。ツールが、多くのシステムレシピが、同じプロセスレシピを有することを可能にする時、多くのコントロールストラテジは、コントロールプランを共有することができる。異なるプロセスモジュールレシピに対して異なるプロセスモジュールレシピの制限があることができる。各々のコントロールプランは、前記ツールに送られるレシピの変更のために用いられるレシピの制約を独立して設定することができる。
予測モデルは、実験を基礎にしたモデルか、理論を基礎にしたモデルを表現することができる。予測的な指数的に重みのつけられた動平均(Exponentially Weighted Moving Average)(EWMA)の方法は、いくつかの制限のある、理論を基礎にした予測的な方法の1つである。部分最小二乗(Partial Least Squqrws)(PLS)回帰法が、実験に基づいたモデルを生成するために用いられることができる。モデルのフィルタの実行は、モデルの予測される誤差を正すために必要とされることができる。
アウトライアの排除フィルタは、前記コントロールプランの中で定義されることができる。ユーザは、コントロールを実行するために必要とされる点の最少数を設定することができる。点の最少数が、与えられていないか、点が、フィルタリングのために消去されている時、警報がランタイムに生成される。
最小のそして最大のコントロール範囲は、前記コントロールプランに用いられている各々のコントロールモデルのために指定されることができる。この最小値が、指定されていない時、前記コントロールモデルのための最小の範囲はない。この最大値が、指定されていない時、前記コントロールモデルのための最大値の範囲はない。1つかそれより多くのコントロールモデルが、整合し、選択基準を満たす。1つ以上のコントロールモデルが整合するなら、全ての整合コントロールモデルが、ランタイムに用いられる。選択基準をみたすコントロールモデルが1つもない場合には、ランタイム警報が、生成され、プランは、モジュールが休止されるべきか、「ヌル」レシピが用いられるべきか、ノーマルレシピが用いられるべきかを決定する。
コントロールされるべき新しいウエハが、前記システムに入る時、システムレシピとウエハコンテキストとに整合する前記コントロールレシピが、選択される。計測データが受けとられる時、第1の整合コントロールストラテジが、この計測データを処理し、あらゆるアウトライアのデータ点を、選択されたアウトライアの方法(selected outlier method)を用いて除去することができる。前記APCシステム(R2Rコントローラ)は、それからこの計測データに対応するコントロールモデルを選ぶことができる。前記コントロールモデルは、その時実行され、前記ツールに送られるパラメータの設定を計算している。これらの設定は、この時前記ツールに送られ、DB2にセーブされ、このウエハのために用いられる設定としてフラグを立てられることができる。それから、他の整合コントロールストラテジが、処理されることができ、その結果は、DB2にセーブされるが、前記ツールには送られない。
処理の間に、例外が見つかった時は、警報が、生成され前記APCシステム(TLコントローラ)に送られることができる。
例えば、コントロールストラテジは、コントロールストラテジA1と名づけられることができ、異なった時間に実行される4つのシークエンスを有することができる。シークエンス1は、第1の計測レシピを用いて計測モジュールにおいて実行されるハードマスクCDプロセスであることができ、シークエンス2は、第1のエッチングレシピを用いてプロセスモジュールの中で実行されるハードマスクエッチングプロセスであることができ、シークエンス3は、第2の計測モジュールを用いて計測モジュールで実行されるポリCDプロセスであることができ、シークエンス4は、第2のエッチングレシピを用いてプロセスモジュールで実行されるポリエッチングプロセスであることができる。
820において、データ収集(DC)ストラテジが、実行されている。APCシステムは、DCプランフィルタを利用し、プロセスコンテキストに基づいたサマリ計算を実行して、前記コントロールストラテジのために定義されたDCストラテジを実行している。このプロセスコンテキストは、実行されている生産ステップ並びに/もしくは、監視されているチャンバに依存することができる。コンテキストは、どのストラテジ並びに/もしくはプランが、特定のプロセスレシピのために実行されるのかを決定している。例えば、レシピがコンテキスト用語「ハードマスクCD」を有するなら、「ハードマスクCD」のコンテキスト用語と関連付けられたDCストラテジは、プロセスツールが、コンテキスト用語(要素)「ハードマスクCD」を有するあらゆるレシピを用いてプロセスを実行する時に、実行されることができる。
ランタイムの間、スタートイベントは、前記APCシステムに現在のコンテキストのデータを調べることと、どのストラテジがコンテキストに整合するのかを決定することと、どのプランを実行するのか決定することと、これらの対応するスクリプトをもたらすこととを引き起こすことができる。コントロールストラテジレコードは、ウエハラン、ツール、チャンバ、レシピ、スロットなどのようなコンテキストに整合する情報を有することができる。例えば、前記APCシステムは、ランタイムコンテキスト情報とストラテジのデータベースを比較し、ランタイムコンテキスト情報をストラテジのデータベースに整合しようと試みることができる。各々のコントロールストラテジは、ツールIDとロットIDとチャンバIDとカセットIDとスロットIDとウエハIDとレシピIDとコントロールジョブIDとプロセスジョブIDとスタートタイムとエンドタイムとステップナンバと状態とメインテナンスカウンタバリュとプロダクトIDとマテリアルIDとののコンテキスト情報の少なくともいくつかを有することができる。
前記プロセスコンテキストは、実行されているプロセスと監視されているツールとに依存していることができる。コンテキスト整合プロセスでは、検索順序が重要であることができる。例えば、この検索は、GUIテーブルの優先順位を用いることにより実行されることができる。検索は、SQLのステートメントを用いて実行されることができる。一度ストラテジが特定されると、センサプランとデータのプリプロセスプランと判断プランとを有するデータ収集プランが、自動的に決定される。データ収集プランIDとデータプリプロセスプランIDと判断プランIDとが「コントロールストラテジを実行する」モジュールに送られることができる。コンペアプロセスコンテキスト機能が実行されている時に整合モジュールが存在しないなら、ソフトウェアは、ツール状態GUIスクリーンの故障フィールドにエラーメッセージを表示し、ユーザが、間違いを正すことを可能にするためにポップアップウィンドが使われる事ができる。
コンテキストは、コンテキスト要素の組み合わせにより定義されることができる。例えば、所定の順序のコンテキスト要素のアレイであることができ、もしくは、コンテキストは、辞書の形式で名前を値にもつ対の組であることができる。
加えて、前記DCストラテジと関連付けられたプランが、実行されている。データ収集プランとデータプリプロセスプランと判断プランとの少なくとも1つが実行されることができる。加えて、センサプランとパラメータ選択プランとトリムプランとがまた実行されることができる。高品質な製品を生産する製造実行の間に集められたデータは、「よいツールの状態」のデータを確立するために用いられることができ、集められたデータは、続いてツールが正しく実行しているのかどうかをリアルタイムに決定するためにこのベースラインデータと比較されることができる。
コントロールストラテジは、品質管理(QC)テストの一部としてツールヘルス状態を決定するために確立されることができる。コントロールストラテジとこれに関連したプランは、システムもしくはプロセスツールのようなシステムの部分が適当に動作していることを確認するために実行されることができる。例えば、ツールヘルスコントロールストラテジとその関連したプランは、所定の時間にもしくはユーザがスケジュールしたときに実行されることができる。ツールヘルスコントロールストラテジとその関連したプランが実行されている時、診断のウエハデータが収集されることができる。診断かダミか製品かテストかのウエハが、プロセスされることができ、コンテキストは、ツールかモジュールかセンサの診断であることができる。
コントロールストラテジとその関連したプランは、シーズニングに関連したプロセスのようなプロセスモジュール準備プロセスのために確立されることができる。例えば、クリーニングプロセス(すなわちウェットクリーン)の後、シーズニングに関連したストラテジとプランとレシピとを用いて、多くのダミのウエハがプロセスされることができる。ユーザは、APCシステムの部分であるストラテジとプランとを用いることができ、もしくは、ユーザは、前記APCシステムを用いて簡単にそして早く新しいシーズニングに関連したコントロールストラテジを開発することができる。ユーザは、どのシーズニングレシピが最良の検出力をもつのかを決定するために、様々なシーズニングデータ収集プランとレシピとの組を試すことができる。これらのシーズニング運転は、プロセスとツールのモデリングをさらによくするために用いられることができる。
コントロールストラテジとその関連するプロセスは、チャンバフィンガプリンティングのような、プロセスモジュール特徴づけプロセスのために確立されることができる。例えば、メインテナンスプロセスの後で、多くのダミウエハが、フィンガプリンティングに関連したデータ収集のプランとレシピを用いて、プロセスされることができる。これらのフィンガプリンティング運転からのデータは、プロセスとツールのモデリングをさらによくするために用いられることができる。このフィンガプリングティングのデータは、ウエハ上のプロセスの結果に影響を与える重大なチャンバの不整合を最小化する最良のモデルを特定するための解析のために用いられることができる。
静的な並びに動的なセンサは、データ収集プランが実行されている時に、セットアップされる。データ収集プランは、センサセットアッププランを有することができる。例えば、センサの開始と終了の時間が、このセンサセットアッププランにより決定されることができる。動的なセンサにより必要とされる動的な変数は、このセンサセットアッププランにより決定される。レシピスタートイベントは、センサに記録の開始を伝えるために用いられることができる。イベントにおけるウエハが、センサをセットアップするために用いられることができる。レシピストップイベントもしくはウエハアウトイベントは、センサに記録の停止を伝えるために用いられることができる。
収集されるデータと使われているセンサとは、前記DCストラテジコンテキストに依存している。望ましくは、製品のウエハと製品ではないウエハとのために異なったセンサが用いられることができ、異なったデータが収集されることができる。例えば、ツール状態データは、製品のウエハのために収集されたデータの小さな部分であることができ、ツール状態データは、非製品のウエハのために収集されたデータの大きな部分であることができる。
前記データ収集プランは、またスパイクの計測とステップのトリミングと閾値と
値のクリップリミットとに関して期待された観測パラメータがどのように処理されるべきかを確立するデータプリプロセスプランを有する。
データプリプロセスプランが、実行されている時、生のデータから時系列のデータが生成されデータベースにセーブされることができ、ウエハのサマリデータが、この時系列のデータから生成されることができ、ロットサマリデータが、このウエハのデータから生成されることができる。データ収集は、ウエハがプロセスされている一方で、実行されることができる。ウエハがこのプロセスステップから出ると、データプリプロセスプランが、実行されることができる。
データ収集プランは、所望のデータを集めるためにユーザによって設定されるリユーザブルなものである。このデータ収集プランは、1つもしくはそれより多い別々のプロセスモジュール上の1つもしくはそれより多いセンサの設定からなっている。このプランは、また関連するセンサによって収集されるべきデータ項目の選択と、データ項目のどれがセーブされるべきかとを有している。
センサは、装置か、道具か、プロセスツールか、プロセスモジュールか、センサか、プローブか、観測データを収集するかソフトウェアのセットアップの相互影響を必要とする他のものかであることができ、もしくは、システムソフトウェアによりまるでセンサであるかのように取り扱われることができる。例えば、プロセスツールとプロセスモジュールとは、あたかもそれらがデータ収集プランにおけるセンサであるかのように扱われることができる。
同じセンサタイプのいくつかの例が、ツール上に同時に設置されることができる。ユーザは、各々のデータ収集プランのために用いるために特定のセンサを選ぶことができる。
システムで収集されたデータは、一組のステップを通してリアルタイムのセンサの収集とデータベースの記憶との間を流れている。収集されたデータは、リアルタイムメモリSQLデータベースを有することができるインターフェースサーバに送られることができる。前記インターフェースサーバは、前記APCシステムのプランを通してユーザによって定義されたさまざまなアルゴリズムにより、もしくは、ユーザによって定義されたスクリプトにより、処理されるべきデータの物理的な位置を与えている。
前記APCシステムは、各々のプロセスモジュールに対して独立のデータ収集モードとセットアップモードを与えている、すなわち、各々のプロセスモジュールは、いかなる他のプロセスモジュールからも独立であり、一つのプロセスモジュールのセットアップは、他のプロセスモジュールのデータ収集を中断しない。このことは、半導体プロセスシステムの非生産的な時間の量を最小にしている。
DCストラテジが、判断プランを有している時、その判断プランは実行されている。この実行は、規則を基準にしており、SQLのステートメントを有している。スタートイベント判断プランは、「スタートイベント」が起きたあとに、実行されることができ、エンドイベント判断プランは、「エンドイベント」が起きたあとに実行されることができる。例えば、スタートイベント判断プランが、コントロールストラテジと関連付けられている時、それは、ウエハインイベントかプロセススタートイベントか、レシピスタートイベントかのようなスタートイベントの後で,実行されることができる。スタートイベント判断プランは、ツール状態監視システムの警報管理部分の部分であることができる。
スタートイベントの後で警報が起こる(すなわち、故障が検出される)と、コントロールストラテジと関連付けられた判断プランが、以下のような行動をとるために介入プランにメッセージ並びに/もしくは指示を送ることができる。すなわち、状態スクリーンの上に故障メッセージを表示する、故障メッセージをログファイルに書く、次のウエハは休止のメッセージを送る、次のロットは休止のメッセージを送る、前記ツールに警告メッセージを送る、そして、ツールの所有者に電子メイルを送る、である。
判断プランは、独立に動作している。各々の判断プランは、他の判断プランの中の動作を知る必要がない。結果として、動作にいくらかの余剰分か不一致があることができ、介入プランは、いかなる問題も解決するために用いられることができる。ストラテジとプランの例示の関係のダイヤグラムは、図9と図10に示されており、判断プランと介入プランの例示的な関係のダイヤグラムは、図11に示されている。
825において(図8)、解析ストラテジが、プロセスコンテキストに基づいて、実行されることができる。このプロセスコンテキストは、実行されている生産ステップと監視されている前記ツールに依存することができる。コンテキストは、どの解析ストラテジ並びに/もしくはプランが、特定のプロセスステップのために実行されているか決定する。例えば、解析ストラテジを「ハードマスクCD」のようなプロセスのタイプと関連付けるために、この解析ストラテジのためのコンテキストは、コンテキスト用語「ハードマスクCD」を有するべきである。
解析ストラテジは、プランのホルダになることができる。解析ストラテジと関連したプランは、収集後にデータを「解析する」。
一実施の形態では、プロセスコンテキストは、解析ストラテジのリストとの比較をされることができる。例えば、APCサーバ160(図1)は、「プロセススタート」イベントが起きる時、現在のプロセスのコンテキストをストリングとして得ることができる。このプロセスコンテキストは、解析ストラテジのリストと比較されることができ、固有のストラテジが、特定される。
このプロセスにおいて、検索の順番は、重要になりことができる。例えば、検索は、GUIテーブルの優先順位を用いて実行されることができる。検索は、SQLのステートメントを用いて実行されることができる。解析のストラテジが、特定されると、統計プロセスコントロール(SPC)プランと、部分最小二乗(PLS)プランと、主要成分解析(Principal Component Analysis)(PCA)プランと、多変量解析(MVA)プランと、故障検出と分類(FDC)プランと、判断プランとユーザ定義のプランとが自動的に決定される。解析プランIDと判断プランIDとは、「解析ストラテジを実行する」モジュールに送られることができる。コンペアプロセスコンテキスト機能が実行されている時、もし整合ストラテジが存在しないなら、ソフトウェアは、ツール状態GUIスクリーンの故障領域にエラーメッセージを表示することができ、正しいストラテジを使うためにポップアップウィンドがユーザに与えられることができる。
ランコンテキストに整合する多くの解析ストラテジがあることができ、これらの解析ストラテジは、特定の時に特定のプロセスツールに対して実行されている。ユーザは、特定のコンテキストの中でリストの上でストラテジを上か下に動かすことにより、ストラテジの順番を決めている。ストラテジが選ばれる時が来ると、ソフトウェアは、リストの一番上でスタートすることができ、ソフトウェアが、コンテキストによって決められた必要条件に整合する最初のストラテジを見つけるまでリストを下っていき、そのストラテジを最初に実行する。
加えて、各々の解析ストラテジにおいて多数のプランがあることができ、ユーザは、プランをリストの上で上か下に動かすことにより解析ストラテジの中でプランの順番を決めている。プランが実行される時が来ると、ソフトウェアは、リストの一番上でスタートしてリストを降りて行く。
コンテキストベースの実行を用いるための一つの方法は、コンテキスト整合を行うことであることができる。例えば、コンテキスト整合を実行する時、現在プロセスされているウエハのコンテキストが、用いられることができる。この代わりに、基板か、現在プロセスされているほかの半導体製品を用いられることができる。コンテキストが、決定されている時、そのコンテキストは、解析ストラテジのコンテキストと比較されることができる。コンテキスト整合が起こると、1つかそれより多くの解析ストラテジが実行されることができる。
解析ストラテジが、実行されると、解析プランと判断プランとが特定されている。例えば、少なくとも一つの解析ストラテジの動的なセットアップと実行を準備するコンテキスト整合する実行ソフトウェアモジュールが用いられることができる。一つの場合では、ウエハアウトイベントが、システムコントローラが現在のコンテキストのデータを検査し、どの解析ストラテジを実行するか決め、対応するスクリプトが関連するプランを決定するようにさせている。
加えて、前記解析ストラテジと関連のあるプランが実行されている。前記解析プランが、実行されると、SPCプランとPLSプランとPCAプランとMVAプランとFDCプランと判断プランとユーザ定義のプランとが実行されることができる。高品質の製品を製造している製造運転の間に収集されたデータの上での解析が、「良いツール状態」モデルを確立するために用いられることができ、収集されたデータは、続いてこのベースラインモデルを用いて,ツールが正しく実行しているかをリアルタイムで決めるために、解析されることができる。
解析ストラテジは、ツールヘルス状態を品質管理(QC)テストの一部として決定するために、確立されることができる。例えば、ツールヘルス解析ストラテジとそれに関連したプランとは、システムもしくはプロセスツールのようなシステムの部分が適当に作動していることを確認するために、実行されることができる。ツールヘルス解析ストラテジとその関連したプランとは、所望の時かユーザがスケジュールした時かに実行されることができる。ツールヘルス解析ストラテジとその関連したプランとが実行されている時、診断のウエハデータは、診断モデルを用いて解析されることができる。ここで、診断モデルは、SPCチャートとPLSモデルとPCAモデルとFDCモデルとMVAモデルとを有することができる。
解析ストラテジとその関連したプランとは、シーズニングに関連したプロセスのようなプロセスモジュールの準備プロセスのために確立することができる。例えば、クリーニングプロセス(すなわち、ウェットクリーン)の後で、多くのダミウエハから収集されたデータは、シーズニングに関連したモデルを用いて解析されることができる。ユーザは、前記解析ストラテジとプランと前記APCシステムの部分であるモデルとを用いることができる、もしくは、ユーザは、簡単にそして早く新しいシーズニングに関連した解析ストラテジとプランとモデルとを、前記APCシステムを用いて開発することができる。ユーザは、どのシーズニングに関連するモデルが最良の検出力を持つのかを決定するために、様々な解析モデルを試すことができる。これらのシーズニング運転からの解析結果は、コントロールストラテジとデータ収集プランとをさらに良くするために用いられる(フィードバック)ことができる。
解析ストラテジは、チャンバフィンガプリンティングのようなプロセスモジュールの特徴づけプロセスのために確立されることができる。例えば、メインテナンスプロセスの後で、多くのダミウエハから収集されたデータは、フィンガプリンティングに関連したモデルを用いて解析されることができる。これらのフィンガプリンティング運転からの解析結果は、さらにコントロールストラテジとデータ収集プランとを良くするために用いられる(フィードバック)ことができる。解析結果は、ウエハ上のプロセスの結果に影響を与える重大なチャンバの不整合を最小化する最良のモデルを特定するために用いられることができる。
ストラテジが、判断プランを有している時、その判断プランは、実行されることができる。その実行は、規則を基準としており、SQLのステートメントを有している。スタートイベント判断プランが、「スタートイベント」が起こった後で実行されることができ、エンドイベント判断プランが、「エンドイベント」が起こった後で実行されることができる。例えば、エンドイベント判断プランが、解析ストラテジと関連付けられている時、それは、ウエハアウトかプロセスストップイベントかレシピストップイベントかバッチアウトイベントかロットアウトイベントかのようなエンドイベントの後に、実行されることができる。エンドイベント判断プランは、ツール状態監視システムの警報管理部分の部分であることができる。
エンドイベントの後で、警報が起こる(すなわち、故障が検出された)時、解析ストラテジと関連付けられた判断プランは、介入プランに以下の動作をとるためにメッセージ並びに/もしくは指示を送ることができる。すなわち、状態スクリーンに故障メッセージを表示する、ログファイルに故障メッセージを書く、次のウエハ休止のメッセージを送る、次のロットは休止のメッセージを送る、前記ツールに警告のメッセージを送る、ツールの所有者に電子メイルをおくる、である。
判断プランは、独立に作動している。各々の判断プランは、他の判断プランにおける動作を知る必要がない。結果として、動作にいくらかの余剰分と不一致があることができ、介入プランが、あらゆる問題を解決するために用いられることができる。判断プランと介入プランとの例示的な関係のダイヤグラムは、図10に示されている。
830において、警報が与えられるかどうか決定するために問い合わせが実行されている。警報が起こった時、手続き800は、850に分岐している。警報が、起こらなかった時、手続き800は、835に分岐している。
850において、介入プランが実行されることができる。この介入プランは、以下のプロセスを実行する。各々の判断プランからメッセージ(判断)を得る、様々な判断プランからの動作をカテゴリにわける、電子メイルとログにツールID、レシピID、レシピスタートタイムなどのようなプロセス状態を添付する、ログファイルとデータベースをセーブする、介入マネージャに固有のメッセージを送る。
介入ストラテジは、データ解析の結果としてユーザがとることを選ぶ動作として定義されている。例えば、これらの動作は、疑わしいウエハもしくはロットにフラグをたて、システムの所有者並びに/もしくはツールの所有者に知らせる、データを見直し決定をするために技術者を呼び出すか電子メイルを送る、データが見直され禁止が解除されるまで前記ツールがウエハをプロセスするのを禁止する、ツールを停止し、ツールを、残ったウエハをツールから取り除く「オフライン」にする、チャンバのクリーニングもしくはメインテナンスの手続きをトリガする、を有することができる。
望ましくは、それぞれのプロセスのステップの間、ただ一つの介入プランが実行している。介入プランの実行は、前記APCサーバにおいて実行されている。例えば、介入プランは、判断プランから情報(ストリング)を得ることができる。この情報は、判断プランIDと示唆された動作のメッセージと故障メッセージと回復メッセージと動作のリストとを有することができる。
介入プランが実行される後、固有の動作についてのメッセージは、介入マネージャに送られる。例えば、動作の候補は、故障メッセージを状態スクリーンに表示する、次のウエハの前にプロセスを休止するメッセージを送る、次のロットの前にプロセスを休止するメッセージを送る、1つもしくはそれより多くのツールに休止もしくは停止のメッセージを送る、1つもしくはそれより多くのプロセスモジュールに休止もしくは停止のメッセージを送る、1つもしくはそれより多くのセンサに休止もしくは停止のメッセージを送る、システムの所有者、ツールの所有者、プロセスの所有者に電子メイルを送る、を有する。例えば、「停止」メッセージは、前記ツールにすでにツールの中にあるウエハのプロセスを続けることを伝えるために用いられることができ、「中断」メッセージは、ツールにツールの中のウエハをプロセスしないでウエハをキャリアに戻すことを伝えるために用いられることができる。
前記APCシステムが、人間の介入なしで問題に介入し、問題に反応することができる場合もある。他の場合には、人間の介入が必要とされる。例えば、ユーザは、故障の特質を決定するために前記APCシステムからのデータにアクセスすることができ、ユーザは、プロセスを続けるか終了するかを決定することができる。ユーザが、プロセスを終了する場合、ツールは、修理状態におかれることができる。ユーザは、ツールスクリーンからこれをトリガすることができる。例えば、ユーザは、チャンバウェットクリーンをすることを決定することができる。ウェットクリーンとチェックとプロセステストとの後で、プロセスは、次のウエハを再開することができる。
前記介入プランと解析プランとの実行の間、前記APCシステムは、「ツールヘルス」チャートをユーザに表示している。例えば、このチャートは、マノメータのデータと質量流データと漏出量データとポンプデータとガスシステムデータとカセットシステムデータとトランスファシステムデータとを有することができる。このチャートは、1つかそれより多くのツールと1つかそれより多くのモジュールと1つかそれより多くのウエハと1つかそれより多くのプロセスステップの様々な時間のためのリアルタイムデータとヒストリカルデータとリアルタイムデータとヒストリカルデータの組み合わせとを表示することができる。
835において、プロセスが、終了したのかどうか決定するために問い合わせが実行されている。プロセスが、終了した時、手続き800は、840に分岐し、手続き800は終了する。プロセスが、終了していない時、手続き800は、815に分岐し、手続き800は、図8に示されているように続いている。
前記APCシステムは、ツールが生産中でない時にツールのエラを検出し分類するためと、生産の間にツールのエラを検出し分類するためと、生産の間にツールのエラを検出し修正するためと、生産の前にツールのエラを予測するためと、生産の後にツールのエラを予測するためとに、用いられることができる。例えば、ツール状態監視システムは、自動セットアップ機能と自動チェック機能と自己チェック機能とのような多くの自己監視機能を実行するプロセスツールのインタフェースとなることができる。前記ツールが、マシンイベントをリアルタイムで送る時、前記監視システムは、データをリアルタイムで監視し、ツールが、データをノンリアルタイムで送る時、この監視システムは、データを、ツールがデータを送るとすぐに処理している(すなわち、マシンログに記憶されたデータを)。ツールデータは、漏出率チェックとゼロオフセットとヒストリイベントと警報情報とプロセスログデータとを有することができる。
前記APCシステムは、一般的な故障検出と分類アプリケーションとチャンバフィンガプリンティングアプリケーションとシーズニング完了アプリケーションとコンシューマブルライフ予測とウェットクリーンサイクルアプリケーションと部品集合体のための診断アプリケーションとの中で用いられることができるストラテジとプランとベースラインモデルとを有することができる。加えて、前記APCシステムは、プロセスツールからのARAMS(Automated Reliability,Availability and Maintainability Standards)ログを収集し、解析することができる。このAPCシステムは、このデータ収集をデータ収集プランの一部として実行している。
前記APCシステムは、メインテナンスデータを収集し解析するためのストラテジとプランとを有することができる。前記データ収集プランは、消耗する部分とメインテナンスできる部分とを有する。例えば、これらの部分は、フォーカスリング、シールドリング、上側電極などを有することができる。メインテナンスデータストラテジとプランとは、ツールタイプとプロセスモジュールのタイプ並びに数などに依存している。デフォルトのメインテナンスデータストラテジとプランとは、前記ツールのセットアップとプロセスモジュールのセットアップと付加したセンサのセットアップ情報との一部として自動的に設定されることができる。ユーザは、デフォルトの設定を変えることができる。
前記APCシステムは、ウエハからウエハの介入(intervention)かバッチからバッチからの介入かロットからロットからの介入を与えるために用いられることはできる。
前記APCシステム145は、プロセスかウエハの何らかの特性に対する様々なセンサから収集されたデータを相関させるために用いられることができる解析とモデリングのアプリケーションも有することができる。例えば、アプリケーションは、多変量解析と部分最小二乗と主要成分解析とのために与えられることができ、解析とモデリングからの出力は、さらなる操作とSPC規則の評価(SPC rule evaluation)のためのSPCチャート処理に与えられることができる。
解析とモデリングのアプリケーションは、異常性とウエハプロセスの間におこる正常なドリフトを見るために用いられることができる。異常性とドリフトが発見された時は、プロセスを通常のレベルの範囲に戻すためにプロセスを止めるか調節をするために処置を講じることができる。単一変数の監視と違って、故障が検出された後は、技術者は、現在のデータをモデルに持っていき、プロセスを制御下に戻すための誘導を得ることができる。APCを用いて収集されたデータは、第三者のデータを使ってモデルを作るためのモデリングプログラムに直接インポートされることができる。このモデルは、このAPCサーバに、故障検出とパラメータ予測とのためにエクスポートされることができる。
本発明の一態様において、状態データは、少なくとも1つのGUIスクリーンを用いて表示されることができる。例えば、ツールの状態データは、GUIスクリーンを用いて図12に示されているように表示されることができる。この代わりに、他の状態データは、他のGUIスクリーンを用いて表示されることができる。一つのパネルには、各々のプロセスチャンバに対してこのスクリーン上に現在の情報が表示されることができる。チャンバの情報は、少なくとも一つのプロセスチャンバの名前を有することができる。現在プロセスチャンバ内のウエハについての情報は、個別の領域に表示されることができる。プランの情報は、現在のウエハ上で実行されているデータ収集プランの名前を有することができる。プロセスチャンバのパネルは、チャンバの重要な要素の状態を表示するためにテキストとグラフィクスを有する。
この文書で紹介されたソフトウェアと関連するGUIとは、また多数の言語で利用できる。GUIスクリーンのレイアウトは、大局的なツールの設置において補助となるように設計されている。多くの国のユーザは、使うのも理解も容易なコンテキストベースのデータ管理ソフトウェアとであうだろう。システムがインストールされているか、システム設定が変えられている時は、ソフトウェアは、ユーザのために全ての必要なデータベースとファイルとをつくる。コンテキストベースのデータ管理GUIスクリーンは、システムと様々なレベルのエンドユーザの間の相互作用の手段を与えている。
ソフトウェアは、マシンタイプとハードウェアの設定とをインストール時に設定し、後に設定変更としてマシンタイプとハードウェアの設定とを行う。例えば、ユーザのプロファイルは、言語と、タッチスクリーンのみ、キーボードとマウスのサポート、日本語、台湾語、中国語、英語、フランス語、ドイツ語などの多言語、ユーザクラスシステム、クラスレベル、PE、EE、オペレータ、グレースケールとパターンのカラーブラインドもしくはカラー、左から右へのワークフロ、上から下へのワークフロ、アイコン、図、もしくは言葉、静的なタブのような態様を与えるビューのためのユーザの選択とのためにつくられることができる。
本発明の数多くの変更と変形が、上記の示唆の下で可能である。このため、添付された請求項の範囲内で,本発明は、ここで具体的に記述したのと違う方法で実施されることができることが理解されるべきである。
本発明の一実施の形態による、半導体製作環境におけるアドバンストプロセスコントロールド(APC)システムの例示的なブロックダイヤグラムを示す。 Tokyo Electron Incからのシステムの例示的なブロックダイヤグラムを示す。 本発明の一実施の形態による、APCシステムのための単純化されたデータフロダイヤグラムである。 本発明の実施の形態による、単純化されたインターフェースのダイヤグラムを示す。 本発明の実施の形態による、イベントコンテキストとストラテジとコントロールジョブとプランとの例示的な関係のダイヤグラムを示している。 本発明の実施の形態による単純化されたデータフロダイヤグラムを示している。 本発明の実施の形態によるインターフェースサーバの例示的なブロックダイヤグラムを示している。 本発明の一実施の形態による半導体プロセスシステムにおけるプロセスツールのためにプロセスを監視するためのフロダイヤグラムの単純化された図を示している。 ストラテジとプランとの例示的な関係のダイヤグラムを示している。 ストラテジとプランとの他の例示的な関係のダイヤグラムを示している。 本発明の一実施の形態による判断プランと介入プランとのための例示的な関係のダイヤグラムを示している。 本発明の一実施の形態によるツール状態スクリーンの例示的な図を示している。

Claims (33)

  1. 半導体プロセス環境におけるプロセスツールをコントロールするためのアドバンストプロセスコントロール(APC)システムであり、
    APCに関連した複数のアプリケーションを与えるAPCサーバと、
    このAPCサーバに結合されたインターフェースサーバ(IS)と、
    前記ISとAPCサーバに結合されたデータベースと、
    前記APCサーバに結合されたグラフィカルユーザインターフェース(GUI構成成分とを具備し、
    前記ISは、プロセスツールに結合するための手段と、複数のプロセスモジュールに結合するための手段と、複数のセンサに結合するための手段とを有する、APCシステム。
  2. 前記複数のセンサに結合するための手段は、光学放射スペクトラム(OES)センサに結合するための手段を有する、請求項1のAPCシステム。
  3. 前記OESセンサに結合するための手段は、TCP/IP接続を有する、請求項2のAPCシステム。
  4. 前記複数のプロセスモジュールに結合するための手段は、光学データプロファイル(ODP)モジュールに結合するための手段を有する、請求項1のAPCシステム。
  5. 前記ODPモジュールに結合するための手段は、ODPライブラリに結合するための手段を有する、請求項4のAPCシステム。
  6. 前記複数のセンサに結合するための手段は、電圧/電流(V/I)プローブに結合するための手段を有する、請求項1のAPCシステム。
  7. 前記VIプローブに結合するための手段は、TCP/IP接続を有する、請求項6のAPCシステム。
  8. E診断システムに結合するための手段をさらに具備する、請求項1のAPCシステム。
  9. ファクトリシステムに結合するための手段をさらに具備する、請求項1のAPCシステム。
  10. アナログプローブに結合するための手段をさらに具備する、請求項1のAPCシステム。
  11. 前記アナログプローブに結合するための手段は、TCP/IP接続を有する、請求項10のAPCシステム。
  12. 前記複数のプロセスモジュールに結合するための手段は、エッチングモジュールに結合するための手段を有する、請求項1のAPCシステム。
  13. 前記複数のプロセスモジュールに結合するための手段は、蒸着モジュールに結合するための手段を有する、請求項1のAPCシステム。
  14. 前記複数のセンサに結合するための手段は、ディストリビューティドメッセージハブ(DMH)クライアントを形成するセンサレコーダベースクラスに結合するための手段を有する、請求項1のAPCシステム。
  15. 前記プロセスツールに結合するための手段は、前記プロセスツール内のツールエージェントと、前記APCシステム内のエージェントクライアントとを有し、このエージェントクライアントは、エージェントクライアントコミュニケーションクラスとドライバとを有する、請求項1のAPCシステム。
  16. 前記エージェントクライアントコミュニケーションクラスは、前記ツールエージェントとBSDソケットにより通信し、また、スタートエージェントの方法とイベントレシーブスレッドとゲットネクストメッセージの方法とストップエージェントの方法との少なくとも1つを有する、請求項15のAPCシステム。
  17. 前記APCサーバは、少なくとも3Gバイトの利用可能なディスク領域を有する記憶装置と、少なくとも2つの600MHzのCPUを有するプロセッサと、少なくとも512MバイトのRAMを有する物理メモリとを有する、請求項1のAPCシステム。
  18. 前記APCサーバは、少なくとも1つのツールに関連したアプリケーションと、少なくとも1つのモジュールに関連したアプリケーションと、少なくとも1つのセンサに関連したアプリケーションと、少なくとも1つのISに関連したアプリケーションと、少なくとも1つのデータベースに関連したアプリケーションと、少なくとも1つのGUIに関連したアプリケーションとを有する複数のアプリケーションを与える、請求項1のAPCシステム。
  19. 前記APCサーバは、ツールAPCマスタアプリケーションと、ツールAPC警報アプリケーションと、1つかそれより多くのセンサインターフェースアプリケーションと、APCデータベース管理サポートアプリケーションと、APCデータ収集サポートアプリケーションと、APCイベント管理サポートアプリケーションと、プローブインターフェースアプリケーションと、APCプロセスチャンバサポートアプリケーションと、APCストラテジ選択アプリケーションと、ツールインターフェースアプリケーションと、ウエハデータアプリケーションと、APCプラン実行(PE)アプリケーションとのうち少なくとも1つを有する、複数のアプリケーションを与える、請求項1のAPCシステム。
  20. 前記ツールAPCマスタアプリケーションは、シャットダウンオールの方法と、初期化の方法と、ロジックマネジャブーストの方法と、設定ロードの方法と、状態ロードの方法と、設定セーブの方法と、GUI時間更新の方法との少なくとも1つを有する複数の機能を実行する、請求項19のAPCシステム。
  21. 前記APCイベント管理サポートアプリケーションは、イベントに基づいて方法をディスパッチングするように設定されている、請求項19のAPCシステム。
  22. 前記APCイベント管理サポートアプリケーションは、アクティブイベントの方法と、ファイルレディの方法と、アラームの方法と、ロットエンドの方法と、ロットスタートの方法と、レシピエンドの方法と、レシピスタートの方法と、RFオフの方法と、RFオンの方法と、ウエハインの方法と、ウエハアウトの方法との少なくとも1つを有する複数の方法を実行する、請求項19のAPCシステム。
  23. 前記APCデータ収集サポートアプリケーションは、ゲットロストデータ機能と、ゲットウエハデータ機能と、セットアップセンサ機能と、スタートセンサ機能と、ストップセンサ機能と、ロードデフォルトプラン機能との少なくとも1つを有する多くの方法を実行する、請求項19のAPCシステム。
  24. 前記ツールインターフェースアプリケーションは、ツールインターフェースと、ファイル転送と、状態と、の管理をおこなうように設定さけている、請求項19のAPCシステム。
  25. 前記ツールインターフェースアプリケーションは、チェンジイベントの方法と、デバイスフェイルドの方法と、デバイスリカバリの方法と、アクティブイベントの方法と、チェックアクティブの方法と、イベントディスパッチャの方法と、イベントレシーバの方法と、ゲットトレースデータの方法と、スタートエージェントの方法と、ストップエージェントの方法とのうち少なくとも1つを有する複数の方法を実行する、請求項19のAPCシステム。
  26. 前記APCPEアプリケーションは、どのストラテジを実行するかを決定し、そのストラテジは、所定の時にアクティブなプランの一組を指定している、請求項19のAPCシステム。
  27. 前記APCPEアプリケーションは、どのストラテジを実行するべきか決定するためにコンテキスト情報を用いる、請求項19のAPCシステム。
  28. 前記データベースは、構成成分テーブルと、属性テーブルと、運転属性テーブルと、関連テーブルと、プロセス運転テーブルと、装置運転テーブルと、生の運転データテーブルと、モデルデータテーブルと、運転サマリテーブルと、警報テーブルとの少なくとも1つを有する複数のテーブルを有し、この構成成分テーブルは、前記APCシステムの構成成分の設定をするために用いられ、構成成分テーブルの各々の構成成分は、前記属性テーブルに多数の関連した記録をもつことができる、請求項1のAPCシステム。
  29. 前記プロセス運転テーブルは、開始時間と、ウエハと、材料のタイプとを有するコンテキスト項目を有している、請求項28のAPCシステム。
  30. 前記装置運転テーブルは、センサのための設定と、操作パラメータとを有する、請求項28のAPCシステム。
  31. 前記生の運転データテーブルは、生の観測データを有する、請求項28のAPCシステム。
  32. 前記モデルデータテーブルは、モデル解析のために入力データと、出力データとを保持する動的に形成されるテーブルであることができる、請求項28のAPCシステム。
  33. 前記運転サマリテーブルは、運転IDと、運転タイプと、値の名前と、開始ステップと、終了ステップと、値の最小と、値の最大とを有する運転サマリ情報を有する、請求項28のAPCシステム。
JP2004541624A 2002-09-30 2003-09-25 半導体製作プロセスの監視とコンロトールのための装置 Expired - Fee Related JP4694843B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US41442502P 2002-09-30 2002-09-30
US60/414,425 2002-09-30
PCT/US2003/029980 WO2004031875A1 (en) 2002-09-30 2003-09-25 Method and apparatus for the monitoring and control of a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
JP2006501680A JP2006501680A (ja) 2006-01-12
JP4694843B2 true JP4694843B2 (ja) 2011-06-08

Family

ID=32069736

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004541624A Expired - Fee Related JP4694843B2 (ja) 2002-09-30 2003-09-25 半導体製作プロセスの監視とコンロトールのための装置

Country Status (8)

Country Link
US (1) US7123980B2 (ja)
EP (1) EP1546827A1 (ja)
JP (1) JP4694843B2 (ja)
KR (1) KR101025527B1 (ja)
CN (1) CN100407215C (ja)
AU (1) AU2003270866A1 (ja)
TW (1) TWI233008B (ja)
WO (1) WO2004031875A1 (ja)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7672747B2 (en) * 2000-03-30 2010-03-02 Lam Research Corporation Recipe-and-component control module and methods thereof
EP1602015A2 (en) * 2003-02-18 2005-12-07 Tokyo Electron Limited Method for automatic configuration of a processing system
US7010374B2 (en) * 2003-03-04 2006-03-07 Hitachi High-Technologies Corporation Method for controlling semiconductor processing apparatus
TWI283817B (en) * 2003-05-30 2007-07-11 Tokyo Electron Ltd Method of operating a process control system and method of operating an advanced process control system
US7228257B1 (en) * 2003-06-13 2007-06-05 Lam Research Corporation Architecture for general purpose programmable semiconductor processing system and methods therefor
DE10342769A1 (de) * 2003-09-16 2005-04-21 Voith Paper Patent Gmbh System zur computergestützten Messung von Qualitäts- und/oder Prozessdaten
US7113890B2 (en) * 2003-10-16 2006-09-26 Abb Inc. Method and apparatus for detecting faults in steam generator system components and other continuous processes
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7822826B1 (en) 2003-12-30 2010-10-26 Sap Ag Deployment of a web service
CN1910434B (zh) * 2004-01-14 2012-02-15 Abb公司 在机械中诊断机械问题的方法和装置
US7369913B2 (en) * 2004-04-02 2008-05-06 Siemens Medical Solutions Usa, Inc. Recipe editor and controller
US9219729B2 (en) 2004-05-19 2015-12-22 Philip Drope Multimedia network system with content importation, content exportation, and integrated content management
DE602005022855D1 (de) * 2004-05-24 2010-09-23 Panduit Corp Automatisches werkzeug mit datenschnittstelle
US20060004786A1 (en) * 2004-06-07 2006-01-05 Taiwan Semiconductor Manufacturing Company Ltd. Design mechanism for semiconductor fab-wide data warehouse application
US7343583B2 (en) * 2004-07-09 2008-03-11 Kla-Tencor Technologies Corporation System and method for searching for patterns of semiconductor wafer features in semiconductor wafer data
US8108470B2 (en) * 2004-07-22 2012-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Message management system and method
US7153709B1 (en) * 2004-08-31 2006-12-26 Advanced Micro Devices, Inc. Method and apparatus for calibrating degradable components using process state data
JP4384093B2 (ja) * 2004-09-03 2009-12-16 株式会社東芝 プロセス状態管理システム、管理サーバ、プロセス状態管理方法及びプロセス状態管理用プログラム
KR100639676B1 (ko) * 2004-09-21 2006-10-30 삼성전자주식회사 반도체 제조용 포토리소그라피 설비 제어시스템 및 그제어방법
US7254513B2 (en) * 2004-09-22 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fault detection and classification (FDC) specification management apparatus and method thereof
US7296103B1 (en) * 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7142938B2 (en) * 2004-10-13 2006-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing management system and method
US7242995B1 (en) 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
TWI257538B (en) * 2004-11-26 2006-07-01 Ind Tech Res Inst On-line quality control information system and method
US8145748B2 (en) 2004-12-13 2012-03-27 American Power Conversion Corporation Remote monitoring system
US20060143244A1 (en) * 2004-12-28 2006-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor data archiving management systems and methods
US7248939B1 (en) * 2005-01-13 2007-07-24 Advanced Micro Devices, Inc. Method and apparatus for multivariate fault detection and classification
EP1853982B1 (de) * 2005-02-28 2008-08-20 Siemens Aktiengesellschaft Verfahren zum elektronischen betreiben einer werkzeugmaschine
US7291285B2 (en) * 2005-05-10 2007-11-06 International Business Machines Corporation Method and system for line-dimension control of an etch process
US8078919B2 (en) * 2005-06-14 2011-12-13 Hitachi Global Storage Technologies Netherlands B.V. Method, apparatus and program storage device for managing multiple step processes triggered by a signal
US7457675B2 (en) * 2005-08-15 2008-11-25 Abb Inc. External status asset monitor
US20070067388A1 (en) * 2005-09-21 2007-03-22 Angelov Dimitar V System and method for configuration to web services descriptor
US8078671B2 (en) 2005-09-21 2011-12-13 Sap Ag System and method for dynamic web services descriptor generation using templates
US20070067384A1 (en) * 2005-09-21 2007-03-22 Angelov Dimitar V System and method for web services configuration creation and validation
US7673028B2 (en) 2005-09-28 2010-03-02 Sap Ag Method and system for container-managed configuration and administration
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
CN100429745C (zh) * 2005-12-08 2008-10-29 北京圆合电子技术有限责任公司 半导体制造设备控制系统及其方法
US8024425B2 (en) * 2005-12-30 2011-09-20 Sap Ag Web services deployment
US8010695B2 (en) * 2005-12-30 2011-08-30 Sap Ag Web services archive
US7814060B2 (en) * 2005-12-30 2010-10-12 Sap Ag Apparatus and method for web service client deployment
KR100668103B1 (ko) * 2006-01-17 2007-01-11 (주)스마트에이시스템 반도체 세정 장비의 모니터링 방법
US8600539B2 (en) * 2006-01-27 2013-12-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
JP4874678B2 (ja) * 2006-03-07 2012-02-15 株式会社東芝 半導体製造装置の制御方法、および半導体製造装置の制御システム
JP5114009B2 (ja) * 2006-03-10 2013-01-09 株式会社日立国際電気 基板処理システム、サーバ及びデータ処理方法
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US8037522B2 (en) * 2006-03-30 2011-10-11 Nokia Corporation Security level establishment under generic bootstrapping architecture
JP5177958B2 (ja) * 2006-03-31 2013-04-10 Hoya株式会社 処理データ管理システム、磁気ディスク製造装置用の処理システム、および、磁気ディスク製造装置のデータ管理方法
US20070244592A1 (en) * 2006-04-17 2007-10-18 Chartered Semiconductor Manufacturing Ltd. Manufacturing system with active failover
US7813817B2 (en) * 2006-05-19 2010-10-12 Westinghouse Electric Co Llc Computerized procedures system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP2008078630A (ja) * 2006-08-24 2008-04-03 Hitachi Kokusai Electric Inc 基板処理システム
US7565220B2 (en) 2006-09-28 2009-07-21 Lam Research Corporation Targeted data collection architecture
US7814046B2 (en) * 2006-09-29 2010-10-12 Lam Research Corporation Dynamic component-tracking system and methods therefor
CN101536002B (zh) 2006-11-03 2015-02-04 气体产品与化学公司 用于工艺监控的系统和方法
DE102006061962A1 (de) 2006-11-13 2008-05-15 Abb Technology Ag Echtzeit Prozesshistorien-Server
US7882076B2 (en) * 2006-12-14 2011-02-01 Lam Research Corporation Primary server architectural networking arrangement and methods therefor
GB2449518B (en) * 2007-03-08 2011-08-03 American Power Conv Corp Remote monitoring system
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
JP5200276B2 (ja) * 2007-03-30 2013-06-05 東京エレクトロン株式会社 インラインリソグラフィ及びエッチングシステム
US7813828B2 (en) * 2007-04-02 2010-10-12 Hitachi Kokusai Electric Inc. Substrate processing system and group management system
DE102007037247A1 (de) * 2007-08-07 2009-02-19 Abb Ag System und Verfahren zur Instandhaltungsunterstützung
US7805639B2 (en) * 2007-08-16 2010-09-28 International Business Machines Corporation Tool to report the status and drill-down of an application in an automated manufacturing environment
US7835814B2 (en) * 2007-08-16 2010-11-16 International Business Machines Corporation Tool for reporting the status and drill-down of a control application in an automated manufacturing environment
US7793162B2 (en) * 2007-08-16 2010-09-07 International Business Machines Corporation Method for reporting the status and drill-down of a control application in an automated manufacturing environment
JP5138322B2 (ja) * 2007-09-14 2013-02-06 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
DE102007046848A1 (de) * 2007-09-29 2009-04-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Transportsequenzen in einer Prozessanlage mittels eines vorausschauenden Modus
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
EP2071580A1 (en) * 2007-12-13 2009-06-17 Siemens Aktiengesellschaft Controlling the closing of a plant application
JP4975656B2 (ja) 2008-02-01 2012-07-11 東京エレクトロン株式会社 情報処理装置、情報処理方法、およびプログラム
JP4550908B2 (ja) * 2008-02-05 2010-09-22 東京エレクトロン株式会社 製造装置、情報処理方法、及びプログラム
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
TWI380390B (en) * 2008-04-08 2012-12-21 Inotera Memories Inc Method and system for detecting tool errors to stop a process recipe for a single chamber
JP5294681B2 (ja) * 2008-04-28 2013-09-18 東京エレクトロン株式会社 基板処理装置及びその基板搬送方法
US20090326704A1 (en) * 2008-06-25 2009-12-31 International Business Machines Corporation Processing lots through a cluster tool having multiple processing chambers
US20100063610A1 (en) * 2008-09-08 2010-03-11 David Angell Method of process modules performance matching
JP5200970B2 (ja) * 2009-02-04 2013-06-05 富士ゼロックス株式会社 品質管理システムおよび品質管理装置および品質管理プログラム
US8285414B2 (en) * 2009-03-31 2012-10-09 International Business Machines Corporation Method and system for evaluating a machine tool operating characteristics
JP5207310B2 (ja) * 2009-04-08 2013-06-12 株式会社日立製作所 プラント監視制御システム用の制御装置
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
WO2011002804A2 (en) * 2009-06-30 2011-01-06 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
EP2365410B1 (en) * 2010-03-09 2018-06-27 Siemens Aktiengesellschaft Controlling a manufacturing process
JP5381831B2 (ja) * 2010-03-16 2014-01-08 東京エレクトロン株式会社 半導体製造システム
US20110282866A1 (en) * 2010-05-17 2011-11-17 Invensys Systems, Inc. System And Method For Retrieving And Processing Information From A Supervisory Control Manufacturing/Production Database
EP2390743A1 (de) * 2010-05-31 2011-11-30 Siemens Aktiengesellschaft Verfahren zur Überwachung des Ablaufs eines Steuerrezeptes eines Chargenprozesses
US9052709B2 (en) 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US8849732B2 (en) * 2010-09-28 2014-09-30 Siemens Aktiengesellschaft Adaptive remote maintenance of rolling stocks
TW201234464A (en) * 2011-02-14 2012-08-16 Horng Terng Automation Co Ltd Breaking point height detection method of wafer breaking
TWI448927B (zh) 2011-06-24 2014-08-11 Ind Tech Res Inst 具相對位置認知之控制系統及控制方法
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
TW201316425A (zh) * 2011-10-12 2013-04-16 Horng Terng Automation Co Ltd 晶圓劈裂檢知方法
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9250619B2 (en) 2011-12-06 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of automatic boundary control for semiconductor processes
CN103199036B (zh) * 2012-01-06 2015-09-09 沈阳新松机器人自动化股份有限公司 集成调度系统的efem及其调度方法
JP5992706B2 (ja) * 2012-03-26 2016-09-14 東京エレクトロン株式会社 半導体製造装置の障害監視システム及び障害監視方法
US9229446B2 (en) 2012-05-08 2016-01-05 International Business Machines Corporation Production line quality processes
US9740182B2 (en) 2012-06-08 2017-08-22 Applied Materials, Inc. Integrated controller solution for monitoring and controlling manufacturing equipment
KR101512950B1 (ko) * 2012-06-26 2015-04-16 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 데이터 관리 장치, 데이터 관리 방법 및 데이터 관리 프로그램이 기록된 기록매체
US20140006338A1 (en) * 2012-06-29 2014-01-02 Applied Materials, Inc. Big data analytics system
JP5783975B2 (ja) * 2012-08-29 2015-09-24 株式会社東芝 半導体製造処理システムおよび方法
US8990375B2 (en) * 2012-08-31 2015-03-24 Facebook, Inc. Subscription groups in publish-subscribe system
US9727045B2 (en) 2012-12-31 2017-08-08 Sunedison Semiconductor Limited (Uen201334164H) Method and system for a meta-recipe control software architecture
US10909137B2 (en) 2014-10-06 2021-02-02 Fisher-Rosemount Systems, Inc. Streaming data for analytics in process control systems
US9665088B2 (en) 2014-01-31 2017-05-30 Fisher-Rosemount Systems, Inc. Managing big data in process control systems
US9823626B2 (en) 2014-10-06 2017-11-21 Fisher-Rosemount Systems, Inc. Regional big data in process control systems
US10678225B2 (en) 2013-03-04 2020-06-09 Fisher-Rosemount Systems, Inc. Data analytic services for distributed industrial performance monitoring
US9804588B2 (en) 2014-03-14 2017-10-31 Fisher-Rosemount Systems, Inc. Determining associations and alignments of process elements and measurements in a process
US10649424B2 (en) 2013-03-04 2020-05-12 Fisher-Rosemount Systems, Inc. Distributed industrial performance monitoring and analytics
US10223327B2 (en) 2013-03-14 2019-03-05 Fisher-Rosemount Systems, Inc. Collecting and delivering data to a big data machine in a process control system
US10866952B2 (en) 2013-03-04 2020-12-15 Fisher-Rosemount Systems, Inc. Source-independent queries in distributed industrial system
US10649449B2 (en) 2013-03-04 2020-05-12 Fisher-Rosemount Systems, Inc. Distributed industrial performance monitoring and analytics
US9397836B2 (en) 2014-08-11 2016-07-19 Fisher-Rosemount Systems, Inc. Securing devices to process control systems
US10282676B2 (en) 2014-10-06 2019-05-07 Fisher-Rosemount Systems, Inc. Automatic signal processing-based learning in a process plant
US10386827B2 (en) 2013-03-04 2019-08-20 Fisher-Rosemount Systems, Inc. Distributed industrial performance monitoring and analytics platform
US9558220B2 (en) 2013-03-04 2017-01-31 Fisher-Rosemount Systems, Inc. Big data in process control systems
US11573672B2 (en) 2013-03-15 2023-02-07 Fisher-Rosemount Systems, Inc. Method for initiating or resuming a mobile control session in a process plant
US9739274B2 (en) * 2013-03-15 2017-08-22 Integrated Designs, L.P. Pump system and method having a quick change motor drive
CN105051760B (zh) 2013-03-15 2018-03-02 费希尔-罗斯蒙特系统公司 数据建模工作室
US9841744B2 (en) * 2013-05-17 2017-12-12 Mitsubishi Electric Corporation Programmable controller, peripheral device thereof, and table data access program of programmable controller
US10642255B2 (en) 2013-08-30 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Component control in semiconductor performance processing with stable product offsets
US10168691B2 (en) 2014-10-06 2019-01-01 Fisher-Rosemount Systems, Inc. Data pipeline for process control system analytics
US20190354096A1 (en) * 2014-11-18 2019-11-21 Machinesense, Llc System for rule management, predictive maintenance and quality assurance of a process and machine using reconfigurable sensor networks and big data machine learning
US11042128B2 (en) * 2015-03-18 2021-06-22 Accenture Global Services Limited Method and system for predicting equipment failure
US9971478B2 (en) 2015-04-10 2018-05-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
DE102015214054A1 (de) 2015-07-24 2017-01-26 Siemens Aktiengesellschaft Verfahren zum Betreiben einer Automatisierungskomponente
CA3007973C (en) * 2015-12-08 2020-11-03 Sight Machine, Inc. System and method for monitoring manufacturing
US10325792B2 (en) 2015-12-17 2019-06-18 Applied Materials, Inc. Methods and apparatus for interactively and dynamically updating a schematic overlay
US10503483B2 (en) 2016-02-12 2019-12-10 Fisher-Rosemount Systems, Inc. Rule builder in a process control network
CN107203196B (zh) * 2016-03-17 2021-03-02 深圳智造谷工业互联网创新中心有限公司 工业设备检测系统及方法
US10901407B2 (en) * 2016-05-31 2021-01-26 Applied Materials, Inc. Semiconductor device search and classification
US10896282B2 (en) 2016-07-12 2021-01-19 Asml Netherlands B.V. Visualization performance metrics of computational analyses of design layouts
US11061795B2 (en) * 2016-08-22 2021-07-13 Optimal Plus Ltd. Methods of smart pairing
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
US10768076B1 (en) 2016-09-30 2020-09-08 Sight Machine, Inc. System and method for monitoring manufacturing
JP2018067626A (ja) * 2016-10-19 2018-04-26 東京エレクトロン株式会社 半導体システム及びデータ編集支援方法
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
US11068478B2 (en) 2017-03-15 2021-07-20 Optimal Plus Ltd. Augmenting reliability models for manufactured products
US11675347B2 (en) 2017-06-01 2023-06-13 LeClaire Manufacturing Company Industrial machine monitoring device
EP3415988A1 (en) * 2017-06-14 2018-12-19 ASML Netherlands B.V. Device manufacturing methods
TWI670952B (zh) * 2017-08-14 2019-09-01 艾普仕股份有限公司 網路切換控制系統
US10389659B2 (en) * 2017-09-27 2019-08-20 Lam Research Corporation Universal multiprotocol industrial data logger
CN108181891B (zh) * 2017-12-13 2020-05-05 东北大学 基于智能核主元分析的工业大数据故障诊断方法
TWI647553B (zh) * 2018-01-19 2019-01-11 上銀科技股份有限公司 Multi-machine synchronous cooperative control method and system
US11610273B2 (en) 2018-04-26 2023-03-21 InfinityQS International, Inc. Enterprise-wide process stream analysis and grading engine with interactive user interface method, system, and computer program product
CN108375390A (zh) * 2018-04-28 2018-08-07 深圳远征技术有限公司 可配置数据集中采集方法、装置及设备
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10867823B2 (en) 2018-05-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fault detection method in semiconductor fabrication
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
JP6851348B2 (ja) * 2018-08-15 2021-03-31 日本電子株式会社 真空装置及び復旧支援方法
WO2020055555A1 (en) 2018-09-12 2020-03-19 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
KR102268279B1 (ko) * 2018-10-18 2021-06-22 세메스 주식회사 기판 처리 장치, 이의 제어 방법 및 기억 매체
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
WO2020108862A1 (en) * 2018-11-26 2020-06-04 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
US20200174444A1 (en) * 2018-11-30 2020-06-04 Lear Corporation Assembly line tool test carrier and method of using
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
KR20220133998A (ko) * 2020-02-21 2022-10-05 나노트로닉스 이미징, 인코포레이티드 제조 공정을 위한 시스템, 방법 및 매체
US11302545B2 (en) * 2020-03-20 2022-04-12 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11675340B2 (en) 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
KR20230042040A (ko) * 2020-07-23 2023-03-27 피디에프 솔루션즈, 인코포레이티드 공정 트레이스를 위한 자동 윈도우 생성
CN115803858A (zh) * 2020-11-12 2023-03-14 朗姆研究公司 半导体制造设备的预测性维护
US11483412B2 (en) * 2020-12-30 2022-10-25 Blackberry Limited Method for marshalling events in a publish-subscribe system
CN114609980A (zh) * 2021-05-13 2022-06-10 苏州蓝桥智能科技有限公司 一种生物医药生产环境管理系统
CN115599620A (zh) * 2021-06-28 2023-01-13 深圳富桂精密工业有限公司(Cn) 监控告警方法及终端设备
US11874649B2 (en) 2021-11-09 2024-01-16 Applied Materials, Inc. Methods and systems for cleaning process sequence management
CN114218197A (zh) * 2021-12-17 2022-03-22 上海繁易信息科技股份有限公司 基于面向对象的工业物联网数据建模方法与系统
US20230298872A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)
USD1031743S1 (en) 2022-05-06 2024-06-18 Applied Materials, Inc. Portion of a display panel with a graphical user interface
CN115951893B (zh) * 2022-12-02 2024-02-23 深圳微迅信息科技有限公司 一种半导体加工脚本获取方法、系统、设备及存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US20020107604A1 (en) * 2000-12-06 2002-08-08 Riley Terrence J. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
US6088689A (en) * 1995-11-29 2000-07-11 Hynomics Corporation Multiple-agent hybrid control architecture for intelligent real-time control of distributed nonlinear processes
JP3998372B2 (ja) * 1999-06-30 2007-10-24 株式会社東芝 半導体処理工程制御システム、半導体処理工程制御方法、及び、そのための処理を記録した記録媒体
US6571285B1 (en) * 1999-12-23 2003-05-27 Accenture Llp Providing an integrated service assurance environment for a network
US6480854B1 (en) * 2000-03-27 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for increasing database availability
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US20020087229A1 (en) * 2001-01-02 2002-07-04 Pasadyn Alexander J. Use of endpoint system to match individual processing stations wirhin a tool
US6801817B1 (en) * 2001-02-20 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple process controllers
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
KR20020081767A (ko) 2001-04-19 2002-10-30 (주) 젠터닷컴 Epg 기반의 데이터 방송 시스템 및 방법과 그 프로그램소스를 저장한 기록매체
US6630362B1 (en) * 2001-06-13 2003-10-07 Advanced Micro Devices, Inc. Method and apparatus for performing trench depth analysis
US7305421B2 (en) * 2001-07-16 2007-12-04 Sap Ag Parallelized redo-only logging and recovery for highly available main memory database systems
US6674459B2 (en) * 2001-10-24 2004-01-06 Microsoft Corporation Network conference recording system and method including post-conference processing
US6842660B2 (en) * 2001-10-31 2005-01-11 Brooks Automation, Inc. Device and method for communicating data in a process control system
TWI233141B (en) * 2001-12-26 2005-05-21 Nat Science Council Method of equipment management

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US20020107604A1 (en) * 2000-12-06 2002-08-08 Riley Terrence J. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)

Also Published As

Publication number Publication date
US20050187649A1 (en) 2005-08-25
TWI233008B (en) 2005-05-21
CN1682165A (zh) 2005-10-12
KR101025527B1 (ko) 2011-04-04
AU2003270866A1 (en) 2004-04-23
EP1546827A1 (en) 2005-06-29
KR20050061498A (ko) 2005-06-22
TW200415453A (en) 2004-08-16
JP2006501680A (ja) 2006-01-12
US7123980B2 (en) 2006-10-17
WO2004031875A1 (en) 2004-04-15
CN100407215C (zh) 2008-07-30

Similar Documents

Publication Publication Date Title
JP4694843B2 (ja) 半導体製作プロセスの監視とコンロトールのための装置
US7636608B2 (en) Method for dynamic sensor configuration and runtime execution
US7113838B2 (en) Method and apparatus for monitoring tool performance
KR100873114B1 (ko) 자동 센서 설치 방법 및 장치
JP4685446B2 (ja) データコンテキストに基づいてデータを処理する方法
KR101108856B1 (ko) 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템
US20050047645A1 (en) Method for interaction with status and control apparatus
KR101030104B1 (ko) 데이터 선이식을 위한 방법
KR100970684B1 (ko) 동적 센서 구성 및 런타임 실행 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110224

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140304

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4694843

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees