KR20230005319A - 제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템 - Google Patents

제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템 Download PDF

Info

Publication number
KR20230005319A
KR20230005319A KR1020227041736A KR20227041736A KR20230005319A KR 20230005319 A KR20230005319 A KR 20230005319A KR 1020227041736 A KR1020227041736 A KR 1020227041736A KR 20227041736 A KR20227041736 A KR 20227041736A KR 20230005319 A KR20230005319 A KR 20230005319A
Authority
KR
South Korea
Prior art keywords
substrate
measurements
process recipe
data
measurement
Prior art date
Application number
KR1020227041736A
Other languages
English (en)
Inventor
우펜드라 움메탈라
블레이크 에릭슨
프라샨트 쿠마르
마이클 커트니
스티븐 트레이 틴델
자오자오 주
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230005319A publication Critical patent/KR20230005319A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • G05B19/0426Programming the control sequence
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32097Recipe programming for flexible batch
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

제조 프로세스 레시피를 수정할지의 여부를 결정하기 위한 방법이 제공된다. 제1 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판이 식별된다. 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송시키기 위한 명령이 생성된다. 기판에 대한 제1 세트의 측정치들은 기판 측정 서브시스템으로부터 수신된다. 기판을 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하기 위한 명령이 생성된다. 기판에 대한 제2 세트의 측정치들이 프로세싱 챔버의 하나 이상의 센서들로부터 수신된다. 기판에 대한 제1 세트의 측정치들과 제2 세트의 측정치들 사이의 제1 매핑이 생성된다. 제1 세트의 측정치들이 기판에 대한 제2 세트의 측정치들로 매핑되는 것이 저장된다. 제1 세트의 측정치들이 기판에 대한 제2 세트의 측정치들로 매핑되는 것에 기초하여, 기판에 대한 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부의 결정이 이루어진다.

Description

제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템
[0001] 본 개시내용의 실시예들은, 일반적으로, 제조 시스템들에 관한 것으로, 보다 구체적으로, 제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템에 관한 것이다.
[0002] 제조 시스템에서의 기판의 프로세싱은 일반적으로 사전 결정된 프로세스 레시피에 따라 기판에 대해 수행되는 다수의 프로세싱 동작들을 포함한다. 일부 경우들에서, 제조 시스템에서의 하나 이상의 조건들은 기판의 프로세싱 동안 예기치 않게 변경될 수 있다. 제조 조건들에서의 변화가 발생할 때 사전 결정된 프로세스 레시피에 따라 기판이 프로세싱되는 경우, 프로세스 동안 에러들이 발생할 수 있고 완성된 기판은 결함이 있을 수 있다. 일부 경우들에서, 기판의 프로세싱 동안 에러가 발생하는 것을 방지하기 위해 변경된 조건을 고려하여 프로세스 레시피의 동작이 수정될 수 있다. 그러나, 제조 시스템의 오퍼레이터가 프로세스 레시피의 어떤 동작이 수정되어야 하는지를 식별하는 것은 어려울 수 있다.
[0003] 설명되는 실시예들 중 일부는 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 프로세스를 식별하는 단계를 포함하는 방법을 다룬다. 방법은 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하는 단계를 더 포함한다. 방법은, 기판 측정 서브시스템으로부터, 기판에 대한 제1 세트의 측정치들을 수신하는 단계를 더 포함한다. 방법은 기판을 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하기 위한 명령을 생성하는 단계를 더 포함한다. 방법은, 프로세싱 챔버의 하나 이상의 센서들로부터, 기판에 대한 제2 세트의 측정치들을 수신하는 단계를 더 포함한다. 방법은 기판에 대한 제1 세트의 측정치들과 제2 세트의 측정치들 사이의 제1 매핑을 생성하는 단계를 더 포함한다. 방법은, 제1 세트의 측정치들이 기판에 대한 제2 세트의 측정치들로 매핑되는 것에 기초하여, 기판에 대한 레시피를 수정할지의 여부를 결정하는 단계를 더 포함한다.
[0004] 일부 실시예들에서, 제조 시스템은 제조 시스템에서 프로세싱되는 기판과 연관되는 데이터를 생성하도록 구성되는 기판 측정 서브시스템, 제조 시스템에서 기판을 프로세싱하도록 구성되는 프로세싱 챔버 ― 프로세싱 챔버는 하나 이상의 센서들을 포함함 ―, 기판 측정 서브시스템과 프로세싱 챔버 사이에서 기판을 이송하도록 구성되는 하나 이상의 이송 로봇들, 및 기판 측정 서브시스템, 프로세싱 챔버, 및 하나 이상의 이송 로봇들에 동작 가능하게 커플링되는 제어기를 포함한다. 제어기는 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판을 식별한다. 제어기는 추가로, 하나 이상의 이송 로봇들로 하여금, 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송하게 하기 위한 명령을 생성한다. 제어기는 추가로, 기판 측정 서브시스템으로부터, 기판에 대한 제1 세트의 측정치들을 수신한다. 제어기는 추가로, 하나 이상의 이송 로봇들로 하여금, 기판을 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하게 하기 위한 명령을 생성한다. 제어기는 추가로, 프로세싱 챔버의 하나 이상의 센서들로부터, 기판에 대한 제2 세트의 측정치들을 수신한다. 제어기는 추가로, 기판에 대한 제1 세트의 측정치들과 제2 세트의 측정치들 사이의 제1 매핑을 생성한다. 제어기는 추가로, 제1 세트의 측정치들이 기판에 대한 제2 세트의 측정치들로 매핑되는 것에 기초하여, 기판에 대한 레시피를 수정할지의 여부를 결정한다.
[0005] 일부 실시예들에서, 비일시적 컴퓨터 판독 가능 저장 매체는, 프로세싱 디바이스에 의해 실행될 때, 프로세싱 디바이스로 하여금, 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판을 식별하게 하는 명령들을 포함한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송하게 하기 위한 명령을 생성하게 한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 기판 측정 서브시스템으로부터, 기판에 대한 제1 세트의 측정치들을 수신하게 한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 기판을 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하게 하기 위한 명령을 생성하게 한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 프로세싱 챔버의 하나 이상의 센서들로부터, 기판에 대한 제2 세트의 측정치들을 수신하게 한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 기판에 대한 제1 세트의 측정치들과 제2 세트의 측정치들 사이의 제1 매핑을 생성하게 한다. 명령들은 추가로, 프로세싱 디바이스로 하여금, 제1 세트의 측정치들이 기판에 대한 제2 세트의 측정치들로 매핑되는 것에 기초하여, 기판에 대한 레시피를 수정할지의 여부를 결정하게 한다.
[0006] 본 개시내용은 유사한 참조 번호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도해들에서, 제한으로서가 아니라, 예로서 예시된다. 본 개시내용에서 "한(an) 또는 "하나의(one)" 실시예에 대한 상이한 언급들은 반드시 동일한 실시예에 대한 것은 아니며, 그러한 언급들은 적어도 하나를 의미한다는 것을 유의해야 한다.
[0007] 도 1은, 본 개시내용의 양태들에 따른, 예시적인 제조 시스템의 개략적인 상면도이다.
[0008] 도 2는, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템의 개략적인 측단면도이다.
[0009] 도 3은, 본 개시내용의 양태들에 따른, 프로세싱 챔버의 개략적인 측단면도이다.
[0010] 도 4는, 본 개시내용의 양태들에 따른, 시스템 제어기를 예시하는 블록도이다.
[0011] 도 5는, 본 개시내용의 양태들에 따른, 제조 시스템의 오퍼레이터에게 통지들을 제공하기 위한 예시적인 그래픽 유저 인터페이스(graphical user interface)를 예시한다.
[0012] 도 6은, 본 개시내용의 양태들에 따른, 기판에 대해 수집되는 스펙트럼 데이터를 예시한다.
[0013] 도 7은, 본 개시내용의 양태들에 따른, 웨이퍼에 대한 프로세스 레시피를 수정할지의 여부를 결정하기 위한 방법의 플로우차트이다.
[0014] 도 8은, 본 개시내용의 양태들에 따른, 웨이퍼에 대한 프로세스 레시피를 수정할지의 여부를 결정하기 위한 다른 방법의 플로우차트이다.
[0015] 도 9는, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템에서 기판에 대한 스펙트럼 데이터를 획득하기 위한 방법의 플로우차트이다.
[0016] 도 10은, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템 내에서의 기판에 대한 포지션 데이터(positional data)를 결정하기 위한 방법의 플로우차트이다.
[0017] 도 11은, 머신으로 하여금 본원에서 논의되는 방법론들 중 임의의 하나 이상의 방법론들을 수행하게 하기 위한 명령들의 세트가 실행될 수 있는 컴퓨팅 디바이스의 예시적 형태인 머신의 도식적 표현을 예시한다.
[0018] 본원에서 설명되는 구현예들은 제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템을 제공한다. 통합 기판 측정 시스템의 다양한 컴포넌트들은 제조 시스템에서 기판에 대한 프로세스를 제어하도록 구성되는 시스템 제어기에 동작 가능하게 커플링될 수 있다. 시스템 제어기는, 제조 시스템의 다양한 부분들로부터 데이터를 수신하도록, 그리고 통합 기판 측정 시스템에서 수집되는 데이터를 저장하도록 전용되는 데이터 저장소에 데이터를 저장하도록 구성될 수 있다. 시스템 제어기는 기판의 프로세싱 이전에, 동안에, 또는 이후에 제조 시스템의 하나 이상의 부분들(예를 들면, 프로세싱 챔버, 로드 락(load lock), 등)로부터 데이터를 수신할 수 있다. 시스템 제어기는 통합 기판 측정 시스템 내에 포함되는 기판 측정 서브시스템으로부터 데이터를 또한 수신할 수 있다. 기판 측정 서브시스템은 (예를 들면, 팩토리 인터페이스(factory interface)에서) 제조 시스템의 하나 이상의 부분들 내에 통합될 수 있다. 기판 측정 서브시스템은 시스템의 다른 부분에서의 기판의 프로세싱 이전에 또는 이후에 기판과 연관되는 데이터를 생성하도록 구성될 수 있다.
[0019] 기판 측정 서브시스템은, 스펙트럼 데이터, 포지션 데이터, 기판 속성 데이터 등을 포함하는, 기판에 대한 하나 이상의 타입들의 데이터를 생성하도록 구성될 수 있다. 기판 측정 서브시스템은, 기판이 제조 시스템에서 프로세싱되기 이전에 또는 이후에 기판에 대한 하나 이상의 측정치들을 획득하기 위한 요청에 응답하여 기판에 대한 데이터를 생성할 수 있다. 기판 측정 서브시스템은 기판에 대한 데이터의 생성을 용이하게 하는 하나 이상의 컴포넌트들을 포함할 수 있다. 예를 들면, 기판 측정 서브시스템은 기판의 일부로부터 스펙트럼들 또는 스펙트럼을 감지하고 기판에 대한 스펙트럼 데이터를 생성하기 위한 스펙트럼 감지 컴포넌트를 포함할 수 있다. 일부 실시예들에서, 스펙트럼 감지 컴포넌트는 제조 시스템에서 수행되는 프로세스의 타입 또는 기판 측정 서브시스템에서 획득될 측정치들의 목표 타입에 기초하여 구성 가능할 수 있는 상호 교환 가능한 컴포넌트일 수 있다. 예를 들면, 스펙트럼 감지 컴포넌트의 하나 이상의 컴포넌트들은, 반사 측정법 스펙트럼 데이터(reflectometry spectral data), 타원편광 해석법 스펙트럼 데이터(ellipsometry spectral data), 하이퍼스펙트럼 이미징 데이터(hyperspectral imaging data), 화학적 이미징(예를 들면, x선 광전자 분광법(x-ray photoelectron spectroscopy; XPS), 에너지 분산형 x 선 분광법(energy-dispersive x-ray spectroscopy; EDX), x 선 형광(x-ray fluorescence; XRF), 등) 데이터 등의 수집을 가능하게 하기 위해, 기판 측정 서브시스템에서 상호 교환될 수 있다. 기판 측정 서브시스템은 기판 측정 서브시스템 내에서의 기판의 포지션 및/또는 배향(orientation)을 수정하도록 구성되는 포지션 컴포넌트들을 또한 포함할 수 있다. 포지션 컴포넌트들은 기판과 연관되는 포지션 데이터를 또한 생성할 수 있다. 기판 측정 서브시스템은 기판의 일부에 대해 생성되는 포지션 데이터 및 스펙트럼 데이터를 상관시킬 수 있다. 기판 측정 서브시스템은 생성된 데이터(예를 들면, 스펙트럼 데이터, 포지션 데이터 등)를 제조 시스템의 시스템 제어기에 송신할 수 있다.
[0020] 시스템 제어기가 제조 시스템의 일부 및 기판 측정 서브시스템으로부터 데이터를 수신하는 것에 응답하여, 시스템 제어기는 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정할 수 있다. 시스템 제어기는 기판 측정 컴포넌트로부터 수신되는 제1 세트의 데이터와 제조 시스템의 일부로부터 수신되는 제2 세트의 데이터 사이의 매핑을 생성할 수 있다. 제1 세트의 데이터와 제2 세트의 데이터 사이의 매핑을 생성하는 것에 응답하여, 시스템 제어기는 매핑에 기초하여 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정할 수 있다. 일부 실시예들에서, 기판에 대한 프로세스 레시피를 수정할 것을 결정하는 것에 응답하여, 시스템 제어기는 프로세스 레시피에 대해 수정이 이루어져야 한다는 것을 권장하는 통지를 제조 시스템의 유저에게 송신할 수 있다. 시스템 제어기는, 권장 사항(recommendation)에 따라 프로세스 레시피가 수정되어야 한다는 통지를 제조 시스템의 유저로부터 수신하는 것에 응답하여 프로세스 레시피를 수정할 수 있다. 다른 또는 유사한 실시예들에서, 시스템 제어기는 제조 시스템의 유저에게 표시(indication)를 제공하지 않으면서 프로세스 레시피를 수정할 수 있다.
[0021] 본 개시내용의 구현예들은, 기판에 대한 프로세스 레시피에 대해 수정이 이루어져야 하는지의 여부를 결정하기 위한 시스템을 제공하는 것에 의해 종래 기술의 위에서 언급된 결함들을 해결한다. 기판이 제조 시스템에서 프로세싱되기 이전에, 동안에, 또는 이후에 기판에 대한 측정치들을 생성하는 것에 의해, 시스템 제어기는 기판에 대한 프로세스에 영향을 끼칠 수 있는 임의의 변경들이 제조 시스템 내에서 발생하였는지를 결정할 수 있다. 제조 시스템 내에서 변경이 발생하였다는 것을 결정하는 것에 응답하여, 시스템 제어기는, 제조 시스템에 대한 변경의 결과로서 기판 프로세스 동안 에러가 발생하는 것을 방지하기 위해, 프로세스 레시피에 대해 이루어질 수정을 결정할 수 있다. 기판에 대한 프로세스 레시피를 수정하는 것에 의해, 시스템 제어기는 프로세싱된 기판이 결함이 있을 가능성을 감소시키고, 따라서, 제조 시스템의 전체적인 스루풋을 증가시킨다. 게다가, 제조 시스템 내에 기판 측정 서브시스템을 통합하는 것에 의해, 제조 시스템 내에서의 각각의 기판의 전체 샘플링 레이트가 증가된다.
[0022] 도 1은, 본 개시내용의 양태들에 따른, 예시적인 제조 시스템(100)의 개략적인 상면도이다. 제조 시스템(100)은 기판(102)에 대해 하나 이상의 프로세스들을 수행할 수 있다. 기판(102)은, 전자 디바이스들 또는 회로 컴포넌트들을 상부에 제조하기에 적합한, 예를 들면, 실리콘 함유 디스크 또는 웨이퍼, 패턴화된 웨이퍼, 유리 판 등과 같은, 임의의 적절하게 강성인, 고정된 치수의 평면 물품일 수 있다.
[0023] 제조 시스템(100)은 프로세스 도구(104) 및 프로세스 도구(104)에 커플링되는 팩토리 인터페이스(106)를 포함할 수 있다. 프로세스 도구(104)는 내부에 이송 챔버(110)를 구비하는 하우징(108)을 포함할 수 있다. 이송 챔버(110)는 그 주위에 배치되어 그에 커플링되는 하나 이상의 프로세싱 챔버들(프로세스 챔버들로서 또한 지칭됨)(114, 116, 118)을 포함할 수 있다. 프로세싱 챔버들(114, 116, 118)은 슬릿 밸브들 등과 같은 개개의 포트들을 통해 이송 챔버(110)에 커플링될 수 있다.
[0024] 프로세싱 챔버들(114, 116, 118)은 기판들(102)에 대해 임의의 수의 프로세스들을 실행하도록 구성될 수 있다. 동일한 또는 상이한 기판 프로세스가 각각의 프로세싱 챔버(114, 116, 118)에서 발생할 수 있다. 기판 프로세스는 원자 층 증착(atomic layer deposition; ALD), 물리적 기상 증착(physical vapor deposition; PVD), 화학적 기상 증착(chemical vapor deposition; CVD), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등을 포함할 수 있다. 일부 실시예들에서, 기판 프로세스는 원자 층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등 중 두 개 이상의 조합을 포함할 수 있다. 하나의 예에서, PVD 프로세스는 프로세스 챔버들(114) 중 하나 또는 둘 모두에서 수행될 수 있고, 에칭 프로세스는 프로세스 챔버들(116) 중 하나 또는 둘 모두에서 수행될 수 있으며, 어닐링 프로세스는 프로세스 챔버들(118) 중 하나 또는 둘 모두에서 수행될 수 있다. 내부의 기판들에 대해 다른 프로세스들이 실행될 수 있다. 프로세싱 챔버들(114, 116, 118) 각각은 기판 프로세스 이전에, 이후에, 또는 동안에, 기판(102) 및/또는 프로세싱 챔버들(114, 116, 118) 내의 환경에 대한 데이터를 캡처하도록 구성되는 하나 이상의 센서들을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 센서들은 다음의 것들 중 하나 이상의 것들의 값을 포함하는 데이터를 캡처하도록 구성될 수 있다: 스펙트럼들 또는 스펙트럼(예를 들면, 광 스펙트럼들), 온도(예를 들면, 히터 온도), 간격(spacing; SP), 압력, 고주파수 무선 주파수(high frequency radio frequency; HFRF), 정전 척(electrostatic chuck; ESC)의 전압, 전류, 플로우, 전력, 전압, 커패시턴스 등. 프로세싱 챔버들(114, 116, 118)에 대한 추가적인 세부사항들은 도 3과 관련하여 제공된다.
[0025] 이송 챔버(110)는 이송 챔버 로봇(112)을 또한 포함할 수 있다. 이송 챔버 로봇(112)은 하나의 또는 다수의 암들을 포함할 수 있는데, 각각의 암은 각각의 암의 단부에서 하나 이상의 엔드 이펙터(end effector)들을 포함한다. 엔드 이펙터는, 웨이퍼들과 같은 특정한 오브젝트들을 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터는 프로세스 키트 링들과 같은 오브젝트들을 핸들링하도록 구성될 수 있다. 일부 실시예들에서, 이송 챔버 로봇(112)은 선택적 순응 어셈블리 로봇 암(selective compliance assembly robot arm; SCARA) 로봇, 예컨대 2 링크 SCARA 로봇, 3 링크 SCARA 로봇, 4 링크 SCARA 로봇 등일 수 있다.
[0026] 로드 락(120)은 하우징(108) 및 이송 챔버(110)에 또한 커플링될 수 있다. 로드 락(120)은 일측 상의 이송 챔버(110) 및 팩토리 인터페이스(106)와 인터페이싱하도록, 그리고 그들에 커플링되도록 구성될 수 있다. 로드 락(120)은, 일부 실시예들에서, 진공 환경(여기서 기판들은 이송 챔버(110)로 그리고 그로부터 이송될 수 있음)으로부터 대기압 또는 거의 대기압의 불활성 가스 환경(여기서 기판들은 팩토리 인터페이스(106)로 그리고 그로부터 이송될 수 있음)으로 변경될 수 있는 환경 제어식 분위기(environmentally-controlled atmosphere)를 가질 수 있다. 일부 실시예들에서, 로드 락(120)은 상이한 수직 레벨들(예를 들면, 하나의 레벨 위의 다른 레벨)에 위치되는 한 쌍의 상위 내부 챔버들 및 한 쌍의 하위 내부 챔버들을 갖는 적층식 로드 락일 수 있다. 일부 실시예들에서, 한 쌍의 상위 내부 챔버들은 프로세스 도구(104)로부터의 제거를 위해 이송 챔버(110)로부터 프로세싱된 기판들을 수용하도록 구성될 수 있고, 한편, 한 쌍의 하위 내부 챔버들은 프로세스 도구(104) 내에서의 프로세싱을 위해 팩토리 인터페이스(106)로부터 기판들을 수용하도록 구성될 수 있다. 일부 실시예들에서, 로드 락(120)은 내부에 수용되는 하나 이상의 기판들(102)에 대해 기판 프로세스(예를 들면, 에칭 또는 사전 세정)를 수행하도록 구성될 수 있다.
[0027] 팩토리 인터페이스(106)는, 예를 들면, 기기 프론트 엔드 모듈(Equipment Front End Module; EFEM)과 같은 임의의 적절한 엔클로저일 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 다양한 로드 포트들(124)에서 도킹되는 기판 캐리어들(122)(예를 들면, 전면 개방형 통합 포드(Front Opening Unified Pod; FOUP)들)로부터 기판들(102)을 수용하도록 구성될 수 있다. (점선으로 도시되는) 팩토리 인터페이스 로봇(126)은 기판 캐리어들(컨테이너들로서 또한 지칭됨)(122)과 로드 락(120) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 다른 및/또는 유사한 실시예들에서, 팩토리 인터페이스(106)는 교체 부품들 저장 컨테이너들(123)로부터 교체 부품들을 수용하도록 구성될 수 있다. 팩토리 인터페이스 로봇(126)은 하나 이상의 로봇 암들을 포함할 수 있고 SCARA 로봇일 수 있거나 또는 그 SCARA 로봇을 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇(126)은 이송 챔버 로봇(112)보다 더 많은 링크들 및/또는 더 큰 자유도(degree of freedom)들을 가질 수 있다. 팩토리 인터페이스 로봇(126)은 각각의 로봇 암의 단부 상에서 엔드 이펙터를 포함할 수 있다. 엔드 이펙터는 특정한 오브젝트들, 예컨대 웨이퍼들을 픽업하고 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터는 프로세스 키트 링들과 같은 오브젝트들을 핸들링하도록 구성될 수 있다.
[0028] 팩토리 인터페이스 로봇(126)에 대해 임의의 종래의 로봇 타입이 사용될 수 있다. 이송들은 임의의 순서 또는 방향으로 실행될 수 있다. 팩토리 인터페이스(106)는, 일부 실시예들에서, 예를 들면, (예를 들면, 비반응성 가스로서 질소를 사용하여) 약간 양압의(positive-pressure) 비반응성 가스 환경에서 유지될 수 있다.
[0029] 일부 실시예들에서, 이송 챔버(110), 프로세스 챔버들(114, 116, 및 118) 및 로드 락(120)은 진공 레벨에서 유지될 수 있다. 제조 시스템(100)은 제조 시스템(100)의 하나 이상의 스테이션들에 커플링되는 하나 이상의 진공 포트들을 포함할 수 있다. 예를 들면, 제1 진공 포트들(130a)은 팩토리 인터페이스(106)를 로드 락들(120)에 커플링할 수 있다. 제2 진공 포트들(130b)은 로드 락들(120)에 커플링될 수 있고 로드 락들(120)과 이송 챔버(110) 사이에서 배치될 수 있다. 다른 또는 유사한 실시예들에서, 이송 챔버(110), 프로세스 챔버들(114, 116, 및 118), 및/또는 로드 락(120)은 진공 레벨에서 유지되지 않을 수 있다.
[0030] 제조 시스템(100)은 제조 시스템(100)에 관한 정보를 유저(예를 들면, 오퍼레이터)에게 제공하도록 구성되는 클라이언트 디바이스(도시되지 않음)에 또한 연결될 수 있다. 클라이언트 디바이스는 퍼스널 컴퓨터(personal computer; PC), 랩탑, 이동 전화, 스마트폰, 태블릿 컴퓨터, 넷북 컴퓨터, 네트워크에 연결된 텔레비전 등과 같은 컴퓨팅 디바이스를 포함할 수 있다. 일부 실시예들에서, 클라이언트 디바이스는 하나 이상의 그래픽 유저 인터페이스(graphical user interface; GUI)들을 통해 제조 시스템(100)의 유저에게 정보를 제공할 수 있다. 예를 들면, 클라이언트 디바이스는 GUI를 통해 기판(102)에 대한 프로세스 레시피에 대해 이루어질 하나 이상의 수정들에 관한 정보를 제공할 수 있다.
[0031] 제조 시스템(100)은 시스템 제어기(128)를 또한 포함할 수 있다. 시스템 제어기(128)는 퍼스널 컴퓨터, 서버 컴퓨터, 프로그래밍 가능 논리 제어기(programmable logic controller; PLC), 마이크로제어기 등과 같은 컴퓨팅 디바이스일 수 있고 및/또는 그 컴퓨팅 디바이스를 포함할 수 있다. 시스템 제어기(132)는, 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스들일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다. 보다 구체적으로, 프로세싱 디바이스는 복합 명령 세트 컴퓨팅(complex instruction set computing; CISC) 마이크로프로세서, 축약형 명령 세트 컴퓨팅(reduced instruction set computing; RISC) 마이크로프로세서, 아주 긴 명령 워드(very long instruction word; VLIW) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는, 또한, 주문형 집적 회로(application specific integrated circuit; ASIC), 필드 프로그래머블 게이트 어레이(field programmable gate array; FPGA), 디지털 신호 프로세서(digital signal processor; DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 시스템 제어기(128)는 데이터 스토리지 디바이스(예를 들면, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 제어기(128)는 본원에서 설명되는 방법론들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 일부 실시예들에서, 시스템 제어기(128)는 프로세스 레시피에 따라 제조 시스템(100)에서 하나 이상의 동작들을 수행하기 위한 명령들을 실행할 수 있다. 프로세스 레시피는 특정한 순서로 제조 시스템(100)에서 수행될 일련의 동작들을 포함한다. 명령들은 (명령들의 실행 동안) 메인 메모리, 정적 메모리, 보조 스토리지 및/또는 프로세싱 디바이스를 포함할 수 있는 컴퓨터 판독 가능 저장 매체 상에 저장될 수 있다.
[0032] 시스템 제어기(128)는 제조 시스템(100)의 다양한 부분들(예를 들면, 프로세싱 챔버들(114, 116, 118), 이송 챔버(110), 로드 락(120) 등) 상에 또는 그들 내부에 포함되는 센서들로부터 데이터를 수신할 수 있다. 시스템 제어기(128)에 의해 수신되는 데이터는 기판(102) 및/또는 제조 시스템(100)의 일부 내의 기판(102)을 둘러싸는 환경과 연관되는 데이터를 포함할 수 있다. 본 설명의 목적들을 위해, 시스템 제어기(128)는 프로세싱 챔버들(114, 116, 118) 내에 포함되는 센서들로부터 데이터를 수신하는 것으로 설명된다. 그러나, 시스템 제어기(128)는 제조 시스템(100)의 임의의 부분으로부터 데이터를 수신할 수 있고 본원에서 설명되는 실시예들에 따라 그 부분으로부터 수신되는 데이터를 사용할 수 있다. 예시적인 예에서, 시스템 제어기(128)는 프로세싱 챔버들(114, 116, 118)에서의 기판 프로세스 이전에, 이후에, 또는 동안에 프로세싱 챔버(114, 116, 118)에 대한 하나 이상의 센서들로부터 데이터를 수신할 수 있다. 그러한 예에서, 온도 데이터, 포지션 데이터(예를 들면, 프로세싱 챔버(114, 116, 118) 내에서의 기판(102)의 포지션 및/또는 배향) 등을 포함하는, 프로세싱 챔버(114, 116, 118)로부터 수신되는 데이터는 기판(102)과 연관될 수 있다. 시스템 제어기(128)에 의해 수신되는 데이터는, 프로세싱 챔버(114, 116, 118)의 온도 또는 내부 압력, 프로세싱 챔버(114, 116, 118) 내부의 방사선의 양 등을 표시하는 데이터를 비롯하여, 프로세싱 챔버들(114, 116, 118)의 환경과 또한 연관될 수 있다. 제조 시스템(100)의 다양한 부분들의 센서들로부터 수신되는 데이터는 데이터 저장소(150)에 저장될 수 있다. 데이터 저장소(150)는 시스템 제어기(128) 내의 컴포넌트로서 포함될 수 있거나 또는 시스템 제어기(128)와는 별개의 컴포넌트일 수 있다. 데이터 저장소(150)에 관한 추가적인 세부사항들은 도 4와 관련하여 제공된다.
[0033] 제조 시스템(100)은 기판 측정 서브시스템(140)을 포함할 수 있다. 기판 측정 서브시스템(140)은 기판(102)이 제조 시스템(100)에서 프로세싱되기 이전에 또는 이후에 기판(102)의 하나 이상의 부분들에 대한 측정치들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(140)은 시스템 제어기(128)로부터 측정치들에 대한 요청을 수신하는 것에 응답하여 기판(102)의 하나 이상의 부분들에 대한 측정치들을 획득할 수 있다. 기판 측정 서브시스템(140)은 제조 시스템(100)의 일부 내에 통합될 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(140)은 팩토리 인터페이스(106) 내에 통합될 수 있다. 그러한 실시예들에서, 팩토리 인터페이스 로봇(126)은 기판 캐리어들(122)과 기판 측정 서브시스템(140) 및/또는 기판 측정 서브시스템(140)과 로드 락(120) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 기판 측정 서브시스템(140)은 제조 시스템(100)의 어떤 부분과도 통합되지 않을 수 있고 대신 독립형 컴포넌트일 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(140)에서 측정되는 기판(102)은, 기판(102)이 제조 시스템(100)에서 프로세싱되기 이전에 또는 이후에, 제조 시스템(100)의 일부로 및 또는 그 일부로부터 이송될 수 있다.
[0034] 기판 측정 서브시스템(140)은 기판(102)의 일부와 연관되는 데이터를 생성하는 것에 의해 기판(102)의 일부에 대한 측정치들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(140)은 기판(102)에 대한 스펙트럼 데이터, 포지션 데이터, 및 다른 기판 속성 데이터를 생성하도록 구성된다. 일부 실시예들에서, 기판 측정 서브시스템(140)은 하나 이상의 반사 측정법 센서들(즉, 반사계(reflectometer))를 포함할 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(140)에 의해 생성되는 스펙트럼 데이터는 기판(102)의 일부로부터 반사되는 파(wave)의 각각의 파장의 반사된 광학적 강도(intensity)를 가리킬 수 있다. 다른 또는 유사한 실시예들에서, 기판 측정 서브시스템(140)은 하나 이상의 타원편광 해석법 센서들(즉, 타원편광 해석기(ellipsometer))를 포함할 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(140)에 의해 생성되는 스펙트럼 데이터는 기판(102)의 일부로부터 반사되는 편광된 광파(light wave)의 파장의 반사된 광학적 강도를 가리킬 수 있다. 다른 또는 유사한 실시예들에서, 스펙트럼 데이터는 열 스펙트럼들 센서(thermal spectra sensor)들 등으로부터 수집되는 스펙트럼 데이터를 지칭할 수 있다. 상기에서 언급되는 바와 같이, 기판 측정 서브시스템(140)은 기판(102)에 대한 다른 기판 속성 데이터(즉, 비스펙트럼(non-spectral) 데이터)를 생성할 수 있다. 예를 들면, 기판 측정 서브시스템(140)은 와전류(eddy current)(즉, 유도) 센서들, 정전용량 센서들 등으로부터 수집되는 신호들에 기초하여 데이터를 생성할 수 있다.
[0035] 기판(102)에 대한 데이터를 생성한 이후, 기판 측정 서브시스템(140)은 생성된 데이터를 시스템 제어기(128)에 송신할 수 있다. 기판 측정 서브시스템(140)으로부터 데이터를 수신하는 것에 응답하여, 시스템 제어기(128)는 데이터를 데이터 저장소(150)에 저장할 수 있다.
[0036] 일부 실시예들에서, 기판 측정 서브시스템(140)으로부터 시스템 제어기(128)에 의해 수신되는 데이터는 프로세싱 챔버(114, 116, 118)의 하나 이상의 센서들로부터 수신되는 데이터와 연관될 수 있다. 예를 들면, 기판(102)에 대한 제1 세트의 데이터가 기판 측정 서브시스템(140)에서 생성될 수 있다. 시스템 제어기(128)가 제1 세트의 데이터를 수신하는 것에 응답하여, 기판(102)은 프로세싱을 위해 프로세싱 챔버(114, 116, 118)로 이송될 수 있다. 프로세싱 챔버들(114, 116, 118)에서, 기판(102)에 대해 제2 세트의 데이터가 생성되어 시스템 제어기(128)로 전송될 수 있다. 제1 세트의 데이터가 제2 세트의 데이터와 연관된다는 것을 결정하는 것에 응답하여, 시스템 제어기(128)는 제1 세트의 데이터와 제2 세트의 데이터 사이의 매핑을 생성하여 생성된 매핑을 데이터 저장소(150)에 저장할 수 있다. 제1 세트의 데이터와 제2 세트의 데이터 사이의 매핑에 기초하여, 시스템 제어기(128)는 기판(102)에 대한 프로세스 레시피를 수정할지의 여부를 결정할 수 있다. 기판(102)에 대한 프로세스 레시피를 수정할지의 여부를 결정하는 시스템 제어기(128)에 관한 추가적인 세부사항들은 도 4와 관련하여 제공된다.
[0037] 일부 실시예들에서, 프로세스 레시피를 수정할 것을 결정하는 것에 응답하여, 시스템 제어기(128)는 제조 시스템(100)의 오퍼레이터에게 프로세스 레시피가 수정되어야 한다는 것을 표시하는 통지를 제공할 수 있다. 몇몇 예들에서, 통지는 클라이언트 디바이스를 통해 디스플레이되는 GUI, 예컨대 도 5의 GUI(500)를 통해 제공될 수 있다. 통지는, 오퍼레이터가 프로세스 레시피에 대한 수정을 수락하는 것 또는 거부하는 것을 가능하게 하는 GUI 엘리먼트와 함께, 프로세스 레시피의 하나 이상의 동작들을 수정하기 위한 권장 사항을 제공할 수 있다. 다른 또는 유사한 실시예들에서, 통지는, 오퍼레이터가 다른 대안적인 권장 사항들에 비해 한 권장 사항을 선택하는 것을 가능하게 하는 하나 이상의 GUI 엘리먼트들과 함께, 프로세스 레시피의 하나 이상의 동작들에 대한 수정들을 위한 다수의 대안적인 권장 사항들을 제공할 수 있다. 일부 실시예들에서, 시스템 제어기(128)는 제조 시스템(100)의 오퍼레이터에게 통지를 제공하지 않을 수 있고, 대신, 프로세스 레시피에 대한 최상의 수정의 식별에 기초하여 프로세스 레시피를 수정할 수 있다.
[0038] 도 2는, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템(200)의 개략적인 측단면도이다. 기판 측정 서브시스템(200)은, 프로세싱 챔버에서의 기판(102)의 프로세싱 이전에 또는 이후에, 기판, 예컨대 도 1의 기판(102)의 하나 이상의 부분들에 대한 측정치들을 획득하도록 구성될 수 있다. 기판 측정 서브시스템(200)은 기판(102)의 일부와 연관되는 데이터를 생성하는 것에 의해 기판(102)의 일부에 대한 측정치들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(200)은 기판(102)과 연관되는 스펙트럼 데이터, 포지션 데이터, 및/또는 다른 속성 데이터를 생성하도록 구성될 수 있다. 기판 측정 서브시스템(200)은 기판(102)의 일부와 연관되는 데이터를 생성하기 위한 하나 이상의 명령들을 실행하도록 구성되는 제어기(230)를 포함할 수 있다.
[0039] 기판 측정 서브시스템(200)은 기판(102)이 기판 측정 서브시스템(200)으로 이송되는 때를 검출하도록 구성되는 기판 감지 컴포넌트(214)를 포함할 수 있다. 기판 감지 컴포넌트(214)는 기판(102)이 기판 측정 서브시스템(200)으로 이송되는 때를 검출하도록 구성되는 임의의 컴포넌트를 포함할 수 있다. 예를 들면, 기판 감지 컴포넌트(214)는, 기판 측정 서브시스템(200)에 대한 입구를 가로질러 광학 빔을 전달하는 광학적 감지 컴포넌트를 포함할 수 있다. 기판 감지 컴포넌트(214)는, 기판(102)이 기판 측정 서브시스템(200) 내에서 배치될 때, 기판 측정 서브시스템(200)에 대한 입구를 가로질러 전달되는 광학 빔을 기판(102)이 차단하는 것에 응답하여 기판(102)이 기판 측정 서브시스템(200)으로 이송되었다는 것을 검출할 수 있다. 기판(102)이 기판 측정 서브시스템(200)으로 이송되었다는 검출에 응답하여, 기판 감지 컴포넌트(214)는 기판(102)이 기판 측정 서브시스템(200)으로 이송되었다는 것을 표시하는 표시를 제어기(230)에 송신할 수 있다.
[0040] 일부 실시예들에서, 기판 감지 컴포넌트(214)는 추가로, 기판(102)과 연관되는 식별용 정보(identifying information)를 검출하도록 구성될 수 있다. 일부 실시예들에서, 기판(102)은 기판 측정 서브시스템(200)으로 이송될 때 기판 캐리어(도시되지 않음) 내에 임베딩될 수 있다. 기판 캐리어는 기판(102)의 식별을 가능하게 하는 하나 이상의 등록 피처들을 포함할 수 있다. 예를 들면, 기판 감지 컴포넌트(214)의 광학적 감지 컴포넌트는 기판 캐리어 내에 임베딩되는 기판(102)이 기판 측정 서브시스템(200)에 대한 입구를 가로질러 전달되는 광학 빔을 차단하였다는 것을 검출할 수 있다. 광학적 감지 컴포넌트는 추가로, 기판 캐리어 상에 포함되는 하나 이상의 등록 피처들을 검출할 수 있다. 하나 이상의 등록 피처들을 검출하는 것에 응답하여, 광학적 감지 컴포넌트는 하나 이상의 등록 피처들과 연관되는 광학적 서명(optical signature)을 생성할 수 있다. 기판 감지 컴포넌트(214)는, 기판이 기판 측정 서브시스템(200) 내에 배치되었다는 표시와 함께, 광학적 감지 컴포넌트에 의해 생성되는 광학적 서명을 제어기(230)에 송신할 수 있다. 감지 컴포넌트(214)로부터 광학적 서명을 수신하는 것에 응답하여, 제어기(230)는 기판(102)과 연관되는 식별용 정보를 결정하기 위해 광학적 서명을 분석할 수 있다. 기판(102)과 연관되는 식별용 정보는 기판(102)에 대한 식별자, 기판(102)에 대한 프로세스에 대한 식별자(예를 들면, 배치 번호(batch number) 또는 프로세스 실행 번호(process run number)), 기판(102)에 대한 타입의 식별자(예를 들면, 웨이퍼 등) 등을 포함할 수 있다.
[0041] 기판 측정 서브시스템(200)은 기판 측정 서브시스템(200) 내에서의 기판(102)의 포지션 및/또는 배향을 결정하도록 구성되는 하나 이상의 컴포넌트들을 포함할 수 있다. 기판(102)의 포지션 및/또는 배향은 기판(102)의 기준 위치의 식별에 기초하여 결정될 수 있다. 기준 위치는 기판(102)의 특정한 부분과 연관되는 식별용 피처(identifying feature)를 포함하는 기판(102)의 부분일 수 있다. 예를 들면, 기판(102)은 기판(102)의 중심 부분에서 임베딩되는 기준 태그를 가질 수 있다. 다른 예에서, 기판(102)은 기판(102)의 중앙 부분에서 기판(102)의 표면 상에 포함되는 하나 이상의 구조적 피처들을 가질 수 있다. 제어기(230)는 기판(102)에 대한 결정된 식별용 정보에 기초하여 기판(102)의 특정한 부분과 연관되는 식별용 피처를 결정할 수 있다. 예를 들면, 기판(102)이 웨이퍼임을 결정하는 것에 응답하여, 제어기(230)는, 일반적으로 웨이퍼의 일부에 포함되는 하나 이상의 식별용 피처들을 결정할 수 있다.
[0042] 제어기(230)는 기판(102)에 대한 이미지 데이터를 캡처하도록 구성되는 하나 이상의 카메라 컴포넌트들(250)을 사용하여 기판(102)에 대한 기준 위치를 식별할 수 있다. 카메라 컴포넌트들(250)은 기판(102)의 하나 이상의 부분들에 대한 이미지 데이터를 생성하고 그 이미지 데이터를 제어기(230)에 송신할 수 있다. 제어기(230)는 기판(102)에 대한 기준 위치와 연관되는 식별용 피처를 식별하기 위해 이미지 데이터를 분석할 수 있다. 제어기(230)는 추가로, 기판(102)의 식별된 식별용 피처에 기초하여 이미지 데이터에서 묘사되는 바와 같은 기판(102)의 포지션 및/또는 배향을 결정할 수 있다. 제어기(230)는 기판(102)의 식별된 식별용 피처 및 이미지 데이터에서 묘사되는 바와 같은 기판(102)의 결정된 포지션 및/또는 배향에 기초하여 기판(102)의 포지션 및/또는 배향을 결정할 수 있다.
[0043] 기판(102)의 포지션 및/또는 배향을 결정하는 것에 응답하여, 제어기(230)는 기판(102)의 하나 이상의 부분들과 연관되는 포지션 데이터를 생성할 수 있다. 일부 실시예들에서, 포지션 데이터는, 각각이 기판(102)의 일부와 연관되는 하나 이상의 좌표들(예를 들면, 데카르트 좌표(Cartesian coordinate)들, 극좌표(polar coordinate)들 등)을 포함할 수 있는데, 여기서 각각의 좌표는 기판(102)에 대한 기준 위치로부터의 거리에 기초하여 결정된다. 예를 들면, 기판(102)의 포지션 및/또는 배향을 결정하는 것에 응답하여, 제어기(230)는 기준 위치를 포함하는 기판(102)의 일부와 연관되는 제1 포지션 데이터를 생성할 수 있는데, 여기서 제1 포지션 데이터는 (0,0)의 데카르트 좌표를 포함한다. 제어기(230)는 기준 위치에 대한 기판(102)의 제2 부분과 연관되는 제2 포지션 데이터를 생성할 수 있다. 예를 들면, 기준 위치의 대략 2 나노미터(nm) 정동쪽에 위치되는 기판(102)의 일부는 (0,1)의 데카르트 좌표를 할당받을 수 있다. 다른 예에서, 기준 위치의 5 nm 정북쪽에 위치되는 기판(102)의 일부는 (1,0)의 데카르트 좌표를 할당받을 수 있다.
[0044] 제어기(230)는 기판(102)에 대해 결정되는 포지션 데이터에 기초하여 측정할 기판(102)의 하나 이상의 부분들을 결정할 수 있다. 일부 실시예들에서, 제어기(230)는 기판(102)과 연관되는 프로세스 레시피의 하나 이상의 동작들을 수신할 수 있다. 그러한 실시예들에서, 제어기(230)는 추가로, 프로세스 레시피의 하나 이상의 동작들에 기초하여 측정할 기판(102)의 하나 이상의 부분들을 결정할 수 있다. 예를 들면, 제어기(230)는 기판(102)의 표면 상으로 여러 가지 구조적 피처들이 에칭되었던 기판(102)에 대해 에칭 프로세스가 수행되었다는 표시를 수신할 수 있다. 결과적으로, 제어기(230)는 측정할 하나 이상의 구조적 피처들 및 기판(102)의 다양한 부분들에서의 피처들의 예상된 위치들을 결정할 수 있다.
[0045] 기판 측정 서브시스템(200)은 기판(102)을 측정하기 위한 하나 이상의 측정 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(200)은 기판(102)의 하나 이상의 부분들에 대한 스펙트럼 데이터를 생성하도록 구성되는 하나 이상의 스펙트럼 감지 컴포넌트들(220)을 포함할 수 있다. 앞서 논의되는 바와 같이, 스펙트럼 데이터는 검출된 파(wave)의 각각의 파장에 대한 검출된 에너지 파의 강도(즉, 에너지의 세기(strength) 또는 양)에 대응할 수 있다. 수집된 스펙트럼 데이터에 관한 추가적인 세부사항들이 도 6과 관련하여 제공된다. 기판(102)을 측정하기 위한 측정 컴포넌트들은 비스펙트럼 데이터를 수집 및 생성하도록 구성되는 비스펙트럼 감지 컴포넌트들(도시되지 않음)을 또한 포함할 수 있다. 예를 들면, 측정 컴포넌트들은 와전류 센서 또는 용량성 센서를 포함할 수 있다. 본 설명의 일부 실시예들이 기판(102)에 대한 스펙트럼 데이터를 수집하고 사용하는 것을 참조할 수 있지만, 본 설명의 실시예들은 기판(102)에 대해 수집되는 비스펙트럼 데이터에 적용 가능할 수 있다.
[0046] 스펙트럼 감지 컴포넌트(220)는 기판(102)의 일부로부터 반사되는 에너지의 파들을 검출하도록 그리고 검출된 파들과 연관되는 스펙트럼 데이터를 생성하도록 구성될 수 있다. 스펙트럼 감지 컴포넌트(220)는 파 생성기(222) 및 반사파 수신기(224)를 포함할 수 있다. 일부 실시예들에서, 파 생성기(222)는 기판(102)의 일부를 향하는 광의 빔을 생성하도록 구성되는 광파 생성기일 수 있다. 그러한 실시예들에서, 반사파 수신기(224)는 기판(102)의 일부로부터 반사된 광 빔을 수신하도록 구성될 수 있다. 파 생성기(222)는 에너지 스트림(226)(예를 들면, 광 빔)을 생성하도록 그리고 에너지 스트림(226)을 기판(102)의 일부에 송신하도록 구성될 수 있다. 반사된 에너지 파(228)가 기판(102)의 일부로부터 반사될 수 있고 반사파 수신기(224)에 의해 수신될 수 있다. 도 3a가 기판(102)의 표면에서 반사되는 단일의 에너지 파를 예시하지만, 다수의 에너지 파들이 기판(102)의 표면에서 반사되고 반사파 수신기(224)에 의해 수신될 수 있다.
[0047] 반사파 수신기(224)가 기판(102)의 일부로부터 반사된 에너지 파(228)를 수신하는 것에 응답하여, 스펙트럼 감지 컴포넌트(220)는 반사된 에너지 파(228)에 포함되는 각각의 파의 파장을 측정할 수 있다. 스펙트럼 감지 컴포넌트(220)는 추가로, 각각의 측정된 파장의 강도를 측정할 수 있다. 각각의 파장 및 각각의 파장 강도를 측정하는 것에 응답하여, 스펙트럼 감지 컴포넌트(220)는 기판(102)의 일부에 대한 스펙트럼 데이터를 생성할 수 있다. 스펙트럼 감지 컴포넌트(220)는 생성된 스펙트럼 데이터를 제어기(230)에 송신할 수 있다. 제어기(230)는, 생성된 스펙트럼 데이터를 수신하는 것에 응답하여, 수신된 스펙트럼 데이터와 기판(102)의 측정된 부분에 대한 포지션 데이터 사이의 매핑을 생성할 수 있다.
[0048] 기판 측정 서브시스템(200)은 기판 측정 서브시스템(200)에서 획득될 측정치의 타입에 기초하여 특정한 타입의 스펙트럼 데이터를 생성하도록 구성될 수 있다. 일부 실시예들에서, 스펙트럼 감지 컴포넌트(220)는 하나의 타입의 스펙트럼 데이터를 생성하도록 구성되는 제1 스펙트럼 감지 컴포넌트일 수 있다. 예를 들면, 스펙트럼 감지 컴포넌트(220)는 반사 측정법 스펙트럼 데이터, 타원편광 해석법 스펙트럼 데이터, 하이퍼스펙트럼 이미징 데이터, 화학적 이미징 데이터, 열 스펙트럼 데이터, 또는 전도성 스펙트럼 데이터를 생성하도록 구성될 수 있다. 그러한 실시예들에서, 제1 스펙트럼 감지 컴포넌트는 기판 측정 서브시스템(200)으로부터 제거될 수 있고 상이한 타입의 스펙트럼 데이터(예를 들면, 반사 측정법 스펙트럼 데이터, 타원편광 해석법 스펙트럼 데이터, 하이퍼스펙트럼 이미징 데이터, 또는 화학 이미징 데이터)를 생성하도록 구성되는 제2 스펙트럼 감지 컴포넌트로 대체될 수 있다.
[0049] 제어기(230)는 기판(102)의 하나 이상의 부분들에 대해 획득될 측정치의 타입에 기초하여 기판(102)에 대해 생성될 데이터의 타입(즉, 스펙트럼 데이터, 비스펙트럼 데이터)을 결정할 수 있다. 일부 실시예들에서, 제어기(230)는 시스템 제어기(128)로부터 수신되는 통지에 기초하여 측정치들의 하나 이상의 타입들을 결정할 수 있다. 다른 또는 유사한 실시예들에서, 제어기(230)는 기판(102)의 일부에 대한 측정치를 생성하기 위한 명령에 기초하여 측정치들의 하나 이상의 타입들을 결정할 수 있다. 획득될 측정치들의 하나 이상의 타입들을 결정하는 것에 응답하여, 제어기(230)는 기판(102)에 대해 생성될 데이터의 타입을 결정할 수 있다. 예를 들면, 제어기(230)는, 스펙트럼 데이터가 기판(102)에 대해 생성될 것이라는 것 및 제2 스펙트럼 감지 컴포넌트가 기판(102)의 하나 이상의 부분들에 대한 측정치들의 결정된 타입을 획득하기 위한 최적의 감지 컴포넌트라는 것을 결정할 수 있다. 제2 감지 컴포넌트가 최적의 감지 컴포넌트라는 것을 결정하는 것에 응답하여, 제어기(230)는, 제1 스펙트럼 감지 컴포넌트가 제2 스펙트럼 감지 컴포넌트로 교체되어야 하고 제2 스펙트럼 감지 컴포넌트가 기판(102)의 하나 이상의 부분들에 대한 측정치들의 하나 이상의 타입들을 획득하기 위해 사용되어야 한다는 것을 표시하는 통지를 시스템 제어기에 송신할 수 있다. 시스템 제어기(128)는 통지를 제조 시스템에 연결되는 클라이언트 디바이스에 송신할 수 있는데, 여기서 클라이언트 디바이스는 GUI를 통해 제조 시스템의 유저(예를 들면, 오퍼레이터)에게 통지를 제공할 수 있다.
[0050] 다른 또는 유사한 실시예들에서, 스펙트럼 감지 컴포넌트(220)는 다수의 타입들의 스펙트럼 데이터를 생성하도록 구성될 수 있다. 그러한 실시예들에서, 제어기(230)는, 앞서 설명된 실시예들에 따라, 스펙트럼 감지 컴포넌트(220)로 하여금, 기판(102)의 하나 이상의 부분들에 대해 획득될 측정치들의 타입에 기초하여 특정한 타입의 스펙트럼 데이터를 생성하게 할 수 있다. 획득될 측정치들의 타입을 결정하는 것에 응답하여, 제어기(230)는 제1 타입의 스펙트럼 데이터가 스펙트럼 감지 컴포넌트(220)에 의해 생성될 것이라는 것을 결정할 수 있다. 제1 타입의 스펙트럼 데이터가 스펙트럼 감지 컴포넌트(220)에 의해 생성될 것이라는 결정에 기초하여, 제어기(230)는 스펙트럼 감지 컴포넌트(220)로 하여금 기판(102)의 하나 이상의 부분들에 대한 제1 타입의 스펙트럼 데이터를 생성하게 할 수 있다.
[0051] 앞서 설명되는 바와 같이, 제어기(230)는 기판 측정 서브시스템(200)에서 측정할 기판(102)의 하나 이상의 부분들을 결정할 수 있다. 일부 실시예들에서, 하나 이상의 측정 컴포넌트들, 예컨대 스펙트럼 감지 컴포넌트(220)는 기판 측정 서브시스템(200) 내의 고정식 컴포넌트들일 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(200)은 스펙트럼 감지 컴포넌트(220)에 대한 기판(102)의 포지션 및/또는 배향을 수정하도록 구성되는 하나 이상의 포지션 컴포넌트들(240)을 포함할 수 있다. 일부 실시예들에서, 포지션 컴포넌트들(240)은 스펙트럼 감지 컴포넌트(220)에 대해 제1 축 및 또는 제2 축을 따라 기판(102)을 병진시키도록(translate) 구성될 수 있다. 다른 또는 유사한 실시예들에서, 포지션 컴포넌트들(240)은 스펙트럼 감지 컴포넌트(220)에 대해 제3 축을 중심으로 기판(102)을 회전시키도록 구성될 수 있다.
[0052] 스펙트럼 감지 컴포넌트(220)가 기판(102)의 하나 이상의 부분들에 대한 스펙트럼 데이터를 생성함에 따라, 포지션 컴포넌트들(240)은 기판(102)에 대해 측정될 하나 이상의 결정된 부분들에 따라 기판(102)의 포지션 및/또는 배향을 수정할 수 있다. 예를 들면, 스펙트럼 감지 컴포넌트(220)가 기판(102)에 대한 스펙트럼 데이터를 생성하기 이전에, 포지션 컴포넌트들(240)은 기판(102)을 데카르트 좌표 (0,0)에 포지셔닝시킬 수 있고, 스펙트럼 감지 컴포넌트(220)는 데카르트 좌표 (0,0)에서 기판(102)에 대한 제1 스펙트럼 데이터를 생성할 수 있다. 스펙트럼 감지 컴포넌트(220)가 데카르트 좌표 (0,0)에서 기판(102)에 대한 제1 스펙트럼 데이터를 생성하는 것에 응답하여, 포지션 컴포넌트들(240)은, 스펙트럼 감지 컴포넌트(220)가 데카르트 좌표 (0,1)에서 기판(102)에 대한 제2 스펙트럼 데이터를 생성하게 구성되도록, 제1 축을 따라 기판(102)을 병진시킬 수 있다. 스펙트럼 감지 컴포넌트(220)가 데카르트 좌표 (0,1)에서 기판(102)에 대한 제2 스펙트럼 데이터를 생성하는 것에 응답하여, 제어기(230)는, 스펙트럼 감지 컴포넌트(220)가 데카르트 좌표 (1,1)에서 기판(102)에 대한 제3 스펙트럼 데이터를 생성하게끔 구성되도록, 제2 축을 따라 기판(102)을 회전시킬 수 있다. 이 프로세스는 기판(102)의 각각의 결정된 부분에 대해 스펙트럼 데이터가 생성될 때까지 여러 번 발생할 수 있다.
[0053] 일부 실시예들에서, 재료의 하나 이상의 층들(212)이 기판(102)의 표면 상에 포함될 수 있다. 하나 이상의 층들(212)은 에칭 재료, 포토레지스트 재료, 마스크 재료, 증착된 재료 등을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 층들(212)은 프로세싱 챔버에서 수행되는 에칭 프로세스에 따라 에칭될 에칭 재료를 포함할 수 있다. 그러한 실시예들에서, 스펙트럼 데이터는, 이전에 개시된 실시예들에 따라, 기판(102) 상에 증착되는 층(212)의 에칭되지 않은 에칭 재료의 하나 이상의 부분들에 대해 수집될 수 있다. 다른 또는 유사한 실시예들에서, 하나 이상의 층들(212)은 프로세싱 챔버에서 에칭 프로세스에 따라 이미 에칭된 에칭 재료를 포함할 수 있다. 그러한 실시예들에서, 하나 이상의 구조적 피처들(예를 들면, 라인들, 칼럼(column)들, 개구들 등)이 기판(102)의 하나 이상의 층들(212) 안으로 에칭될 수 있다. 그러한 실시예들에서, 스펙트럼 데이터는 기판(102)의 하나 이상의 층들(212) 안으로 에칭되는 하나 이상의 구조적 피처들에 대해 수집될 수 있다.
[0054] 일부 실시예들에서, 기판 측정 서브시스템(200)은 기판(102)에 대한 추가적인 데이터를 캡처하도록 구성되는 하나 이상의 추가적인 센서들을 포함할 수 있다. 예를 들면, 기판 측정 서브시스템(200)은 기판(102)의 두께, 기판(102)의 표면 상에 증착되는 막의 두께 등을 결정하도록 구성되는 추가적인 센서들을 포함할 수 있다. 각각의 센서는 캡처된 데이터를 제어기(230)에 송신하도록 구성될 수 있다.
[0055] 기판(102)에 대한 스펙트럼 데이터, 포지션 데이터, 또는 속성 데이터 중 적어도 하나를 수신하는 것에 응답하여, 제어기(230)는, 본원에서 설명되는 실시예에 따라, 프로세싱 및 분석을 위해 수신된 데이터를 시스템 제어기(128)에 송신할 수 있다.
[0056] 도 3은, 본 개시내용의 양태들에 따른, 프로세싱 챔버(300)의 개략적인 측단면도를 묘사한다. 프로세싱 챔버(300)는 부식성 플라즈마 환경이 제공되는 프로세스들에 대해 사용될 수 있다. 예를 들면, 프로세싱 챔버(300)는 플라즈마 에칭기(plasma etcher) 또는 플라즈마 에칭 반응기, 플라즈마 세정기 등을 위한 챔버일 수 있다. 대안적인 실시예들에서, 부식성 플라즈마 환경에 노출될 수 있는 또는 노출되지 않을 수 있는 다른 프로세싱 챔버들이 사용될 수 있다. 챔버 컴포넌트들의 몇몇 예들은 화학적 기상 증착(CVD) 챔버, 물리적 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 이온 보조 증착(ion assisted deposition; IAD) 챔버, 에칭 챔버, 및 다른 타입들의 프로세싱 챔버들을 포함한다.
[0057] 하나의 실시예에서, 프로세싱 챔버(300)는 챔버 본체(302) 및 내부 볼륨(306)을 둘러싸는 샤워헤드(showerhead; 330)를 포함한다. 챔버 본체(302)는 일반적으로 측벽들(308) 및 저부(310)를 포함한다. 샤워헤드(330)는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트(332)를 포함할 수 있다. 대안적으로, 샤워헤드(330)는 일부 실시예들에서 뚜껑 및 노즐에 의해, 또는 다른 실시예들에서 다수의 파이 형상의 샤워헤드 격실들 및 플라즈마 생성 유닛들에 의해 교체될 수 있다. 배기 포트(326)는 챔버 본체(302) 내에서 정의될 수 있고, 내부 볼륨(306)을 펌프 시스템(328)에 커플링할 수 있다. 펌프 시스템(328)은 프로세싱 챔버(300)의 내부 볼륨(306)의 압력을 배출하고 조절하기 위해 활용되는 하나 이상의 펌프들 및 스로틀 밸브들을 포함할 수 있다.
[0058] 샤워헤드(330)는 챔버 본체(302)의 측벽(308) 상에서 지지될 수 있다. 샤워헤드(330)(또는 뚜껑)는 프로세싱 챔버(300)의 내부 볼륨(306)에 대한 액세스를 허용하도록 개방될 수 있고, 폐쇄된 동안 프로세싱 챔버(300)에 대한 밀봉을 제공할 수 있다. 가스 패널(도시되지 않음)이 프로세싱 챔버(300)에 커플링되어 프로세스 및/또는 세정 가스들을 샤워헤드(330) 또는 뚜껑 및 노즐을 통해(예를 들면, 샤워헤드 또는 뚜껑 및 노즐의 어퍼쳐들을 통해) 내부 볼륨(306)에 제공할 수 있다.
[0059] 기판 지지 어셈블리(348)는 샤워헤드(330) 아래의 프로세싱 챔버(300)의 내부 볼륨(306)에서 배치된다. 기판 지지 어셈블리(348)는, 프로세싱 동안, 기판, 예컨대 도 1의 기판(102)을 유지한다. 하나의 실시예에서, 기판 지지 어셈블리(348)는 정전 척(350)을 지지하는 페데스탈(352)을 포함한다. 정전 척(350)은 열 전도성 베이스 및 열 전도성 베이스에 접합되는(bonded) 정전 퍽(electrostatic puck)을 더 포함한다. 정전 척(350)의 열 전도성 베이스 및/또는 정전 퍽은, 기판 지지 어셈블리(348)의 측면 온도 프로파일을 제어하기 위해, 하나 이상의 옵션 사항의 임베딩된 가열 엘리먼트들, 임베딩된 열 절연체들 및/또는 도관들을 포함할 수 있다. 정전 척(350)은 척킹 전력 소스에 의해 제어되는 적어도 하나의 클램핑 전극을 포함할 수 있다.
[0060] 프로세싱 챔버(300)는, 기판(102)의 프로세싱 이전에, 이후에, 또는 동안에, 기판(102) 및/또는 기판(102)을 둘러싸는 환경에 대한 데이터를 생성하도록 구성되는 하나 이상의 센서들(360)을 포함할 수 있다. 각각의 센서(360)는 제어기, 예컨대 시스템 제어기(128)에 데이터를 송신하도록 구성될 수 있다. 일부 실시예들에서, 하나 이상의 센서들(360)은 프로세싱 챔버(300)의 컴포넌트 내에 임베딩될 수 있고 컴포넌트의 기능과 연관되는 데이터를 캡처하도록 구성될 수 있다. 예를 들면, 센서들(360A)은 기판 지지 어셈블리(348) 및/또는 정전 척(350) 내에 임베딩될 수 있다. 프로세싱 챔버(300)의 동작 동안, 센서들(360A)은 정전 척(350) 내에 임베딩되는 하나 이상의 가열 엘리먼트들의 온도, 기판 지지 어셈블리(348)의 측면 온도 프로파일, 척킹 전력 소스에 의해 공급되는 전력의 양 등과 연관되는 데이터를 생성할 수 있다. 다른 예에서, 센서들(360B)은 가스 패널 및/또는 샤워헤드(330) 내에 임베딩될 수 있다. 그러한 예에서, 센서들(360B)은 샤워헤드(330)를 통해 내부 볼륨(306)에 제공되는 프로세스 및/또는 세정 가스들의 조성, 유량 및 온도와 연관되는 데이터를 생성하도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 프로세싱 동안 기판(102)을 둘러싸는 환경과 연관되는 데이터를 캡처하기 위해, 하나 이상의 센서들(360)이 프로세싱 챔버(300)의 내부 볼륨(306) 내에 임베딩될 수 있다. 예를 들면, 센서들(360C)은 챔버 본체(302)의 표면(예를 들면, 측벽(308)) 상에 임베딩될 수 있다. 그러한 예에서, 센서들(360C)은 내부 볼륨(306)의 압력, 내부 볼륨(306)의 온도, 내부 볼륨(306) 내에서의 방사선의 양 등과 연관되는 데이터를 생성하도록 구성될 수 있다.
[0061] 일부 실시예들에서, 프로세싱 챔버(300) 외부의 하나 이상의 센서들(360)은, 기판(344)의 프로세싱 이전에, 이후에, 또는 동안에, 기판(102) 및/또는 기판(102)을 둘러싸는 환경에 대한 데이터를 생성하도록 구성될 수 있다. 예를 들면, 센서(360D)는 기판(102) 표면의 하나 이상의 부분들과 연관되는 데이터를 생성하도록 구성될 수 있다. 투명 윈도우(370)가 샤워헤드(330) 또는 측벽들(308) 중 적어도 하나 내에 임베딩될 수 있다. 센서(360D)는 광원 컴포넌트 및 광 반사 컴포넌트를 포함하는 광학적 방출 디바이스(optical emission device)일 수 있다. 광원 컴포넌트는 광을 투명 윈도우(370)를 통해 기판(102)의 일부로 투과시키도록 구성될 수 있다. 반사된 광은, 투명 윈도우(370)를 통해, 기판(102)의 일부로부터 투과될 수 있고, 센서(360D)의 광 반사 컴포넌트에 의해 수신될 수 있다. 센서(360D)는 광 반사 컴포넌트에 의해 수신되는 반사된 광과 연관되는 스펙트럼 데이터를 생성할 수 있고 생성된 스펙트럼 데이터를 제어기, 예컨대 시스템 제어기(128)에 송신할 수 있다. 일부 실시예들에서 센서(360D)는, 예시되는 바와 같이, 기판(102)의 중앙 부분과 연관되는 스펙트럼 데이터를 생성하도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 센서(360D)는 기판(102)의 다른 부분(예를 들면, 기판(102)의 외경)과 연관되는 스펙트럼 데이터를 생성하도록 구성될 수 있다.
[0062] 도 4는, 본 개시내용의 양태들에 따른, 시스템 제어기를 예시하는 블록도이다. 일부 실시예들에서, 시스템 제어기는, 도 1과 관련하여 설명되는 시스템 제어기(128)일 수 있다. 시스템 제어기(128)는 기판 데이터 수집 에이전트(410) 및 데이터 저장소(420)를 포함할 수 있다.
[0063] 예시되는 바와 같이, 기판 데이터 수집 에이전트(410)는 기판 측정 서브시스템 데이터 모듈(412)(본원에서 SMS 데이터 모듈(412)로서 지칭됨), 센서 데이터 모듈(414), 데이터 매핑 모듈(416), 및 프로세스 레시피 수정 모듈(418)을 포함할 수 있다. 기판 데이터 수집 에이전트(410)는 SMS 데이터(422), 센서 데이터(424), 데이터 매핑들(426), 프로세스 레시피(428), 및 수정된 프로세스 레시피(430)를 저장하는 데이터 저장소(420)와 통신할 수 있다.
[0064] 데이터 저장소(420)는 제조 시스템의 유저에 의해 액세스 가능하지 않은 데이터를 저장하도록 구성될 수 있다. 일부 실시예들에서, 데이터 저장소(420)에 저장되는 모든 데이터는 제조 시스템의 유저(예를 들면, 오퍼레이터)에 의해 액세스 불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 저장소(420)에 저장되는 데이터의 일부는 유저에 의해 액세스 불가능할 수 있고, 한편, 데이터 저장소(420)에 저장되는 데이터의 다른 부분은 유저에 의해 액세스 가능할 수 있다. 일부 실시예들에서, 데이터 저장소(420)에 저장되는 데이터의 하나 이상의 부분들은, 유저에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화될 수 있다(예를 들면, 데이터는 개인 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 저장소(420)는 다수의 데이터 저장소들을 포함할 수 있는데, 여기서, 유저가 액세스 가능하지 않은 데이터는 하나 이상의 제1 데이터 저장소들에 저장되고 유저가 액세스 가능한 데이터는 하나 이상의 제2 데이터 저장소들에 저장된다.
[0065] SMS 데이터 모듈(412)은 기판 측정 서브시스템, 예컨대 도 2의 기판 측정 서브시스템(200)으로부터 데이터를 수신하도록 구성될 수 있다. 앞서 설명되는 바와 같이, 시스템 제어기(128)는, 제조 시스템에서의 기판의 프로세싱 이전에 또는 이후에 기판에 대한 하나 이상의 측정치들을 획득하기 위해, 기판이 기판 측정 서브시스템(200)으로 이송되게 하기 위한 명령을 생성할 수 있다. 기판이 기판 측정 서브시스템(200)으로 이송되었다는 표시를 시스템 제어기(128)가 수신하는 것에 응답하여, SMS 데이터 모듈(412)은 기판의 하나 이상의 부분들에 대한 측정치들을 획득하기 위한 요청을 기판 측정 서브시스템(200)에 송신할 수 있다.
[0066] 앞서 설명되는 바와 같이, 시스템 제어기(128)는 프로세스 레시피(428)에 따라 제조 시스템에서 기판에 대한 프로세스를 제어할 수 있다. 일부 실시예들에서, SMS 데이터 모듈(412)은 프로세스 레시피에 기초하여 기판 측정 서브시스템(200)에서 측정될 기판의 하나 이상의 부분들을 결정할 수 있다. 예를 들면, 프로세스 레시피의 동작은 프로세싱 챔버에서 기판의 표면 상에 증착되는 재료의 층을 에칭하는 것을 포함할 수 있다. 프로세스 레시피의 동작에 기초하여, SMS 데이터 모듈(412)은 프로세싱 챔버에서의 에칭 프로세스 이전에 그리고 이후에 모니터링할 기판의 표면의 하나 이상의 부분들을 결정할 수 있다. 그러한 실시예들에서, SMS 데이터 모듈(412)은 기판 측정 서브시스템(200)에서 측정될 기판의 결정된 하나 이상의 부분들의 표시를, 기판 측정 서브시스템(200)에서 측정치들을 획득하기 위한 요청에서 포함할 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(200)의 제어기, 예컨대 제어기(230)는, 본원에서 설명되는 실시예들에 따라, 기판 측정 서브시스템(200)에서 측정할 기판의 하나 이상의 부분들을 결정할 수 있다.
[0067] 측정치들을 획득하기 위한 요청을 송신하는 것에 응답하여, SMS 데이터 모듈(412)은 기판 측정 서브시스템(200)으로부터 SMS 데이터(422)를 수신할 수 있다. SMS 데이터(422)는 스펙트럼 데이터, 포지션 데이터, 속성 데이터 등을 포함할 수 있다. 일부 실시예들에서, SMS 데이터(422)는 기판과 연관되는 정보(예를 들면, 기판에 대한 식별자) 또는 기판과 연관되는 프로세스(예를 들면, 배치 번호 또는 프로세스 실행 번호)를 더 포함할 수 있다. 기판 측정 서브시스템(200)으로부터 SMS 데이터(422)를 수신하는 것에 응답하여, SMS 데이터 모듈(412)은 SMS 데이터(422)가 데이터 저장소(420)에 저장되게 할 수 있다.
[0068] 센서 데이터 모듈(414)은, 기판에 대해 프로세스가 수행되기 이전에, 수행되는 동안, 또는 수행된 이후에, 제조 시스템의 하나 이상의 부분들, 예컨대 프로세싱 챔버(300)로부터 데이터를 수신하도록 구성될 수 있다. 기판이 프로세싱 챔버(300)로 이송되는 것에 응답하여, 센서 데이터 모듈(414)은, 프로세싱 챔버(300)에서 기판 프로세스가 수행되기 이전에, 수행되는 동안, 또는 수행된 이후에 기판의 하나 이상의 부분들에 대한 측정치들을 획득하기 위한 요청을 프로세싱 챔버(300)에 송신할 수 있다. 일부 실시예들에서, 센서 데이터 모듈(414)은 프로세싱 챔버(300)에서 측정치들을 획득하기 위한 요청을 송신하지 않고도 프로세싱 챔버(300)에서 하나 이상의 센서들에 의해 생성되는 데이터를 수신할 수 있다. 일부 실시예들에서, 프로세싱 챔버(300)에서 획득되는 기판에 대한 측정치들은 기판 측정 서브시스템(200)에서 획득되는 측정치들에 대응할 수 있다. SMS 데이터 모듈(412)과 관련하여 설명되는 실시예들에 따르면, 센서 데이터 모듈(414)은 프로세싱 챔버(300)에서 획득될 하나 이상의 측정치들을 결정할 수 있다. 예를 들면, 센서 데이터 모듈(414)은 프로세싱 챔버(300)에서 측정될 기판의 하나 이상의 부분들을 결정할 수 있다.
[0069] 센서 데이터 모듈(414)은 기판 데이터에 대한 요청을 프로세싱 챔버(300)에 송신하는 것에 응답하여 프로세싱 챔버(300)로부터 센서 데이터(424)를 수신할 수 있다. 센서 데이터(424)는 스펙트럼 데이터, 온도 데이터, 압력 데이터 등을 포함할 수 있다. 일부 실시예들에서, 센서 데이터(424)는, 이전에 설명된 실시예들에 따라, 기판과 연관되는 정보 또는 기판과 연관되는 프로세스(예를 들면, 기판 식별자 또는 프로세스 식별자)를 포함할 수 있다. 프로세싱 챔버(300)로부터 센서 데이터(424)를 수신하는 것에 응답하여, 센서 데이터 모듈(414)은 센서 데이터(424)가 데이터 저장소(420)에 저장되게 할 수 있다.
[0070] 시스템 제어기(128)가 SMS 데이터(422) 및 센서 데이터(424)를 수신하는 것에 응답하여, 데이터 매핑 모듈(416)은 센서 데이터(424)와 연관되는 SMS 데이터(422) 사이의 매핑을 생성할 수 있다. 데이터 매핑 모듈(416)은, 주어진 기판에 대한 수신된 SMS 데이터(422)가 주어진 기판에 대한 센서 데이터(424)와 연관되는지, 그리고 그 반대의 경우도 가능한지의 여부를 결정할 수 있다. 일부 실시예들에서, 데이터 매핑 모듈(416)은 공통 센서 식별자 또는 공통 로트 식별자에 기초하여 SMS 데이터(422)가 센서 데이터(424)와 연관된다는 것을 결정할 수 있다. 주어진 기판에 대한 SMS 데이터(422)가 주어진 기판에 대한 센서 데이터(424)와 연관된다는 것을 결정하는 것에 응답하여, 데이터 매핑 모듈(416)은 SMS 데이터(422)와 센서 데이터(424) 사이의 매핑을 생성할 수 있고 데이터 매핑(426)으로서 식별되는 매핑을 데이터 저장소(420)에 저장할 수 있다.
[0071] 시스템 제어기(128)가 센서 데이터(424)를 수신하기 이전에 SMS 데이터를 수신한다는 것을 본 개시내용의 실시예들이 설명할 수 있지만, 일부 실시예들에서, 시스템 제어기(128)는 SMS 데이터(422)를 수신하기 이전에 센서 데이터(424)를 수신할 수 있다는 것을 유의해야 한다. 예를 들면, 기판(102)에 대한 제1 측정은 프로세싱 챔버(300)에서 수행될 수 있고 센서 데이터(424)는 시스템 제어기(128)에 송신될 수 있다. 기판은 프로세싱 챔버(300)에서의 프로세싱 이후 (예를 들면, 이송 로봇을 사용하여) 기판 측정 서브시스템(200)으로 이송될 수 있다. 기판 측정 서브시스템(200)은, 상기에서 설명되는 실시예들에 따라, 기판(102)에 대한 제2 측정을 수행할 수 있고 SMS 데이터(422)를 시스템 제어기(128)에 송신할 수 있다. 게다가, 기판 측정 서브시스템(200)에서 다수의 측정들이 수행될 수 있다는 것을 유의해야 한다. 예를 들면, 제1 SMS 데이터(422)는 기판 측정 서브시스템(200)에서의 제1 측정 동안 획득될 수 있고, 센서 데이터(424)는 프로세싱 챔버(300)에서의 제2 측정 동안 획득될 수 있으며, 제2 SMS 데이터(422)는 기판 측정 서브시스템(200)에서의 제3 측정 동안 획득될 수 있다.
[0072] 유사한 또는 대안적인 실시예들에서, 기판 측정 서브시스템(200)은 기판(102)에 대한 제1 측정 및 제2 측정을 수행할 수 있다. 예를 들면, 기판 측정 서브시스템(200)은 기판(102)에 대한 제1 SMS 데이터(422)(예를 들면, 스펙트럼 데이터)를 획득할 수 있고 기판(102)에 대한 제2 SMS 데이터(422)(예를 들면, 비스펙트럼 데이터)를 획득할 수 있다. 제1 SMS 데이터(422) 또는 제2 SMS 데이터(422) 중 적어도 하나는 프로세싱 챔버(300)에서 기판(102)이 프로세싱되기 이전에 또는 이후에 획득될 수 있다.
[0073] 레시피 수정 모듈(418)은, 데이터 매핑 모듈(416)에 의해 생성되는 데이터 매핑(426)에 기초하여 프로세스 레시피(428)를 수정할지의 여부를 결정할 수 있다. 레시피 수정 모듈(418)은 데이터 매핑(426)에 의해 함께 매핑되는 SMS 데이터(422)(예를 들면, 제1 SMS 데이터, 제2 SMS 데이터 등) 및/또는 센서 데이터(424)를 식별할 수 있다. 일부 실시예들에서, SMS 데이터(422)의 타입은 센서 데이터(424)의 타입에 대응한다. 그러한 실시예들에서, 레시피 수정 모듈(418)은 SMS 데이터(422)와 센서 데이터(424) 사이의 차이를 결정하기 위해 SMS 데이터(422)를 센서 데이터(424)에 비교할 수 있다. SMS 데이터(422)와 센서 데이터(424) 사이의 차이를 결정하는 것에 응답하여, 레시피 수정 모듈(418)은 결정된 차이를 차이 임계치에 비교할 수 있다. 차이가 차이 임계치를 초과한다는 것을 결정하는 것에 응답하여, 레시피 수정 모듈(418)은 프로세스 레시피(428)를 수정할 것을 결정할 수 있다.
[0074] 일부 실시예들에서, 레시피 수정 모듈(418)은 SMS 데이터(422)와 센서 데이터(424) 사이의 매핑에 기초하여 프로세싱 챔버(300) 내에서의 기판의 포지션을 결정할 수 있다. 앞서 설명되는 바와 같이, SMS 데이터(422)는 기판 측정 서브시스템(200)에서 기판의 하나 이상의 부분들에 대해 생성되는 스펙트럼 데이터를 포함할 수 있다. SMS 데이터(422)는 생성된 스펙트럼 데이터(예를 들면, 기판의 각각의 부분에 대한 데카르트 좌표들)와 연관되는 포지션 데이터를 더 포함할 수 있다. 앞서 설명되는 바와 같이, 센서 데이터(424)는 프로세싱 챔버(300)에서 기판의 하나 이상의 부분들에서 생성되는 스펙트럼 데이터를 포함할 수 있다. 레시피 수정 모듈(418)은 센서 데이터(424)의 제2 스펙트럼 데이터에 대응하는 SMS 데이터(422)의 제1 스펙트럼 데이터를 식별할 수 있다. 레시피 수정 모듈(418)은, SMS 데이터(422)의 제1 스펙트럼 데이터와 연관되는 SMS 데이터(422)의 포지션 데이터에 기초하여, 프로세싱 챔버(300) 내에서의 기판의 포지션을 결정할 수 있다. 레시피 수정 모듈은, 프로세싱 챔버(300) 내에서의 기판의 결정된 포지션에 기초하여, 프로세싱 챔버(300) 내의 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정할 수 있다.
[0075] 일부 실시예들에서, 레시피 수정 모듈(418)은 SMS 데이터(422)를 목표 측정 값(432)에 비교할 수 있다. 목표 측정 값(432)은 기판의 하나 이상의 부분들에 대한 목표 측정 값들을 포함할 수 있다. SMS 데이터(422)와 목표 측정 값(432) 사이의 차이가 차이 임계치를 초과한다는 것을 결정하는 것에 응답하여, 레시피 수정 모듈(418)은 프로세스 레시피(428)를 수정할 것을 결정할 수 있다.
[0076] 일부 실시예들에서, 레시피 수정 모듈(418)은 SMS 데이터(422)와 센서 데이터(424) 및/또는 SMS 데이터(422)와 목표 측정 값(432) 사이의 차이를 고려할 것으로 예상되는 프로세스 레시피(428)에 대한 수정을 결정할 수 있다. 일부 실시예들에서, 레시피 수정 모듈(418)은, SMS 데이터(422)와 센서 데이터(424) 및/또는 SMS 데이터(422)와 목표 측정 값(432) 사이의 차이를 수정 결정 컴포넌트(도시되지 않음)에 제공하는 것에 의해 프로세스 레시피(428)에 대한 수정을 결정할 수 있다. 그러한 실시예들에서, 수정 결정 컴포넌트는, 제공되는 차이에 기초하여 프로세스 레시피(428)에 대해 이루어질 권장된 수정을, 레시피 수정 모듈(418)로 제공할 수 있다. 일부 실시예들에서, 수정 결정 컴포넌트는 SMS 데이터(422)와 센서 데이터(424) 및/또는 SMS 데이터(422)와 목표 측정 값(432) 사이의 차이들을 고려하여 이루어질 수 있는 프로세스 레시피 수정들과 연관되는 하나 이상의 규칙들을 포함하는 규칙 데이터베이스일 수 있다. 다른 또는 유사한 실시예들에서, 수정 결정 컴포넌트는 SMS 데이터(422)와 센서 데이터(424) 및/또는 SMS 데이터(422)와 목표 측정 값(432) 사이의 차이를 프로세스 레시피 수정에 연관시키는 데이터 구조를 포함할 수 있다.
[0077] 예시적인 예에서, 수정 결정 컴포넌트는, SMS 데이터(422)와 센서 데이터(424) 및/또는 SMS 데이터(422)와 목표 측정 값(432) 사이의 차이에 기초하여, 기판을 프로세싱하기 위해 사용되는 프로세싱 챔버가 불균일한 에칭 레이트와 연관된다는 것을 결정할 수 있다. 프로세싱 챔버가 불균일한 에칭 레이트와 연관된다는 결정에 기초하여, 수정 결정 컴포넌트는, 프로세싱 챔버에서 프로세싱되는 미래의 기판들에 대해 균일한 에칭 레이트를 달성하기 위해 수정할 하나 이상의 프로세스 파라미터 값들을 식별할 수 있다. 프로세스 파라미터 값 수정의 한 예는 기판 지지 어셈블리의 제1 구역에서의 온도의 감소 및 기판 지지 어셈블리의 제1 구역의 온도의 증가를 포함할 수 있다.
[0078] 일부 실시예들에서, 레시피 수정 모듈(418)은 제조 시스템에 연결되는 클라이언트 디바이스에게 통지를 송신할 수 있는데, 여기서 통지는 프로세스 레시피(428)에 대한 수정이 권장된다는 것을 표시한다. 클라이언트 디바이스는 GUI, 예컨대 도 5의 GUI(500)를 통해 클라이언트 디바이스의 유저에게 통지를 디스플레이할 수 있다. 레시피 수정 모듈(418)은 프로세스 레시피(428)를 수정하기 위한 명령을 클라이언트 디바이스로부터 수신할 수 있다. 프로세스 레시피(428)를 수정하기 위한 명령을 수신하는 것에 응답하여, 레시피 수정 모듈(418)은 프로세스 레시피를 수정하고 수정된 프로세스 레시피(430)를 데이터 저장소(420)에 저장할 수 있다. 일부 실시예들에서, 레시피 수정 모듈(418)은 클라이언트 디바이스에게 통지를 송신하지 않을 수 있고, 대신 프로세스 레시피를 수정할 수 있다.
[0079] 상기에서 설명되는 바와 같이, 기판(102)에 대한 제1 측정은 프로세싱 챔버(300)에서 수행될 수 있고 기판(102)에 대한 제2 측정은 기판 측정 서브시스템(200)에서 수행될 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(200)은 앞서 설명된 실시예들에 따라 기판 측정 서브시스템(200)에서 기판(102)의 포지션을 결정할 수 있다. 레시피 수정 모듈(418)은 SMS 데이터(422)(즉, 제2 측정치)와 센서 데이터(424)(즉, 제1 측정치) 사이의 매핑에 기초하여 프로세싱 챔버(300) 내의 기판의 포지션을 결정할 수 있다. 레시피 수정 모듈(418)은 SMS 데이터(422)를 센서 데이터(424)에 비교할 수 있고, 비교에 기초하여, 앞서 설명된 실시예들에 따라, 프로세스 레시피(428)를 수정할지의 여부를 결정할 수 있다.
[0080] 일부 실시예들에서, (예를 들면, 프로세싱 챔버(300)에서 기판(102)이 프로세싱되기 이전에 및/또는 이후에) 외부 계측 도구에서 기판(102)에 대한 외부 계측 데이터가 수집될 수 있다. 시스템 제어기(128)는, 앞서 설명된 실시예들에 따라, 외부 계측 도구로부터 외부 계측 데이터를 수신할 수 있고 수신된 외부 계측 데이터를 데이터 저장소에 저장할 수 있다. 데이터 매핑 모듈(416)은, 기판(102)에 대한 외부 계측 데이터와 다른 데이터(예를 들면, SMS 데이터(422), 센서 데이터(424)) 사이의 매핑을 포함하도록 기판(102)에 대한 데이터 매핑을 업데이트할 수 있다. 레시피 수정 모듈(418)은, 앞서 설명된 실시예들에 따라, 기판(102)에 대한 업데이트된 데이터 매핑(426)에 기초하여 프로세스 레시피(428)를 수정할지의 여부를 결정할 수 있다.
[0081] 도 5는, 본 개시내용의 양태들에 따른, 제조 시스템의 유저(예를 들면, 오퍼레이터)에게 통지들을 제공하기 위한 예시적인 그래픽 유저 인터페이스(GUI)(500)를 예시한다. 일부 실시예들에서, GUI(500)는 제조 시스템에 연결되는 클라이언트 디바이스를 통해 유저에게 제시될 수 있다.
[0082] GUI(500)는 클라이언트 디바이스의 유저에게 정보를 제공하거나 또는 유저로부터 수신하기 위해 하나 이상의 GUI 엘리먼트들을 포함할 수 있다. GUI(500)는 제조 시스템에서 프로세싱되고 있는 기판의 식별자를 제공하는 기판 ID 엘리먼트(512)를 포함할 수 있다. 예를 들면, 기판 ID 엘리먼트(512)는 기판("S00-0001")이 제조 시스템에서 프로세싱되고 있다는 표시를 제공할 수 있다. GUI(500)는 제조 시스템의 일부에서 기판에 대해 수행될 프로세스 레시피의 동작의 표시를 제공하는 계류 중인 프로세스 레시피 동작 엘리먼트(514)를 더 포함할 수 있다. 도 5에서 예시되는 바와 같이, 엘리먼트(514)는 기판에 대해 에칭 동작이 수행되어야 한다는 표시를 제공할 수 있다. 일부 실시예들에서, 엘리먼트(514)는 기판에 대해 수행될 동작에 관한 세부사항들을 제공할 수 있다. 예를 들면, 엘리먼트(514)는 기판에 대한 에칭 동작이 프로세싱 챔버에서 수행되어야 하고 에칭 동작이 3분 0초 동안 수행되어야 한다는 표시를 제공할 수 있다.
[0083] GUI(500)는 프로세스 레시피의 하나 이상의 동작들에 대한 권장된 수정의 표시를 제공하는 권장된 프로세스 레시피 엘리먼트(516)를 더 포함할 수 있다. 도 5에서 예시되는 바와 같이, 엘리먼트(516)는 기판에 대한 에칭 프로세스를 위한 권장된 수정을 제공할 수 있다. 권장된 수정은, 원래의 프로세스 레시피에 포함되는 바와 같은 3분 0초 동안 기판을 에칭하는 대신, 4분 0초 동안 기판을 에칭하는 것을 포함할 수 있다. 일부 실시예들에서, GUI(500)는 프로세스 레시피의 하나 이상의 동작들에 대한 수정이 권장되는 이유를 제공하는 수정에 대한 이유 엘리먼트(518)를 또한 포함할 수 있다. 도 5에서 예시되는 바와 같이, 엘리먼트(518)는, 기판 상에 증착된 막이 예상된 것보다 더 두껍다는 결정에 기초하여 프로세스 레시피에 대한 권장된 수정이 제공된다는 것을 표시할 수 있다.
[0084] GUI(500)는, 클라이언트 디바이스의 유저가 레시피에 대한 수정을 수락하는 것 또는 거부하는 것을 가능하게 하는 하나 이상의 상호 작용식 엘리먼트들을 더 포함할 수 있다. 도 5에서 예시되는 바와 같이, 유저는, 엘리먼트(516)에 의해 표시되는 프로세스 레시피에 대한 권장된 수정을 수락하기 위해, 수정 수락 엘리먼트(520A)를 선택할 수 있다. 유저가 수정 수락 엘리먼트(520A)를 선택하였다는 표시를 수신하는 것에 응답하여, 클라이언트 디바이스는 권장된 수정에 따라 프로세스 레시피를 수정하기 위한 명령을 포함하는 통지를 생성하여 시스템 제어기에 송신할 수 있다. 유저는 프로세스 레시피에 대한 권장된 수정을 거부하기 위해 수정 거부 엘리먼트(520B)를 또한 선택할 수 있다. 유저가 수정 거부 엘리먼트(520B)를 선택하였다는 표시를 수신하는 것에 응답하여, 클라이언트 디바이스는 권장된 수정에 따라 프로세스 레시피를 수정하지 않기 위한 명령을 포함하는 통지를 생성하여 시스템 제어기에 송신할 수 있다.
[0085] 도 6은, 본 개시내용의 양태들에 따른, 도 2의 기판 측정 서브시스템(200) 또는 도 3의 센서(360D)에 의해 수신되는 반사된 에너지로부터 생성되는 예시적인 스펙트럼 데이터(600)를 예시한다. 예시되는 바와 같이, 다수의 파장들은 기판 측정 서브시스템(200)에 의해 수신되는 반사된 에너지 파들에 포함될 수 있다. 각각의 반사된 에너지 파는 기판(102)의 상이한 부분과 연관될 수 있다. 일부 실시예들에서, 강도는 기판 측정 서브시스템(200)에 의해 수신되는 각각의 반사된 에너지 파에 대해 측정될 수 있다. 도 6에서 보이는 바와 같이, 각각의 강도는 기판 측정 서브시스템(200)에 의해 수신되는 반사된 에너지 파들의 각각의 파장에 대해 측정될 수 있다. 각각의 강도와 각각의 파장 사이의 연관성은 스펙트럼 데이터(600)의 형성을 위한 기초가 될 수 있다. 일부 실시예들에서, 하나 이상의 파장들은 강도 값들의 예상된 범위 밖에 있는 강도 값과 연관될 수 있다. 예를 들면, 라인(610)은, 라인들(620)에 의해 예시되는 바와 같은 강도 값들의 예상된 범위 밖에 있는 강도 값과 연관될 수 있다. 그러한 실시예들에서, 강도 값들의 예상된 범위 밖에 있는 강도 값은, 기판(102)의 일부에서 결함이 존재한다는 표시일 수 있다. 앞서 설명된 실시예들에 따라, 기판(102)의 일부에서의 결함의 표시에 기초하여 기판(102)에 대한 프로세스 레시피에 대한 수정이 이루어질 수 있다.
[0086] 도 7 내지 도 10은 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정하기 위한 방법들(700-1000)의 다양한 실시예들의 흐름도들이다. 방법들(700-1000)은, 하드웨어(회로부(circuitry), 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 머신 상에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 어떤 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 몇몇 방법들(700-800)은, 도 1의 시스템 제어기(128)와 같은 컴퓨팅 디바이스에 의해 수행될 수 있다. 몇몇 방법들(900-1000)은 도 2의 제어기(230)와 같은 컴퓨팅 디바이스에 의해 수행될 수 있다.
[0087] 설명의 간략화를 위해, 방법들은 일련의 액트(act)들로서 묘사되고 설명된다. 그러나, 본 개시내용에 따른 액트들은 다양한 순서들로 및/또는 동시에, 그리고 본원에서 제시되고 설명되지 않은 다른 액트들과 함께 발생할 수 있다. 더구나, 개시된 주제에 따른 방법들을 구현하기 위해 모든 예시된 액트들이 수행되지는 않을 수 있다. 또한, 기술 분야의 숙련된 자들은, 방법들이, 대안적으로, 이벤트들 또는 상태 다이어그램을 통해 일련의 상호 관련된 상태들로서 표현될 수 있다는 것을 이해하고 인식할 것이다.
[0088] 도 7은, 본 개시내용의 양태들에 따른, 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정하기 위한 방법(700)의 플로우차트이다. 블록(710)에서, 프로세싱 로직은 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판을 식별한다. 블록(720)에서, 프로세싱 로직은 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성한다. 일부 실시예들에서, 제1 세트의 측정치들은 기판에 대한 스펙트럼 또는 비스펙트럼 데이터(예를 들면, 와전류 데이터, 커패시턴스 데이터 등)를 포함할 수 있다. 블록(730)에서, 프로세싱 로직은, 기판 측정 서브시스템으로부터, 기판에 대한 제1 세트의 측정치들을 수신한다. 블록(740)에서, 프로세싱 로직은 기판을 기판 측정 서브시스템으로부터 제조 시스템의 프로세싱 챔버로 이송하기 위한 명령을 생성한다. 블록(750)에서, 프로세싱 로직은, 프로세싱 챔버 내의 하나 이상의 센서들로부터, 기판에 대한 제2 세트의 측정치들을 수신한다. 일부 실시예들에서, 기판에 대한 제2 세트의 측정치들은 기판에 대한 스펙트럼 또는 비스펙트럼 데이터(예를 들면, 전력 데이터, 온도 데이터, 압력 데이터 등)를 포함할 수 있다. 블록(760)에서, 프로세싱 로직은 기판의 제1 세트의 측정치들과 제2 세트의 측정치들 사이의 매핑을 생성한다. 블록(770)에서, 프로세싱 로직은 제1 세트의 측정치들이 제2 세트의 측정치들로 매핑되는 것을 저장한다. 블록(780)에서, 프로세싱 로직은, 제1 세트의 측정치들이 제2 세트의 측정치들로 매핑되는 것에 기초하여, 기판에 대한 프로세스 레시피를 수정할 것을 결정한다. 블록(790)에서, 프로세싱 로직은, 옵션 사항으로(optionally), 그래픽 유저 인터페이스를 통해 기판에 대한 레시피를 수정하기 위한 권장 사항을 제공한다.
[0089] 상기에서 설명되는 바와 같이, 일부 실시예들에서, 프로세싱 로직은 기판을 기판 측정 시스템으로부터 제조 시스템의 프로세싱 챔버로 이송하기 위한 명령을 생성할 수 있고, 기판에 대한 제1 세트의 측정치들을 획득하기 위해 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하는 것 및 기판 측정 서브시스템으로부터 기판에 대한 제1 세트의 측정치들을 수신하는 것 이전에, 기판에 대한 제2 세트의 측정치들을 수신할 수 있다.
[0090] 도 8은, 본 개시내용의 양태들에 따른, 기판에 대한 프로세스 레시피를 수정할지의 여부를 결정하기 위한 다른 방법(800)의 플로우차트이다. 블록(810)에서, 프로세싱 로직은, 제조 시스템의 프로세싱 챔버 내의 하나 이상의 센서들로부터, 기판에 대한 제1 세트의 측정치들을 수신한다. 블록(820)에서, 프로세싱 로직은 프로세스 레시피에 따라 프로세싱 챔버에서 기판을 프로세싱한다. 블록(830)에서, 프로세싱 로직은, 옵션 사항으로, 프로세싱 챔버 내의 하나 이상의 센서들로부터, 기판에 대한 제2 세트의 측정치들을 수신한다. 블록(840)에서, 프로세싱 로직은, 제3 세트의 측정치들을 획득하기 위해, 기판을 프로세싱 챔버로부터 기판 측정 서브시스템으로 이송하기 위한 명령을 생성한다. 블록(850)에서, 프로세싱 로직은, 기판 측정 서브시스템으로부터, 기판에 대한 제3 세트의 측정치들을 수신한다. 블록(860)에서, 프로세싱 로직은 제1 세트의 측정치들, 제2 세트의 측정치들, 및/또는 제3 세트의 측정치들 사이의 매핑을 생성한다. 블록(870)에서, 프로세싱 로직은 제1 세트의 측정치들, 제2 세트의 측정치들, 및/또는 제3 세트의 측정치들 사이의 매핑을 저장한다. 블록(880)에서, 프로세싱 로직은, 제1 세트의 측정치들, 제2 세트의 측정치들, 및/또는 제3 세트의 측정치들 사이의 매핑에 기초하여, 기판에 대한 레시피를 수정할 것을 결정한다. 블록(890)에서, 프로세싱 로직은, 옵션 사항으로, 그래픽 유저 인터페이스를 통해 기판에 대한 레시피를 수정하기 위한 권장 사항을 제공한다.
[0091] 도 9는, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템에서 기판에 대한 데이터를 획득하기 위한 방법(900)의 플로우차트이다. 블록(910)에서, 프로세싱 로직은, 제조 시스템에서 프로세싱되고 있는 기판이 기판 측정 서브시스템으로 로딩되었다는 표시를 수신한다. 블록(920)에서, 프로세싱 로직은 기판 측정 서브시스템 내에서의 기판의 포지션 데이터를 결정한다. 블록(930)에서 프로세싱 로직은 기판에 대한 레시피를 수신한다. 블록(940)에서, 프로세싱 로직은, 기판의 포지션 데이터 및 레시피에 기초하여, 기판 측정 서브시스템의 하나 이상의 감지 컴포넌트들에 의해 측정될 기판의 하나 이상의 부분들을 결정한다. 블록(950)에서, 프로세싱 로직은 기판 측정 서브시스템의 하나 이상의 감지 컴포넌트들(예를 들면, 스펙트럼 감지 컴포넌트들, 비스펙트럼 감지 컴포넌트들 등)에 의해 기판의 결정된 부분들 각각에 대한 측정치들을 획득한다. 블록(960)에서, 프로세싱 로직은 기판의 결정된 부분들 각각의 획득된 측정치들을 시스템 제어기에 송신한다.
[0092] 도 10은, 본 개시내용의 양태들에 따른, 기판 측정 서브시스템 내에서의 기판에 대한 포지션 데이터를 결정하기 위한 방법(1000)의 플로우차트이다. 블록(1010)에서, 프로세싱 로직은 기판 상에 포함되는 식별 피처(identification feature)를 결정한다. 일부 실시예들에서, 식별 피처는 기판의 기준 위치(예를 들면, 기판의 중심)에 대응할 수 있다. 블록(1020)에서, 프로세싱 로직은 결정된 식별 피처를 포함하는 기판의 일부를 식별한다. 블록(1030)에서, 프로세싱 로직은 기판의 식별된 일부의 하나 이상의 이미지들을 캡처하기 위한 명령을 생성한다. 블록(1040)에서, 프로세싱 로직은, 캡처된 하나 이상의 이미지들에 기초하여, 기판 측정 서브시스템 내에서의 기판의 배향 및/또는 포지션을 결정한다. 블록(1050)에서, 프로세싱 로직은 기판 측정 서브시스템 내에서의 기판의 결정된 배향 및/또는 포지션에 기초하여 기판의 포지션 데이터를 생성한다.
[0093] 도 11은, 머신으로 하여금 본원에서 논의되는 방법론들 중 임의의 하나 이상의 방법론들을 수행하게 하기 위한 명령들의 세트가 실행될 수 있는 컴퓨팅 디바이스(1100)의 예시적 형태인 머신의 도식적 표현을 예시한다. 대안적인 실시예들에서, 머신은 근거리 통신망(Local Area Network; LAN), 인트라넷, 엑스트라넷, 또는 인터넷에서 다른 머신들에 연결될(예를 들면, 네트워크화될) 수 있다. 머신은 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 머신의 자격으로서 동작할 수 있거나, 또는 피어 투 피어(peer-to-peer)(또는 분산형) 네트워크 환경에서 피어 머신(peer machine)으로서 동작할 수 있다. 머신은 퍼스널 컴퓨터(personal computer; PC), 태블릿 컴퓨터, 셋탑 박스(set-top box; STB), 개인 휴대형 정보 단말(Personal Digital Assistant; PDA), 셀룰러 전화, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 그 머신에 의해 취해질 액션들을 명시하는 명령들의 세트를 (순차적 또는 다른 식으로) 실행할 수 있는 임의의 머신일 수 있다. 게다가, 단일의 머신만이 예시되지만, "머신"이란 용어는, 본원에서 논의되는 방법론들 중 임의의 하나 이상의 방법론들을 수행하기 위한 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 머신들(예를 들면, 컴퓨터들)의 임의의 콜렉션을 포함하도록 또한 간주되어야 한다. 실시예들에서, 컴퓨팅 디바이스(1100)는 도 1의 시스템 제어기(128) 또는 도 3의 제어기(320)에 대응할 수 있다.
[0094] 예시적인 컴퓨팅 디바이스(1100)는 프로세싱 디바이스(1102), 메인 메모리(1104)(예를 들면, 리드 온리 메모리(read-only memory; ROM), 플래시 메모리, 동기식 DRAM(synchronous DRAM; SDRAM)과 같은 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM) 등), 정적 메모리(1106(예를 들면, 플래시 메모리, 정적 랜덤 액세스 메모리(static random access memory; SRAM) 등), 및 보조 메모리(예를 들면, 데이터 스토리지 디바이스(1128))를 포함하는데, 이들은 버스(1108)를 통해 서로 통신한다.
[0095] 프로세싱 디바이스(1102)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 하나 이상의 범용 프로세서들을 나타낼 수 있다. 보다 구체적으로, 프로세싱 디바이스(1102)는 복합 명령 세트 컴퓨팅(CISC) 마이크로프로세서, 축약형 명령 세트 컴퓨팅(RISC) 마이크로프로세서, 아주 긴 명령 워드(VLIW) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스(1102)는, 또한, 주문형 집적 회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 프로세싱 디바이스(1102)는 또한 시스템 온 칩(system on a chip; SoC), 프로그래밍 가능 논리 제어기(PLC), 또는 다른 타입의 프로세싱 디바이스일 수 있거나 또는 그것을 포함할 수 있다. 프로세싱 디바이스(1102)는 본원에서 논의되는 동작들 및 단계들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다.
[0096] 컴퓨팅 디바이스(1100)는 네트워크(1164)와 통신하기 위한 네트워크 인터페이스 디바이스(1122)를 더 포함할 수 있다. 컴퓨팅 디바이스(1100)는 비디오 디스플레이 유닛(1110)(예를 들면, 액정 디스플레이(liquid crystal display; LCD) 또는 음극선관(cathode ray tube; CRT)), 영숫자 입력 디바이스(1112)(예를 들면, 키보드), 커서 제어 디바이스(1114)(예를 들면, 마우스), 및 신호 생성 디바이스(1120)(예를 들면, 스피커)를 또한 포함할 수 있다.
[0097] 데이터 스토리지 디바이스(1128)는, 본원에서 설명되는 방법론들 또는 기능들 중 임의의 하나 이상을 구체화하는 명령들(1126)의 하나 이상의 세트들이 저장되는 머신 판독 가능 저장 매체(또는 더 구체적으로는 비일시적 컴퓨터 판독 가능 저장 매체)(1124)를 포함할 수 있다. 여기서 비일시적 저장 매체는 반송파 이외의 저장 매체를 지칭한다. 명령들(1126)은, 컴퓨터 디바이스(1100)에 의한 그 실행 동안, 완전히 또는 적어도 부분적으로, 메인 메모리(1104) 내에서 및/또는 프로세싱 디바이스(1102) 내에서 또한 상주할 수 있는데, 메인 메모리(1104) 및 프로세싱 디바이스(1102)도 컴퓨터 판독 가능 저장 매체들을 또한 구성한다.
[0098] 컴퓨터 판독 가능 저장 매체(1124)가 예시적인 실시예에서 단일의 매체로서 도시되지만, "컴퓨터 판독 가능 저장 매체"라는 용어는, 명령들의 하나 이상의 세트들을 저장하는 단일의 매체 또는 다수의 매체들(예를 들면, 중앙 집중식 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 간주되어야 한다. "컴퓨터 판독 가능 저장 매체"라는 용어는, 머신에 의한 실행을 위해 명령들의 세트를 저장 또는 인코딩할 수 있는 그리고 머신으로 하여금 본 개시의 방법론들 중 임의의 하나 이상의 방법론들을 수행하게 하는 임의의 매체를 포함하는 것으로 또한 간주되어야 한다. 따라서, "컴퓨터 판독 가능 저장 매체"라는 용어는 솔리드 스테이트 메모리들, 광학 및 자기 매체들을 포함하는, 그러나 이들로 제한되지는 않는 것으로 간주되어야 한다.
[0099] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 경우들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[00100] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 "일 실시예" 또는 "실시예"란 어구의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, "또는"이란 용어는 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된다. "약" 또는 "대략"이라는 용어는 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[00101] 본 명세서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있어서, 특정한 동작들이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 하위-동작들은 간헐적이고 그리고/또는 교번적인 방식으로 이루어질 수 있다.
[00102] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다는 것을 이해한다. 위의 설명을 판독 및 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 그러한 청구범위들을 참조하여 결정되어야 한다.

Claims (20)

  1. 방법으로서,
    제1 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판을 식별하는 단계;
    상기 기판에 대한 제1 세트의 측정치들을 획득하기 위해 상기 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하는 단계;
    상기 기판 측정 서브시스템으로부터, 상기 기판에 대한 상기 제1 세트의 측정치들을 수신하는 단계;
    상기 기판을 상기 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하기 위한 명령을 생성하는 단계;
    상기 프로세싱 챔버의 하나 이상의 센서들로부터, 상기 기판에 대한 제2 세트의 측정치들을 수신하는 단계;
    상기 기판에 대한 상기 제1 세트의 측정치들과 상기 제2 세트의 측정치들 사이의 제1 매핑을 생성하는 단계; 및
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 기판에 대한 상기 제1 세트의 측정치들은 스펙트럼 데이터, 포지션 데이터, 또는 속성 데이터 중 적어도 하나를 포함하고, 상기 기판에 대한 상기 제2 세트의 측정치들은 스펙트럼 데이터, 온도 데이터, 압력 데이터, 또는 전력 데이터 중 적어도 하나를 포함하는, 방법.
  3. 제1항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것을 상기 제조 시스템에 대한 데이터 저장소에 저장하는 단계를 더 포함하고, 상기 데이터 저장소는 상기 제조 시스템의 오퍼레이터가 액세스 불가능한, 방법.
  4. 제1항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제1 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하는 단계는,
    상기 제1 세트의 측정치들의 제1 측정치와 상기 제2 세트의 측정치들의 제2 측정치 사이의 차이를 계산하는 단계; 및
    상기 제1 측정치와 상기 제2 측정치 사이의 차이가 차이 임계치를 초과하는지의 여부를 결정하는 단계를 포함하는, 방법.
  5. 제1항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하는 단계는,
    상기 제1 세트의 측정치들의 제1 측정치와 연관되는 목표 값을 결정하는 단계;
    상기 목표 값과 상기 제1 측정치 사이의 차이를 계산하는 단계; 및
    상기 목표 값과 상기 제1 측정치 사이의 차이가 차이 임계치를 초과하는지의 여부를 결정하는 단계를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정할 것을 결정하는 것에 응답하여, 상기 제조 시스템에 연결되는 클라이언트 디바이스에, 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하기 위한 요청을 송신하는 단계;
    상기 클라이언트 디바이스로부터, 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하기 위한 명령을 수신하는 단계; 및
    상기 수신된 명령에 따라 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하는 단계를 더 포함하는, 방법.
  7. 제6항에 있어서,
    상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하는 단계는, 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피의 동작을 수정하는 단계 또는 상기 기판에 대한 상기 제1 프로세스 레시피의 실행을 종료하기 위한 명령을 생성하는 단계 중 적어도 하나를 포함하는, 방법.
  8. 제1항에 있어서,
    상기 기판에 대한 제3 세트의 측정치들을 획득하기 위해 상기 기판을 상기 프로세싱 챔버로부터 상기 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하는 단계;
    상기 기판 측정 서브시스템으로부터, 상기 기판에 대한 상기 제3 세트의 측정치들을 수신하는 단계;
    상기 기판에 대한 상기 제1 세트의 측정치들과 상기 제3 세트의 측정치들 사이의 제2 매핑 또는 상기 기판에 대한 상기 제2 세트의 측정치들과 상기 제3 세트의 측정치들 사이의 제3 매핑 중 적어도 하나를 생성하는 단계; 및
    상기 기판에 대한 상기 제1 세트의 측정치들과 상기 제3 세트의 측정치들 사이의 제2 매핑 또는 상기 기판에 대한 상기 제2 세트의 측정치들과 상기 제3 세트의 측정치들 사이의 제3 매핑 중 적어도 하나에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 상기 제2 프로세스 레시피를 수정할지의 여부를 결정하는 단계
    를 더 포함하는, 방법.
  9. 제1항에 있어서,
    상기 제1 세트의 측정치들을 획득하기 위해 상기 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하는 단계 및 상기 기판에 대한 상기 제1 세트의 측정치들을 수신하는 단계 이전에, 상기 기판을 상기 기판 측정 서브시스템으로부터 상기 프로세싱 챔버로 이송하기 위한 명령이 생성되고, 그리고 상기 기판에 대한 상기 제2 세트의 측정치들이 수신되는, 방법.
  10. 제조 시스템으로서,
    상기 제조 시스템에서 프로세싱되는 기판과 연관되는 데이터를 생성하도록 구성되는 기판 측정 서브시스템;
    상기 제조 시스템에서 기판을 프로세싱하도록 구성되는 프로세싱 챔버 ― 상기 프로세싱 챔버는 하나 이상의 센서들을 포함함 ―;
    상기 기판 측정 서브시스템과 상기 프로세싱 챔버 사이에서 기판을 이송하도록 구성되는 하나 이상의 이송 로봇들; 및
    상기 기판 측정 서브시스템, 상기 프로세싱 챔버, 및 상기 하나 이상의 이송 로봇들에 동작 가능하게 커플링되는 제어기를 포함하고,
    상기 제어기는,
    제1 프로세스 레시피에 따라 상기 제조 시스템에서 프로세싱될 기판을 식별하고;
    상기 하나 이상의 이송 로봇들로 하여금, 상기 기판에 대한 제1 세트의 측정치들을 획득하기 위해 상기 기판을 상기 기판 측정 서브시스템으로 이송하게 하기 위한 명령을 생성하고;
    상기 기판 측정 서브시스템으로부터, 상기 기판에 대한 상기 제1 세트의 측정치들을 수신하고;
    상기 하나 이상의 이송 로봇들로 하여금, 상기 기판을 상기 기판 측정 서브시스템으로부터 상기 프로세싱 챔버로 이송하게 하기 위한 명령을 생성하고;
    상기 프로세싱 챔버의 상기 하나 이상의 센서들로부터, 상기 기판에 대한 제2 세트의 측정치들을 수신하고;
    상기 기판에 대한 상기 제1 세트의 측정치들과 상기 제2 세트의 측정치들 사이의 제1 매핑을 생성하고; 그리고
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하는, 제조 시스템.
  11. 제10항에 있어서,
    상기 기판에 대한 상기 제1 세트의 측정치들은 스펙트럼 데이터, 포지션 데이터, 또는 속성 데이터 중 적어도 하나를 포함하고, 상기 기판에 대한 상기 제2 세트의 측정치들은 스펙트럼 데이터, 온도 데이터, 압력 데이터, 또는 전력 데이터 중 적어도 하나를 포함하는, 제조 시스템.
  12. 제10항에 있어서,
    상기 제조 시스템의 오퍼레이터가 액세스 불가능한 데이터 저장소를 더 포함하고,
    상기 제어기는 추가로, 상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것을 상기 데이터 저장소에 저장하는, 제조 시스템.
  13. 제10항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하기 위해, 상기 제어기는,
    상기 제1 세트의 측정치들의 제1 측정치와 상기 제2 세트의 측정치들의 제2 측정치 사이의 차이를 계산하고; 그리고
    상기 제1 측정치와 상기 제2 측정치 사이의 차이가 차이 임계치를 초과하는지의 여부를 결정하는, 제조 시스템.
  14. 제10항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하기 위해, 상기 제어기는,
    상기 제1 세트의 측정치들의 제1 측정치와 연관되는 목표 값을 결정하고;
    상기 목표 값과 상기 제1 측정치 사이의 차이를 계산하고; 그리고
    상기 목표 값과 상기 제1 측정치 사이의 차이가 차이 임계치를 초과하는지의 여부를 결정하는, 제조 시스템.
  15. 제10항에 있어서,
    상기 제어기는 추가로,
    상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정할 것을 결정하는 것에 응답하여, 상기 제조 시스템에 연결되는 클라이언트 디바이스에, 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하기 위한 요청을 송신하고;
    상기 클라이언트 디바이스로부터, 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하기 위한 명령을 수신하고; 그리고
    상기 수신된 명령에 따라 상기 기판에 대한 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하는, 제조 시스템.
  16. 제15항에 있어서,
    상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피를 수정하는 것은, 상기 제1 프로세스 레시피 또는 상기 제2 프로세스 레시피의 동작을 수정하는 것 또는 상기 기판에 대한 상기 제1 프로세스 레시피의 실행을 종료하기 위한 명령을 생성하는 것 중 적어도 하나를 포함하는, 제조 시스템.
  17. 명령들을 포함하는 비일시적 컴퓨터 판독 가능 저장 매체로서,
    상기 명령들은, 프로세싱 디바이스에 의해 실행될 때, 상기 프로세싱 디바이스로 하여금,
    제1 프로세스 레시피에 따라 제조 시스템에서 프로세싱될 기판을 식별하게 하고;
    상기 기판에 대한 제1 세트의 측정치들을 획득하기 위해 상기 기판을 기판 측정 서브시스템으로 이송하기 위한 명령을 생성하게 하고;
    상기 기판 측정 서브시스템으로부터, 상기 기판에 대한 상기 제1 세트의 측정치들을 수신하게 하고;
    상기 기판을 상기 기판 측정 서브시스템으로부터 프로세싱 챔버로 이송하기 위한 명령을 생성하게 하고;
    상기 프로세싱 챔버의 하나 이상의 센서들로부터, 상기 기판에 대한 제2 세트의 측정치들을 수신하게 하고;
    상기 기판에 대한 상기 제1 세트의 측정치들과 상기 제2 세트의 측정치들 사이의 제1 매핑을 생성하게 하고; 그리고
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하게 하는, 명령들을 포함하는 비일시적 컴퓨터 판독 가능 저장 매체.
  18. 제17항에 있어서,
    상기 기판에 대한 상기 제1 세트의 측정치들은 스펙트럼 데이터, 포지션 데이터, 또는 속성 데이터 중 적어도 하나를 포함하고, 상기 기판에 대한 상기 제2 세트의 측정치들은 스펙트럼 데이터, 온도 데이터, 압력 데이터 또는 전력 데이터 중 적어도 하나를 포함하는, 명령들을 포함하는 비일시적 컴퓨터 판독 가능 저장 매체.
  19. 제17항에 있어서,
    상기 프로세싱 디바이스는 추가로, 상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것을 상기 제조 시스템에 대한 데이터 저장소에 저장하고,
    상기 데이터 저장소는 상기 제조 시스템의 오퍼레이터가 액세스 불가능한, 명령들을 포함하는 비일시적 컴퓨터 판독 가능 저장 매체.
  20. 제17항에 있어서,
    상기 제1 세트의 측정치들이 상기 기판에 대한 상기 제2 세트의 측정치들로 매핑되는 것에 기초하여, 상기 기판에 대한 상기 제1 프로세스 레시피를 수정할지 또는 제2 프로세스 레시피를 수정할지의 여부를 결정하기 위해, 상기 프로세싱 디바이스는,
    상기 제1 세트의 측정치들의 제1 측정치와 상기 제2 세트의 측정치들의 제2 측정치 사이의 차이를 계산하고; 그리고
    상기 제1 측정치와 상기 제2 측정치 사이의 차이가 차이 임계치를 초과하는지의 여부를 결정하는, 명령들을 포함하는 비일시적 컴퓨터 판독 가능 저장 매체.
KR1020227041736A 2020-07-22 2021-07-21 제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템 KR20230005319A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063055239P 2020-07-22 2020-07-22
US63/055,239 2020-07-22
US17/379,653 US11688616B2 (en) 2020-07-22 2021-07-19 Integrated substrate measurement system to improve manufacturing process performance
US17/379,653 2021-07-19
PCT/US2021/042639 WO2022020517A1 (en) 2020-07-22 2021-07-21 Integrated substrate measurement system to improve manufacturing process performance

Publications (1)

Publication Number Publication Date
KR20230005319A true KR20230005319A (ko) 2023-01-09

Family

ID=79689412

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227041736A KR20230005319A (ko) 2020-07-22 2021-07-21 제조 프로세스 성능을 개선하기 위한 통합 기판 측정 시스템

Country Status (7)

Country Link
US (2) US11688616B2 (ko)
EP (1) EP4186093A1 (ko)
JP (1) JP2023534596A (ko)
KR (1) KR20230005319A (ko)
CN (1) CN115699286A (ko)
TW (1) TW202221817A (ko)
WO (1) WO2022020517A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024054380A1 (en) * 2022-09-08 2024-03-14 Lam Research Corporation Multi-sensor determination of a state of semiconductor equipment

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238354A (en) 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US6162008A (en) 1999-06-08 2000-12-19 Varian Semiconductor Equipment Associates, Inc. Wafer orientation sensor
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US20030020889A1 (en) 2000-08-02 2003-01-30 Nikon Corporation Stage unit, measurement unit and measurement method, and exposure apparatus and exposure method
WO2002015238A2 (en) 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6708075B2 (en) 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
KR101025527B1 (ko) 2002-09-30 2011-04-04 도쿄엘렉트론가부시키가이샤 반도체 제조 프로세스의 모니터링 및 제어를 위한 방법 및장치
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
KR100556529B1 (ko) * 2003-08-18 2006-03-06 삼성전자주식회사 다층 박막의 두께 측정 방법 및 이를 수행하기 위한 장치
KR20070048650A (ko) 2004-08-31 2007-05-09 가부시키가이샤 니콘 위치 맞춤 방법, 처리 시스템, 기판의 투입 재현성 계측방법, 위치 계측 방법, 노광 방법, 기판 처리 장치, 계측방법 및 계측 장치
TWI553703B (zh) 2004-11-18 2016-10-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
US7651946B2 (en) 2005-12-12 2010-01-26 University College Cork - National University Of Ireland, Cork Wet etch processing
JP5165878B2 (ja) 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US7642102B2 (en) 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
JP5117818B2 (ja) 2007-10-30 2013-01-16 ルネサスエレクトロニクス株式会社 表面加工処理装置又は成膜処理装置の異物検査・解析のための管理装置及び方法
USD602942S1 (en) 2008-08-05 2009-10-27 Allgress, Inc. Graphical user interface for portion of a display screen
USD605652S1 (en) 2008-11-19 2009-12-08 Pvt Solar, Inc. Graphical user interface for computer
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US20110172952A1 (en) 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
CN102439710B (zh) * 2010-03-25 2017-03-29 应用材料公司 用于多个基材处理的分段基材负载
US8954184B2 (en) 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US20130059403A1 (en) * 2011-09-06 2013-03-07 Applied Materials, Inc. Method and apparatus for wafer temperature measurement using an independent light source
JP2013161913A (ja) 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
USD737853S1 (en) 2013-10-21 2015-09-01 Apple Inc. Display screen or portion thereof with graphical user interface
USD756371S1 (en) 2013-12-02 2016-05-17 Symantec Corporation Display screen with graphical user interface
CN105917453B (zh) 2013-12-22 2021-10-15 应用材料公司 用于沉积之监控系统及其操作方法
US10330612B2 (en) 2014-09-11 2019-06-25 Applied Materials, Inc. Multi-function x-ray metrology tool for production inspection/monitoring of thin films and multidimensional structures
USD781300S1 (en) 2014-09-19 2017-03-14 Illumina, Inc. Display screen or portion thereof with graphical user interface for use with a sequencing instrument
KR102269514B1 (ko) 2014-11-25 2021-06-25 케이엘에이 코포레이션 랜드스케이프의 분석 및 활용
USD767612S1 (en) 2015-04-16 2016-09-27 Nasdaq, Inc. Display screen or portion thereof with graphical user interface
KR20180038477A (ko) * 2015-08-04 2018-04-16 노바 메주어링 인스트루먼츠 엘티디. 박막 내 하이브리드 측정 시스템 및 측정 방법
USD766940S1 (en) 2015-08-17 2016-09-20 Td Ameritrade Ip Company, Inc. Display screen or portion thereof with graphical user interface
WO2017091331A1 (en) 2015-11-23 2017-06-01 Applied Materials, Inc. On-board metrology (obm) design and implication in process tool
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
USD805525S1 (en) 2016-03-22 2017-12-19 Branch Banking And Trust Company Display screen or portion thereof with graphical user interface
USD819066S1 (en) 2016-05-05 2018-05-29 Corsearch, Inc. Display panel with a graphical user interface
KR102188014B1 (ko) 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
JP6697984B2 (ja) 2016-08-31 2020-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理システム
CN109844917B (zh) 2016-10-13 2023-07-04 科磊股份有限公司 用于过程控制的计量系统及方法
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
TWI779986B (zh) 2016-11-30 2022-10-01 美商應用材料股份有限公司 使用神經網路的光譜監測
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
KR101910268B1 (ko) 2017-02-23 2018-10-19 에스케이 주식회사 반도체 gp 예측 방법 및 시스템
USD829749S1 (en) 2017-03-06 2018-10-02 Citigroup Global Markets, Inc. Display screen with transitional graphical user interface of a financial markets orders and executed trades viewer application
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US10387755B2 (en) 2017-06-28 2019-08-20 Applied Materials, Inc. Classification, search and retrieval of semiconductor processing metrology images using deep learning/convolutional neural networks
JP6778666B2 (ja) 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
JP1715308S (ja) 2017-09-27 2022-05-18 自動車用情報表示機
US10515172B2 (en) 2017-10-20 2019-12-24 Taiwan Semiconductor Manufacturing Company Limited RC tool accuracy time reduction
USD861724S1 (en) 2017-12-21 2019-10-01 Toontrack Music Ab Computer screen with a graphical user interface
KR20200120958A (ko) 2018-03-13 2020-10-22 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
US10727057B2 (en) 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
KR20200123480A (ko) 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
USD947208S1 (en) 2018-04-19 2022-03-29 Abb Schweiz Ag Display screen or portion thereof with graphical user interface
USD863334S1 (en) 2018-05-12 2019-10-15 Canva Pty Ltd Display screen or portion thereof with a graphical user interface
USD940169S1 (en) 2018-05-22 2022-01-04 Data.World, Inc. Display screen or portion thereof with a graphical user interface
JP7184547B2 (ja) 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
USD874481S1 (en) 2018-06-29 2020-02-04 Innoplexus Ag Display screen with transitional graphical user interface
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
USD948543S1 (en) 2018-10-26 2022-04-12 Hvr Mso Llc Display screen or portion thereof with a graphical user interface
JP7280356B2 (ja) 2018-11-07 2023-05-23 エーエスエムエル ネザーランズ ビー.ブイ. プロセスに対する補正の決定
JP1644619S (ko) 2018-11-21 2019-11-05
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
US11133204B2 (en) 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
JP1651220S (ko) 2019-02-18 2020-01-27
USD928818S1 (en) 2019-06-04 2021-08-24 Samsung Electronics Co., Ltd. Display screen or portion thereof with animated graphical user interface
USD941851S1 (en) 2019-07-03 2022-01-25 Theta Lake, Inc. Computer display with graphical user interface for video compliance review
JP2022542946A (ja) 2019-07-29 2022-10-07 ラム リサーチ コーポレーション 基板処理システムの自律的な制御及び検査のためのハードウェア・ソフトウェア統合型コンピュータビジョンシステム
USD937861S1 (en) 2019-07-29 2021-12-07 2624491 Ontario Inc. Display screen or portion thereof with graphical user interface
WO2021061541A1 (en) 2019-09-25 2021-04-01 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
WO2021067239A1 (en) 2019-10-04 2021-04-08 Lam Research Corporation Metrology module with parallel acquisition of broadband polarized spectra
JP1664109S (ko) 2019-10-15 2020-07-20
JP7412150B2 (ja) 2019-11-29 2024-01-12 東京エレクトロン株式会社 予測装置、予測方法及び予測プログラム
USD938465S1 (en) 2020-02-14 2021-12-14 SparkCognition, Inc. Display screen with transitional graphical user interface
USD961605S1 (en) 2020-07-20 2022-08-23 Jeffrey H. Starr Display screen or portion thereof with graphical user interface
US20220028716A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Substrate measurement subsystem
US20220026817A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Determining substrate profile properties using machine learning
US20220066411A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Detecting and correcting substrate process drift using machine learning
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
US11842910B2 (en) 2021-02-04 2023-12-12 Applied Materials, Inc. Detecting outliers at a manufacturing system using machine learning

Also Published As

Publication number Publication date
WO2022020517A1 (en) 2022-01-27
CN115699286A (zh) 2023-02-03
TW202221817A (zh) 2022-06-01
EP4186093A1 (en) 2023-05-31
US11688616B2 (en) 2023-06-27
JP2023534596A (ja) 2023-08-10
US20220028713A1 (en) 2022-01-27
US20230326773A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
US20220028716A1 (en) Substrate measurement subsystem
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US11709477B2 (en) Autonomous substrate processing system
US20230326773A1 (en) Integrated substrate measurement system to improve manufacturing process performance
US20220026817A1 (en) Determining substrate profile properties using machine learning
US20220111529A1 (en) Centerfinding for a process kit or process kit carrier at a manufacturing system
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20230236583A1 (en) Chamber component condition estimation using substrate measurements
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20230062206A1 (en) Determining substrate profile properties using machine learning
US20230271321A1 (en) Grip-based transport speeds for transporting objects at a manufacturing system
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
KR20230151016A (ko) 기계 학습을 사용하여 증착된 막들에 대한 농도 프로파일들의 제어