TWI393170B - A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method - Google Patents

A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method Download PDF

Info

Publication number
TWI393170B
TWI393170B TW94140383A TW94140383A TWI393170B TW I393170 B TWI393170 B TW I393170B TW 94140383 A TW94140383 A TW 94140383A TW 94140383 A TW94140383 A TW 94140383A TW I393170 B TWI393170 B TW I393170B
Authority
TW
Taiwan
Prior art keywords
liquid
opening
wafer
peripheral edge
substrate
Prior art date
Application number
TW94140383A
Other languages
English (en)
Other versions
TW200633009A (en
Inventor
安田雅彥
杉原太郎
Original Assignee
尼康股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 尼康股份有限公司 filed Critical 尼康股份有限公司
Publication of TW200633009A publication Critical patent/TW200633009A/zh
Application granted granted Critical
Publication of TWI393170B publication Critical patent/TWI393170B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Description

位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
本發明係關於位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、以及元件製造方法,更詳言之,係關於位置測量方法,用來測量以可拆裝方式裝載於移動體上之板件的位置資訊;利用該位置測量方法之位置控制方法;測量方法,用來測量搭載在移動體上,用以裝載物體之板件(形成有開口)的資訊;利用該測量方法之物體的裝載方法;利用該裝載方法之曝光方法及適於實施該各方法之曝光裝置;以及使用該曝光裝置或該曝光方法之元件製造方法
習知,在供製造半導體元件(積體電路)、液晶顯示元件等電子元件之微影步驟中,透過投影光學系統,將光罩或標線片(以下統稱為「標線片」)之圖案像轉印於塗布有光阻(感光劑)之晶圓或玻璃板件等感光性物體(以下稱為「晶圓」)上之複數個照射區域,主要係使用步進重複方式之縮小投影曝光裝置(步進機)或步進掃描方式之投影曝光裝置(掃描步進機(亦稱掃描機))等。
然而,隨著半導體元件之高積體化,電路圖案之微細化,為了謀求提高投影曝光裝置所具備之投影光學系統之解析度,曝光用光之波長(曝光波長)逐漸變短,並且,投影光學系統之數值孔徑(NA)逐漸增大。另一方面,由於該等曝光波長之變短及投影光學系統之NA增大(大NA),而使焦點深度變小。曝光波長將來確實會更短,如此若焦點深度太小,則會產生曝光動作時聚焦裕度不足之虞。
因此,就實質縮短曝光用波長,且與空氣中相較,增大(增廣)焦點深度之方法而言,最近利用液浸法之曝光裝置備受矚目。就利用此液浸法之曝光裝置而言,已知有以水或有機溶劑等液體局部填滿投影光學系統下面與晶圓表面之間的狀態下,進行曝光者(例如,參照專利文獻1)。在記載於該專利文獻1之曝光裝置中,液體中之曝光用光波長,係利用空氣中之1/n倍(n係液體之折射率,通常為1.2~1.6左右)之特性來提高解析度,並且,與該解析度同樣之解析度與未利用液浸法所得到之投影光學系統(能製造此種投影光學系統)相較,能擴大n倍焦點深度,亦即與空氣中相較,實質上能擴大n倍焦點深度。
然而,最近提出,於曝光裝置之晶圓載台,在保持於晶圓載台之晶圓周圍,配置形成與晶圓大致呈一致之平坦部且能拆裝的板件。將此種能拆裝的板件使用在晶圓載台之情形,必須正確瞭解板件的位置。
又,於晶圓載台使用板件之情形,必須於該板件的中央部形成晶圓定位用之開口(例如,半導體晶圓之情形,使用圓形開口),但例如,板件的圓形開口真圓度低,成為變形圓形或橢圓形之情形,晶圓外周面與開口內周面間隙會變成不一樣,而產生晶圓與板件的開口內壁面接觸、或在板件的開口內無法插入晶圓等不良情況之虞。
又,因板件的開口與晶圓間之間隙非常窄,故裝載晶圓時,若晶圓與板件之相對位置未正確對位,則晶圓裝載動作變成不易進行。
又,使用液浸法之曝光裝置之情形,在板件的開口邊緣與晶圓外周邊緣之間隙寬之部分,會有液體滲入之虞。
(專利文獻1)國際公開第99/49504號小冊子
從第1觀點來看,本發明之位置測量方法,係測量以可拆裝方式搭載於移動體上之既定形狀之板件的位置資訊,其包含:外周邊緣位置取得步驟,將該移動體之位置以供界定其移動座標系統之測量裝置來測量,並檢測出該板件之一部分,根據其檢測結果與對應之該測量裝置之測量結果,來取得該板件外周邊緣之位置資訊。
依此,能將移動體(以可拆裝方式搭載既定形狀之板件)之位置,以限定其移動座標系統之測量裝置來測量,且檢測出該板件之一部分,根據其檢測結果與對應之該測量裝置之測量結果,來取得該板件外周邊緣之位置資訊。因此,在該測量裝置所界定之移動座標系統上,能管理板件外周邊緣之位置。
從第2觀點來看,本發明之位置控制方法,係用以控制以可拆裝方式搭載板件之移動體之位置;根據使用本發明之位置測量方法所測量之該板件外周邊緣之位置資訊,來控制該移動體之位置。
依此,因根據使用本發明之位置測量方法所測量之該板件外周邊緣之位置資訊,來控制該移動體位置,故能考量板件外周邊緣之位置,來管理移動體之位置。
如此,本發明之位置控制方法能使用於曝光裝置。因此,從第3觀點來看,本發明之第1曝光裝置,亦可係使用本發明之位置控制方法。
從第4觀點來看,本發明之測量方法,係用以測量以可拆裝方式搭載於移動體上且形成有開口(用以裝載物體)之板件的資訊,其包含:內周邊緣位置取得步驟,檢測出該板件之一部分,根據其檢測結果來取得該開口內周邊緣之位置資訊。
依此,檢測出以可拆裝方式搭載於移動體上且形成有開口(用以裝載物體)之板件的資訊,根據其檢測結果來取得該開口內周邊緣之位置資訊。因此,能根據該內周邊緣之位置資訊,算出開口之位置或形狀等。
從第5觀點來看,本發明之裝載方法,係用以將物體裝載於移動體(以可拆裝方式搭載具有裝載物體用之開口的板件)上;根據使用本發明之測量方法所取得之該板件之開口內周邊緣之位置資訊,將該物體裝載於該移動體上之該板件開口內。
依此,根據使用本發明之測量方法所取得之該板件之開口內周邊緣之位置資訊,將該物體裝載於該移動體上之該板件之開口內。因此,容易將物體裝載於移動體上之板件的開口內。
從第6觀點來看,本發明之第1曝光方法,係用以將物體曝光,其包含以下步驟:使用本發明之第1裝載方法,將該物體裝載於該移動體上之該板件之開口內;以及將曝光用光束照射於該移動物體上所裝載之該物體上。
依此,使用本發明之第1裝載方法,將物體裝載於移動體上之板件的開口內,將曝光用光束照射於該移動物體上所裝載之物體上,以進行曝光。
從第7觀點來看,本發明之第2裝載方法,係用以將被處理物體裝載於移動體上端部之凹部內,其包含以下步驟:將物體裝載於該移動體上之凹部內;以及取得步驟,係取得該凹部內周邊緣與裝載於該凹部內之該物體上之位置關係資訊。
此處,「物體」亦包含被處理物體之概念。亦即,在裝載步驟中,亦可將被處理物體裝載於移動體之凹部內,亦可載置其他物體,例如,以取得上述位置關係為目的之專用物體。
無論如何,取得步驟係取得凹部內周邊緣與裝載於該凹部內之該物體之位置關係資訊。因此,根據所取得之位置關係,能以期望之位置關係將物體裝載於移動體之凹部內。
從第8觀點來看,本發明之第2曝光方法,係用以將被處理物體曝光,其包含以下步驟:使用本發明之第2裝載方法,在該移動體上之凹部內裝載該被處理物體;以及將曝光用光束照射於該移動體之凹部內所裝載之該被處理物體。
依此,使用本發明之第2裝載方法,於移動體上之凹部內裝載被處理物體,將曝光用光束照射於該移動體之凹部內所裝載之被處理物體上,以進行曝光。
從第9觀點來看,本發明之第2曝光裝置,係用以將曝光用光束照射於物體上,其具備:第1載台,以可拆裝方式搭載既定形狀的板件;位置測量系統,供測量該第1載台之位置;檢測裝置,供檢測該第1載台之一部分;以及外周邊緣位置取得裝置,使用該位置測量系統測量該第1載台之位置,並使用該檢測裝置檢測該板件的一部分,根據其檢測結果與對應之該位置測量系統之測量結果,來取得該板件外周邊緣之位置資訊。
依此,利用外周邊緣位置取得裝置,使用位置測量系統,測量以可拆裝方式搭載於既定形狀之板件的第1載台位置,且使用檢測裝置檢測出該板件的一部分,根據其檢測結果與對應之該位置測量系統之測量結果,來取得該板件外周邊緣之位置資訊。因此,在以該位置測量系統所界定之移動座標系統上,能管理搭載於第1載台之板件外周邊緣之位置。
依第10觀點來看,本發明之第3曝光裝置,係用以將曝光用光束照射於物體上;其具備:曝光用載台,供搭載形成有開口之既定形狀的板件,於該開口內裝載物體;位置測量系統,供測量該曝光用載台之位置;檢測裝置,可檢測出該曝光用載台之一部分;以及內周邊緣位置取得裝置,使用該位置測量系統測量該曝光用載台之位置,並使用該檢測裝置檢測出該板件的一部分,根據其檢測結果與對應之該位置測量系統之測量結果,來取得該開口內周邊緣之位置資訊。
依此,利用內周邊緣位置取得裝置,使用位置測量系統測量曝光用載台位置,且使用檢測裝置檢測出板件的一部分,根據其檢測結果與對應之該位置測量系統之測量結果,來取得該開口內周邊緣之位置資訊。因此,根據該內周邊緣之位置資訊,能取得開口之位置或形狀等資訊。
在微影步驟中,使用本發明之第1~3曝光裝置,藉此能於物體上精度良好地形成圖案,藉此,能以高良率製造微元件。同樣地,在微影步驟中,使用本發明之第1、第2曝光方法,藉此能在物體上精度良好地形成圖案,藉此,能以高良率製造微元件。因此,進一步從另一觀點來看,本發明之元件製造方法,係使用第1~3曝光裝置中任一裝置,或第1、第2曝光方法中任一方法。
以下,根據第1圖~第17圖,說明本發明之一實施形態。
第1圖係表示本發明之位置測量方法、位置控制方法、測量方法、裝載方法及曝光方法之實施,較佳之一實施形態之曝光裝置100之概略構成。此曝光裝置100係步進掃描方式之投影曝光裝置,亦即掃描步進機(亦稱為掃描機)。此曝光裝置100具備:照明系統10、標線片載台RST(用來保持作為光罩之標線片R)、投影單元PU、載台裝置150[具有作為第1載台(及移動體)之晶圓載台WST及作為第2載台之測量用載台MST]、以及該等之控制系統。於晶圓載台WST上裝載當作物體(及被處理物體)之晶圓。
照明系統10,例如,揭示於日本特開2001-313250號公報及對應此之美國專利申請公開第2003/0025890號等般,係由光源、包含光學積分器[複眼透鏡、棒型積分器(內面反射型積分器)或繞射光學元件等]等之照度均一光學系統、分束器、中繼透鏡、可變NA濾光器、及標線片遮板等(皆未圖示)所構成。
此照明系統10,係利用作為曝光用光束之照明光(曝光用光)IL,以大致均一照度來照明標線片R上之標線片遮板所界定之狹縫狀之照明區域部分。此處,作為照明光IL舉一例,能使用ArF準分子雷射光(波長為193nm)。
在該標線片載台RST上,電路圖案等形成於其圖案面(第1圖之下面)之標線片R,例如,係利用真空吸附來固定。標線片載台RST,例如,係利用包含線性馬達等標線片載台驅動部11(第1圖中未圖示,參照第6圖),在與照明系統10之光軸(與後述之投影光學系統PL之光軸AX一致)垂直之XY平面內能微驅動,並且,能以既定掃描方向(此處,係指第1圖中之紙面內左右方向之Y軸方向)所指定之掃描速度來驅動。
標線片載台RST之載台移動面內之位置(包含繞Z軸周圍之旋轉)係利用標線片雷射干涉計(以下,稱為「標線片干涉計」)116,透過移動鏡15[實際上,設有Y移動鏡(具有與Y軸方向正交之反射面)與X移動鏡(具有與X軸方向正交之反射面)],例如,以0.5~1nm左右之解析度持續檢測。此標線片干涉計116之測量值係傳送至主控制裝置20(第1圖未圖示,參照第6圖),主控制裝置20係依此標線片干涉計116之測量值,算出標線片載台RST之X軸方向、Y軸方向、及θz方向(繞Z軸周圍之旋轉方向)之位置,並且,依此算出結果,來控制標線片載台驅動部11,藉此控制標線片載台RST之位置(及速度)。此外,亦可替代移動鏡15,鏡面加工標線片載台RST之端面而形成反射面(相當於移動鏡15之反射面)。
在標線片R上方,以既定距離間隔朝X軸方向設置由TTR(Through The Reticle)對準系統所構成之一對標線片對準檢測系統RAa、Rab;該TTR對準系統係使用曝光波長之光透過投影光學系統PL以同時觀察標線片R上之一對標線片對準標記與對應該等之測量用載台MST上一對基準標記(以下,稱為「第1基準標記」)。作為該等標線片對準檢測系統RAa、RAb,例如,能使用與揭示於日本特開平7-176468號公報(對應美國專利第5,646,413號)等同樣之構成者。
該投影單元PU係配置於標線片載台RST之第1圖中之下方。投影單元PU係由鏡筒40與投影光學系統PL(由以既定位置關係保持於該鏡筒40內之複數個光學元件所構成)所構成。作為投影光學系統PL,能使用折射光學系統(由具有Z軸方向共通之光軸AX之複數個透鏡(透鏡元件)所構成)。此投影光學系統PL,例如,係兩側遠心且具有既定之投影倍率(例如,1/4或1/5倍)。因此,利用來自照明系統10之照明光IL,來照明標線片R上之照明區域,利用通過此標線片R之照明光IL,透過投影光學系統PL(投影單元PU),將該照明區域內之標線片R之電路圖案縮小像(電路圖案一部分之縮小像),形成於與表面塗布有光阻(感光劑)之晶圓W上之該照明區域呈共軛之區域(曝光區域)。
此外,本實施形態之曝光裝置100,因使用液浸法來進行曝光,故隨著數值孔徑NA之實質增大,標線片側之開口亦變大。因此,在僅由透鏡所構成之折射光學系統中,不易滿足珀玆伐(Petzval)條件,投影光學系統會有大型化之傾向。為了避免該投影光學系統之大型化,亦可使用包含反射鏡與透鏡所構成之反射折射系統。
又,本實施形態之曝光裝置100,因使用液浸法來進行曝光,故在構成投影光學系統PL之最像面側(晶圓側)之光學元件之透鏡(以下,亦稱為「前透鏡」)91之附近,設有液體供應嘴51A(構成液浸機構132)與液體回收嘴51B。
在該液體供應嘴51A,其一端係連接未圖示之供應管(連接於液體供應裝置88(在第1圖中未圖示,參照第6圖)),該液體回收嘴51B,其一端係連接未圖示之回收管(連接於液體回收裝置92(在第1圖中未圖示,參照第6圖))。
該液體供應裝置88係由液體之儲存槽、加壓泵、溫度控制裝置、以及閥(對供應管用來控制液體之供應及停止)所構成。就閥而言,例如,不僅能供應及停止液體,而且亦能調整流量,較佳係使用流量控制閥。該溫度控制裝置係將液體儲存槽內之液體溫度調整為與收容曝光裝置本體之室(未圖示)內之溫度相同之溫度。
此外,用來供應液體之儲存槽、加壓泵、溫度控制裝置、以及閥等不必全部具備於曝光裝置100,亦能以設置曝光裝置100之工廠等設備來代替至少一部分。
該液體回收裝置92係由液體之儲存槽、吸引泵、以及閥(透過回收管,用來控制液體之回收及停止)所構成。就閥而言,較佳係對應該液體供應裝置88側之閥,使用流量控制閥。
此外,用來回收液體之儲存槽、吸引泵、以及閥等不必全部具備於曝光裝置100,亦能以設置曝光裝置100之工廠等設備來代替至少一部分。
作為上述液體,此處,能使用透過ArF準分子雷射光(波長為193nm)之超純水(以下,除了特別必要之情形外,簡稱為「水」)者。超純水在半導體製造工廠等容易大量取得,並且具有對晶圓上之光阻或光學透鏡等無不良影響之優點。
水對ArF準分子雷射光之折射率n大致為1.44。該水中,照明光IL之波長被縮短為193nm×1/n=約134nm。
該液體供應裝置88及液體回收裝置92皆具備控制器,各控制器由主控制裝置20控制(參照第6圖)。液體供應裝置88之控制器根據來自主控制裝置20之指示,以既定開度打開連接於供應管的閥,透過液體供應嘴51A,將水供應於前透鏡91與晶圓W(或後述之板件)之間。又,此時,液體回收裝置92之控制器根據來自主控制裝置20之指示,以既定開度打開連接於回收管的閥,透過液體回收嘴51B,將水從前透鏡91與晶圓W間回收於液體回收裝置92(液體之儲存槽)之內部。此時,主控制裝置20,在前透鏡91與晶圓W之間,從液體供應嘴51A供應之水量與透過液體回收嘴51B所回收之水量以持續保持相等之方式,對液體供應裝置88之控制器及液體回收裝置92之控制器發出指令。因此,被保持於前透鏡91與晶圓W間之水Lq持續更新。
由上述說明可知,本實施形態之液浸機構132係由上述液體供應裝置88、液體回收裝置92、供應管、回收管、液體供應嘴51A、以及液體回收嘴51B等所構成之局部液浸機構,將晶圓W曝光之情形,於晶圓W上之一部分形成液浸區域。
此外,於投影單元PU下方設有測量用載台MST之情形,亦與上述同樣,於後述之測量用台MTB與前透鏡91間亦能填滿水。
此外,上述說明中,為了簡化其說明,雖分別設有液體供應嘴與液體回收嘴,但未限於此,例如,如揭示於國際公開第99/49504號小冊子般,亦可採用具有多數個嘴之構成。主要是若能在構成投影光學系統PL最下端之光學構件(前透鏡)91與晶圓W間供應液體,則其構成亦可任意者。例如,揭示於國際公開第2004/053955號說明書之液浸機構,或揭示於歐洲專利公開第1420298號公報之液浸機構亦能適用於本實施形態之曝光裝置。
該載台裝置150具備:框架FC、設於該框架FC上之底盤12、配置於該底盤12上面上方之晶圓載台WST及測量用載台MST、作為位置測量系統(包含作為測量該等載台WST及MST位置之位置測量裝置之干涉計16、18)之干涉計系統118(參照第6圖)、以及供驅動載台WST、MST之載台驅動部124(參照第6圖)。
該框架FC,由以立體圖表示載台裝置150之第2圖可知,於其X側方向一側與另一側端部附近,把Y軸方向當作長邊方向,朝上方凸出之凸部FCa、FCb係由一體形成之大致平板狀之構件所構成。
該底盤12係由稱為定盤之板狀構件所構成,配置於框架FC之該凸部FCa、FCb間之區域上。底盤12上面之平坦度被加工得非常高,作為晶圓載台WST及測量用載台MST移動時之導引面。
該晶圓載台WST係如第2圖所示,具備:配置於底盤12上之晶圓載台本體28;以及晶圓台WTB,係透過未圖示之Z傾斜驅動機構搭載於該晶圓載台本體28上作為曝光用載台。Z傾斜驅動機構,實際上,係由以3點支撐晶圓台WTB之3個致動器(例如,音圈馬達)等所構成,能朝Z軸方向、θx方向(繞X軸周圍之旋轉方向)、θy方向(繞Y軸周圍之旋轉方向)之3自由度方向微驅動。
該晶圓載台本體28係由以截面矩形框狀且朝X軸方向延伸之中空構件所構成。於此晶圓載台本體28之下面,設有複數個例如4個未圖示之空氣靜壓軸承(例如,空氣軸承),透過該等空氣軸承,晶圓載台WST係透過數μm左右之間隙以非接觸方式浮起支撐於該導引面上方。
於該框架FC之凸部FCa上方,如第2圖所示,配置朝Y軸方向延伸之Y軸用固定構件86。同樣地,於該框架FC之凸部FCb上方,配置朝Y軸方向延伸之Y軸用固定構件87。該等Y軸用固定構件86、87係利用設於各下面未圖示之空氣靜壓軸承(例如,空氣軸承),透過既定間隙浮置支撐於凸部FCa、FCb之上方。Y軸用固定構件86、87於本實施形態中係由沿Y軸方向以既定間隔配置之具有複數個永久磁鐵之磁極單元所構成。
於該晶圓載台本體28之內部設有可動構件90,係由沿X軸方向以既定間隔配置之具有複數個永久磁鐵之截面U字形磁極單元所構成。
於可動構件90之內部空間,插入沿X軸方向之X軸用之固定構件80。此X軸用之固定構件80,係由沿X軸方向以既定間隔配置之內設複數個電樞線圈之電樞單元所構成。此種情形,利用由磁極單元所構成之可動構件90與由電樞單元所構成之X軸用固定構件80,構成使晶圓載台WST朝X軸方向驅動之動磁型之X軸線性馬達。以下,使用與該固定構件(X軸用固定構件)80同一符號,適當稱上述X軸線性馬達為X軸線性馬達80。亦可使用動圈型線性馬達。
於該X軸用固定構件80之長邊方向一側與另一側端部,分別固定可動構件(例如,由沿Y軸方向以既定間隔配置之內設複數個電樞線圈之電樞單元所構成)82、83。該等可動構件82、83係分別從內側插入前述之Y軸用固定構件86、87。即,本實施形態,利用由電樞單元所構成之可動構件82、83與由磁極單元所構成之Y軸用固定構件86、87,構成動圈型之2個Y軸線性馬達。以下,使用與各可動構件82、83同一符號,適當稱上述2個Y軸線性馬達為Y軸線性馬達82、Y軸線性馬達83。此外,亦可使用動磁型線性馬達作為Y軸線性馬達82、83。
即,晶圓載台WST係利用X軸線性馬達80朝X軸方向驅動,並且,利用一對Y軸線性馬達82、83,與X軸線性馬達80一體朝Y軸方向驅動。又,晶圓載台WST使Y軸線性馬達82、83所產生之Y軸方向之驅動力稍差異,藉此亦能朝θz方向旋轉驅動。
晶圓台WSB,如第4圖之俯視圖所示,係俯視呈大致正方形,於其上面,設有供保持晶圓W之夾頭方式之晶圓保持具WH以及板保持具PH。
晶圓保持具WH係如第4圖所示,具備:在晶圓台WTB上面的中央部之既定面積圓形區域內以既定間隔設置之複數個第1銷32(32、......)、第1邊緣部30(由包圍配置該第1銷32、32、......之圓形區域之圓環狀凸部所構成)、以及3個圓筒狀之第2邊緣部35A、35B、35C[分別凸設於與該圓形區域之中心(保持具中心)之距離相等之大致正方形之各頂點位置]。各第1銷32之前端、第1邊緣部30、以及第2邊緣部35A、35B、35C之上端面係設定為大致同一高度。
於該第2邊緣部35A、35B、35C之內周,分別形成俯視圓形之貫穿孔39,於各貫穿孔之內部具有圓柱形狀,於上下方向(第4圖中與紙面正交方向)分別設有可動之上下移動銷(中心凸部)34a、34b、34c。該等3個中心凸部34a~34c係透過構成載台驅動部124(參照第6圖)之未圖示之上下移動機構,於上下方向(在第4圖中與紙面正交之Z軸方向),同時僅以同一量,使升降(上下移動)。裝載晶圓及卸載晶圓時,中心凸部34a~34c利用上下移動機構來驅動,藉此利用中心凸部34a~34c,從下方支撐晶圓W,能以該狀態使晶圓W上下移動。
於被晶圓台WTB上面之該第1邊緣部30包圍之圓形區域,如第4圖所示,複數個排氣口36從該圓形區域之中心(保持具中心)以既定間隔形成輻射狀(具有大致120°的中心角間隔之3條半徑線方向)。該等排氣口36形成於與第1銷32未干涉之位置。各排氣口36分別透過該等正下方的配管,分別連接於形成於晶圓台WTB內部之排氣路38A、38B、38C,該等排氣路38A、38B、38C分別透過真空排氣管41a、41b、41c,連接於第1真空排氣機構44(參照第6圖)。
本實施形態,晶圓W被裝載於晶圓台WTB之晶圓保持具WH上,當利用主控制裝置20,透過第1真空排氣機構44開始真空排氣動作時,被該晶圓W與第1邊緣部30與3個第2邊緣部35A、35B、35C包圍之空間內部會成為負壓狀態,該晶圓W被吸附保持於複數個第1邊緣部32與第1邊緣部30與3個第2邊緣部35A、35B、35C。
於晶圓台WTB上面之該第1邊緣部30之外側,凸設由與該第1邊緣部30同心之圓環狀凸部所構成之第3邊緣部45。於第3邊緣部45之外側,形成其內側被第3邊緣部45區隔、外側被晶圓台WTB之外部隔壁48包圍之凹部49。於凹部49之內部底面,以既定間隔設有複數個第2銷53,其前端之高度與第3邊緣部45及外部隔壁48同一高度。此種情形,第3邊緣部45及外部隔壁48上端面之高度係設定成較第1隔壁若干低。於如此構成之第3邊緣部45及外部隔壁48以及複數個第2銷53上,以可拆裝方式搭載中央部具有圓形開口50a之大致正方形之板件之撥液板(例如撥水板)50。此撥液板50,擴及周圍全體,外周面係以較晶圓台WTB外部隔壁48之外面朝外側稍凸出之狀態,搭載於晶圓台WTB上。亦即,包含晶圓台WTB上面之第3邊緣部45及外部隔壁48及複數個第2銷53,構成用以保持撥液板50之夾頭方式之板保持具PH。
此處,於設有構成該板保持具PH之被第3邊緣部45與外部隔壁48區隔之複數個第2銷53之區域,亦與上述晶圓保持具WH同樣,以既定間隔形成複數個排氣口(未圖示),各排氣口分別透過該等正下方之配管,分別連接於晶圓台WTB內部所形成之未圖示之排氣路,該等排氣路分別透過未圖示之真空排氣管,連接於第6圖所示之第2真空排器氣機構56。
本實施形態,利用主控制裝置20,透過上述第2真空排氣機構56,撥液板50與第3邊緣部45與外部隔壁48包圍之空間(凹部49之內部空間)內部被真空吸引,撥液板50被吸附保持於板保持具PH。此處,例如,由於容易拆卸撥液板50,因此於上述空間內部,設有與前述中心凸部34a~34c同樣之上下移動銷,主控制裝置20亦可控制該上下移動銷之驅動機構。
本實施形態,於被上述板保持具PH吸附保持之撥液板50之上面與被吸附保持於晶圓保持具WH之晶圓W之表面以使其大致位於同一平面之方式(參照第1圖),來設定構成晶圓保持具WH及板保持具PH之各部高度。又,在保持於板保持具PH之狀態下,撥液板50之開口50a內周邊緣係與第3邊緣部45內周壁大致位於同一平面。亦即,本實施形態,於第3邊緣部45與撥液板50之開口50a內壁面之內側,形成用以裝載晶圓W之凹部140,於該凹部140內設有晶圓保持具WH。又,晶圓W之外周邊緣與撥液板50之開口50a內周邊緣間之間隙例如以0.1~0.4mm左右之值,來設定開口50a之形狀及大小。又,晶圓W以保持於晶圓保持具WH之狀態,於晶圓台WTB之上面,外觀上形成全平坦面。
此外,晶圓台WTB係由熱膨脹率低之材料(例如,陶瓷等具有某種程度彈性材料)所形成,將整體呈大致正方形之陶瓷等材料表面蝕刻,藉此,第1邊緣部30、第2邊緣部35A、35B、35C、第3邊緣部45、複數個第1銷32、以及複數個第2銷53等一體形成者。
於該撥液板50之表面,施以使用氟系材料等之撥液處理(此處,進行撥液塗層等撥液處理),形成撥液面(撥水面)。撥液板50之撥液(撥水)面,一般不能承受遠紫外域或真空紫外域的光,由於該曝光用光之照射,使得撥液(撥水)性能劣化。又,於撥液板50之上面,因亦有形成液體附著痕跡(水痕等)之虞,故使撥液板50能易於拆裝(更換)。此外,撥液板50之保持不僅能以真空吸附方式來進行,亦能以靜電吸附等另一方式來進行。
又,於晶圓W之表面塗布有光阻(感光劑)。本實施形態,舉一例作為感光劑係使用ArF準分子雷射光用感光劑,且具有撥液性(撥水性,接觸角為80°~85°)者。當然,亦可於該感光劑之上層,塗布具有撥液性(與液體之接觸角為90°~120°)之頂塗布層之形成材料。此外,晶圓W之表面,亦可未必具有撥液性,亦可使用與液體之接觸角為60°~80°左右之光阻。又,亦可於晶圓W之側面及背面之至少一部分施以撥液處理。同樣地,亦可於晶圓保持具WH、板保持具PH之至少一部分施以撥液處理。
上述方式所構成之晶圓台WTB位置係利用干涉計系統118(參照第6圖)進行測量,關於此點進行後述。
該測量系統MST係如第2圖所示,由把X軸方向當作長邊方向之Y載台81等複數個構件之組合所構成,透過設於其最下面(最接近底盤12之構件的下面)之複數個空氣靜壓軸承(例如,空氣軸承),於底盤12之上面(導引面)上方,透過數μm左右之間隙,以非接觸方式浮起支撐。
測量用載台MST,由第3圖之立體圖可知,具備:Y載台81,係具有分別固定於朝X軸方向細長之長方形之板狀測量用載台本體81c與該測量用載台本體81c上面之X軸方向之一側、另一側之一對凸出部81a、81b;調平用台52,係配置於該測量系統本體81c之上面上方;以及測量用台MTB,係設於該調平用台52上。
於構成該Y載台81之測量用載台本體81c之X軸方向之一側與另一側端面,分別固定由電樞單元(內設沿Y軸方向,以既定間隔配置之複數個電樞線圈)所構成之可動構件84、85。該等可動構件84、85皆分別從內側插入前述之Y軸用之固定構件86、87。亦即,本實施形態,利用由電樞單元所構成之可動構件84、85與由該可動構件84、85皆插入之磁極單元所構成之Y軸用固定構件86、87,構成二個動圈型Y軸線性馬達。以下,使用與各可動構件84、85相同之符號,將上述二個Y軸線性馬達亦分別適當稱為Y軸線性馬達84、Y軸線性馬達85。本實施形態,利用該等Y軸線性馬達84、85,使測量用載台MST全體朝Y軸方向驅動。此外,亦能把此Y軸線性馬達84、85作為動磁型線性馬達。
於該測量用載台本體81c之底面,設有前述之複數個空氣靜壓軸承。於此測量用載台本體81c上面之X軸方向一側、另一側之+Y側端部附近,該一對凸出部81a、81b係以相互對向之方式固定。於該等凸出部81a、81b相互間,在XY面內,分別朝X軸方向延伸之固定構件61、固定構件63,以隔既定間隔架設於Z軸方向(上下)。
於該調平用台52之+X側端面,設有X音圈馬達54a之可動構件,該X音圈馬達54a之固定構件係固定於測量用載台本體81c之上面。又,於該調平用台52之-Y側端面,分別設有Y音圈馬達54b、54c之可動構件,該等Y音圈馬達54b、54c之固定構件係固定於測量用載台本體81c之上面。該X音圈馬達54a係由,例如由磁極單元所構成之可動構件與由電樞單元所構成之固定構件所構成,利用該等間電磁相互作用,產生X軸方向之驅動力。又,該Y音圈馬達54b、54c亦同樣構成,產生Y軸方向之驅動力。亦即,調平用台52係利用X音圈馬達54a,使Y載台81朝X軸方向驅動,利用Y音圈馬達54b、54c,使Y載台81朝Y軸方向驅動。又,使Y音圈馬達54b、54c所產生之驅動力不同,藉此能將調平用台52使Y載台81朝繞Z軸周圍旋轉方向(θz方向)驅動。
於該調平用台52之內部,分別配置產生Z軸方向驅動力之3個Z音圈馬達(省略圖示)。
亦即,調平用台52利用前述之X音圈馬達54a、Y音圈馬達54b、54c、以及配置於內部未圖示之Z音圈馬達,能以非接觸方式,微幅驅動於6自由度方向(X、Y、Z、θx、θy、θz)。
返回第3圖,該測量用台MTB具備:測量用台本體59;以及可動構件62、64,係把上下並排固定於該測量用台本體59之+Y側面之X軸方向當作長邊方向,截面大致呈U字形。
該可動構件62具備:YZ截面大致呈U字形之可動構件軛;以及永久磁鐵組,係由沿X軸方向以既定間隔,且交互配置於該可動構件軛內面(上下面)之N極永久磁鐵與S極永久磁鐵之複數組所構成;且成為卡合於該固定構件61之狀態。於可動構件62之可動構件軛之內部空間,沿X軸方向,形成交替磁場。該固定構件61係由電樞單元(例如,內設沿X軸方向,以既定間隔配置之複數個電樞線圈)所構成。亦即,利用固定構件61與可動構件62,構成使測量用台MTB朝X軸方向驅動之動磁型之X軸線性馬達LX。
該可動構件64具備:YZ截面大致呈U字形之可動構件軛;以及設於該可動構件軛內面(上下面)各一個N極永久磁鐵與S極永久磁鐵,形成卡合於前述固定構件63之狀態。於可動構件64之可動構件軛之內部空間,形成+Z方向或-Z方向之磁場。該固定構件63具備:電樞線圈,係配置成利用N極磁鐵與S極磁鐵,形成於其內部之磁場中,以僅於X軸方向上使電流流動。亦即,利用可動構件64與固定構件63,構成使測量用台MTB朝Y軸方向驅動之動磁型之Y音圈馬達VY。
由上述說明可知,本實施形態係利用Y軸線性馬達82~85及X軸線性馬達80、供驅動晶圓台WTB之未圖示之Z傾斜驅動機構、測量用載台上之上述各馬達(54a~54c、LX、VY、以及未圖示之Z音圈馬達),構成第6圖所示之載台驅動部124。構成此載台驅動部124之各種驅動機構係由第6圖所示之主控制裝置20控制。
該測量用台MTB進一步具備:用以進行與曝光相關之各種測量之測量器類。進一步詳述此,係於測量用台本體59之上面,例如,設有由Zerodur(Schott公司之商品名)或石英玻璃等玻璃材料所構成之板件101。於此板件101上,大致全面塗布鉻,於各處設有測量器用區域,或標線片透射率之測量等時所使用之高低基準反射面區域,或基準標記區域FM[形成有日本特開平5-21314號公報(對應美國專利第5,243,195號)或日本特開平10-050600號公報(對應美國專利第6,243,158號)等所揭示之複數個基準標記]。該基準標記區域構成測量構件。板件101之表面為平坦面。
於該測量器用區域施以圖案化,且各種測量用開口圖案。作為此測量用開口圖案,例如,形成空間像測量用開口圖案(例如狹縫狀開口圖案)、照明不均測量用針孔開口圖案、照度測量用開口圖案、以及波面像差測量用開口圖案等。
於該空間像測量用開口圖案下方之測量用台本體59內部設有受光系統,其透過該空間像測量用開口圖案,接收透過投影光學系統PL及水照射於板件101之曝光用光,藉此構成例如日本特開2002-14005號公報(對應美國專利提出公開第2002/0041377號說明書)等所揭示之空間像測量器,測量被投影光學系統PL投影之圖案空間像(投影像)之光強度。
又,於照明不均測量用針孔開口圖案下方之測量用台本體59內部,設有包含受光元件之受光系統,藉此構成照度不均測量器[例如揭示於日本特開昭57-117238號公報(對應美國專利第4,465,368號)等],其具有在投影光學系統PL之像面上接收照明光IL之針孔狀受光部。
又,於照度測量用開口圖案下方之測量用台本體59內部,例如,設有包含受光元件之受光系統,藉此構成照度監控器[例如在日本特開平11-16816號公報(對應美國專利提出公開第2002/0061469號說明書)等所揭示者],其具有在投影光學系統PL之像面上透過水接收照明光IL之既定面積的受光部。
又,於波面像差測量用開口圖案下方之測量用台本體59內部,例如,設有包含微透鏡陣列之受光系統,藉此構成波面像差測量器[例如,揭示於國際公開第99/60361號小冊子說明書(對應歐洲專利第1,079,223號說明書)等]。
此外,第6圖中,上述空間像測量器、照度不均測量器、照度監控器、以及波面像差測量器係以測量器組43來表示。
此外,本實施形態係透過投影光學系統PL與水,利用曝光用光(照明用光)IL,進行將晶圓W曝光之液浸曝光,使用照明光IL之測量所使用之上述照度監控器、照度不均測量器、空間像測量器、以及波面像差測量器等係透過投影光學系統PL及水,來接收照明光IL。因此,亦可於板件101之表面施以撥水塗層。又,上述各測量器,例如,亦可僅光學系統等之一部分搭載於測量用載台MST,亦可將測量器全體配置於測量用載台MST。又,上述空間像測量器、照度不均測量器、照度監控器、以及波面像差測量器未必具備其全部,亦可視需要,僅搭載一部分。
上述方式構成之測量用載台MST(測量用台MTB)之位置係利用後述之干涉計系統118(參照第6圖)來測量。
又,本實施形態之曝光裝置100,於供保持投影單元PU之保持構件,設有作為第1圖所示之離軸對準系統(以下,簡稱為「對準系統」ALG)。作為此對準系統ALG,能使用影像處理方式之FIA(場像對準:Field Image Alignment)系統之感測器,例如,揭示於日本特開2001-257157號公報(對應美國專利提出公開第2001/0023918號)或日本特開平8-213306號公報(對應美國專利提出公開第2001/0023918號)或日本特開平8-213306號公報(對應美國專利第5,783,833號)等,將未使晶圓上之光阻感光之寬帶之檢測用光束照射於對象標記,利用來自該對象標記之反射光,使用攝影元件(CCD等)拍攝成像於受光面之對象標記像與未圖示之指標(設於對準系統ALG內之指標板上之指標圖案)之像,並輸出該攝影訊號。來自對準系統ALG之攝影訊號係供應至第6圖之主控制裝置20。
此外,作為對準系統ALG,未限於FIA系統,當然能單獨或適當組合對準感測器,將相干(coherrent)之檢測光照射於對象標記,檢測出從該對象標記產生之散射光或繞射光,或使從該對象標記所產生之兩個繞射光(例如,同次數之繞射光,或朝同方向繞射之繞射光)干涉而檢測出。
此外,保持對準系統ALG之光學元件或光學元件之保持構件等,亦可配置於晶圓台WTB之移動面附近,於擔心因液體飛散而附著液體之構件設置撥水性蓋。又,光學元件與供保持該光學元件之保持構件之間隙等,於擔心液體朝對準系統ALG滲入之間隙配置O形環等密封構件。進而,對準系統ALG之終端之光學元件表面或固定於對準系統ALG之干涉計用之反射鏡表面等,配置於晶圓台WTB移動面附近之光學構件表面係以撥液性材料加以被膜,不僅能防止附著水,而且即使附著水,操作者等作業者亦能輕易擦去。
進而,本實施形態之曝光裝置100,第1圖中雖省略圖示,其設有包含照射系統90a及受光系統90b(參照第6圖)之例如與日本特開平6-283403號公報(對應美國專利第5,448,332號)等所揭示者同樣之斜入射方式之多點焦點檢測系統。本實施形態,舉一例,照射系統90a係在投影單元PU之-X側,以懸吊方式支撐於供保持投影單元PU之保持構件,受光系統90b係在投影單元PU之+X側,以懸吊方式支撐於保持構件之下方。亦即,照射系統90a及受光系統90b、與投影光學系統PL係安裝於同一構件,兩者之位置關係維持一定。
其次,說明干涉計系統118之構成及作用。
於該晶圓台WTB之-X側端面及-Y側端面,施以鏡面加工,如第2圖所示,分別形成反射面17X、17Y。又,於該測量用台MTB之-X側端面、+Y側之端面、以及-Y側端面,施以鏡面加工,分別形成反射面117X、117Y1 、117Y2
干涉計系統118係如第5圖所示,由Y軸干涉計16、18、78以及X軸干涉計46、66、76所構成。
Y軸干涉計16、18皆具有,連結投影光學系統PL之投影中心(光軸AX)以及對準系統ALG之檢測中心之與Y軸平行的測長軸。該等Y軸干涉計16、18皆係至少具有3條光軸之多軸干涉計,各光軸之輸出值能獨立測量。又,X軸干涉計46,係具有在Y軸干涉計16、18之測長軸與投影光學系統PL之投影中心垂直交叉之測長軸。該等X軸干涉計46、66皆係至少具有2條光軸之多軸干涉計,各光軸之輸出值能獨立測量。上述4個干涉計16、18、46、66之輸出值(測量值)係供應至第6圖所示之主控制裝置20。例如,第5圖之狀態,來自Y軸干涉計16之干涉計光束(測長光束)係投射於測量用台WTB之反射面117Y1 ,來自Y軸干涉計18之干涉計光束(測長光束)係投射於測量用台WTB之反射面17Y,來自X軸干涉計46之干涉計光束(測長光束)係投射於測量用台WTB之反射面117X,來自X軸干涉計66之干涉計光束(測長光束)係投射於測量用台WTB之反射面17X。干涉計16、18、46、66係分別接收來自各光軸測長光束之該各反射面之反射光,藉此,於每一光軸,測量從各反射面之基準位置(一般,於投影單元PU側面或離軸對準系統ALG(參照第6圖、第5圖等)之側面配置固定反射鏡,把此當作基準面)之測量方向之位移。
第5圖之情形,主控制裝置20係根據來自Y軸干涉計18之輸出值,不僅測量晶圓台WTB之Y軸方向之位置(Y位置),亦測量繞X軸周圍之旋轉量(縱轉量)及繞Z軸周圍之旋轉量(偏轉量)。又,主控制裝置20係根據來自Y軸干涉計16之輸出量,不僅測量測量用台MTB之Y軸方向位置(Y位置),亦測量繞X軸周圍之旋轉量(縱轉量)及繞Z軸周圍之旋轉量(偏轉量)。又,主控制裝置20係根據來自X軸干涉計66之輸出值(測量值),不僅測量晶圓台WTB之X軸方向位置(X位置),亦測量繞Y軸周圍之旋轉量(橫轉量)。又,主控制裝置20係根據來自X軸干涉計46之輸出值(測量值),測量測量用台MTB之X位置以及橫轉量。
由第5圖可知,本實施形態,來自Y軸干涉計18之干涉計光束係在晶圓載台WST之對準時及曝光時之移轉範圍之全區域,持續投影至移動鏡17Y,來自Y軸干涉計16之干涉計光束係在測量用載台MST之移轉範圍之全區域,持續投影至移動鏡117Y1 。因此,針對Y軸方向,除了晶圓載台WST移動至以二點鏈線表示於第5圖中之晶圓交換位置之情形等外,載台WST、MST之Y位置係由主控制裝置20根據Y軸干涉計18、16之測量值進行管理。
另一方面,亦由第2圖及第5圖可知,主控制裝置20,僅來自X軸干涉計46之干涉計光束,在反射面17X所照射之範圍,根據X軸干涉計46之輸出值,管理晶圓台WTB(晶圓載台WST)之X位置,並且,僅來自X軸干涉計46之干涉計光束,在反射面117X所照射之範圍,根據X軸干涉計46之輸出值,管理測量用台MTB(測量用載台MST)之X位置。
又,主控制裝置20,來自X軸干涉計46及X軸干涉計66之干涉計光束係同時包含反射面17X所照射之範圍,晶圓對準時,晶圓台WTB(晶圓載台WST)之X位置係使用X軸干涉計66進行管理,曝光時之晶圓台WTB(晶圓載台WST)之X位置係使用X軸干涉計46進行管理。藉此,即使於晶圓對準時及曝光時,亦無阿貝(Abbe)誤差,而能管理晶圓台WTB(晶圓載台WST)之X位置。
剩餘之X軸干涉計76、Y軸干涉計78,係當位於以干涉計46、66、18無法管理之晶圓交換位置附近時用以管理晶圓載台WST位置之干涉計。根據該等干涉計76、78之測量值,主控制裝置20係根據干涉計46、66、18之輸出值,管理無法管理X位置期間之晶圓台WTB(晶圓載台WST)之位置。
又,測量用載台MST由第5圖之狀態進一步位於+Y側之待機位置時,X軸干涉計66,當然,來自X軸干涉計46之干涉計光束亦未照射至反射面117X。由此狀態將測量用載台MST移動至-Y方向時,主控制裝置20,來自X軸干涉計46之干涉計光束係由未照射至反射面117X之狀態,在開始照射反射面117X後之時點,重置當時無法用來控制之X軸干涉計46,然後,使用X軸干涉計46,管理測量用台MTB(測量用載台MST)之X位置。其他之干涉計能進行使用鄰接之干涉計之輸出(測量值)之重置(連結重置)動作。亦即,在各干涉計之重置前時點,來自鄰接之兩台干涉計之測長光束係在同時照射至反射面之時點,在其之前,仍舊使用晶圓載台WST或測量用載台MST之位置控制所使用之X軸干涉計或Y軸干涉計之測定值,將重置對象之干涉計重置(預設),藉此,能無妨礙地使用其重置後之干涉計,能管理晶圓載台WST或測量用載台MST之位置。當然,測量用台MTB位於待機位置時,亦可追加供測量測量用台MTB之X軸方向位置之干涉計。
進而,本實施形態之曝光裝置100,晶圓交換位置(裝載位置)能定位於晶圓載台WST可移動範圍之+X側端部附近且-Y側端部附近之位置,於此晶圓交換位置有晶圓載台WST時,進行標線片對準及對準系統ALG之基線測量。於此晶圓交換位置有晶圓載台WST時,因來自Y軸干涉計18之干涉計光束(測長光束)照射至測量用台MTB之反射面117Y2 ,故首先,主控制裝置20重置該Y軸干涉計18之測量值。接著,主控制裝置20使用該重置後之Y軸干涉計18與X軸干涉計46,管理測量用台MTB之位置,並開始標線片對準及對準系統ALG之基線測量之一系列動作。此係因為晶圓對準時及曝光時,使用晶圓台WTB(晶圓載台WST)之位置測量所使用之Y軸干涉計18,管理測量用台MTB之位置,並使用測量用台MTB上之前述基準標記區域FM測量基線,使用其所測量之基線,進行曝光時之晶圓台WTB之位置控制,藉此防止產生因控制所使用之干涉計之差異所造成之位置誤差之故。
本實施形態,標線片對準時,利用主控制裝置20,液浸機構132之液體供應裝置88及液體回收裝置92之各閥之開閉控制係如前述般進行,在投影光學系統PL之前透鏡91與測量用台WTB之基準標記區域FM之間,持續填滿水。接著,藉由主控制裝置20,使用標線片對準檢測系統RAa、RAb,檢測出與標線片R上之至少一對標線片對準標記之基準標記區域FM上之至少一對第1基準標記之相對位置(第1相對位置),然後,測量用台WTB根據基線之設計值,使基準標記區域FM移動至位於對準系統ALG之正下方位置,以水Lq未存在於基準標記區域FM上之狀態,使用對準系統ALG,檢測出基準標記區域FM上之第2基準標記,檢測出該對準系統ALG之檢測中心與第2基準之相對位置(第2相對位置)。接著,主控制裝置20根據上述第1相對位置與第2相對位置與基線之設計值與一對第1基準標記與第2基準標記之位置關係,算出對準系統ALG之基線。
本實施形態,利用三個Y軸干涉計16、18、78與三個X軸干涉計46、66、76,構成第6圖之干涉計系統118,但此種干涉計系統之構成只是一例,當然,本發明未限定於此。
回到第1圖,於曝光裝置100,設有將晶圓搬送至晶圓載台WST之搬送臂70。此搬送臂70較佳係在檢測出晶圓中心位置及旋轉角之未圖示之預對準裝置與位於晶圓交換之晶圓載台WST之間搬送晶圓者,亦可使用滑動方式的臂,亦可使用水平多關節型之機器人手臂等。本實施形態包含:此搬送臂70、未圖示之預對準裝置、以及對該預對準裝置從外部搬送之搬送部,而構成用以搬送晶圓至晶圓載台WST之搬送系統72(參照第6圖)。
第6圖係表示曝光裝置100之控制系統之主要構成。此控制系統係以主控制裝置20[由綜合控制全體裝置之微電腦(或工作站)所構成]構成。
又,晶圓台WTB、測量用台MTB之XY面內之位置係如上述,能用干涉計系統118之各干涉計,以0.5~1nm左右之解析度來測量,但因於本實施形態之撥液板50未存在位置測量基準之標記等,故例如,來自所有Y軸干涉計或所有X軸干涉計之干涉計光束未照射晶圓台WTB之反射面後,至少重置一台干涉計後,不易將晶圓台WTB恢復基準狀態(或最後干涉計光束關閉前之狀態)。又,本實施形態中,撥液板50之周圍係從晶圓台WTB(反射面)朝外側伸出,故為避免撥液板50之外周邊緣碰撞,不易進行晶圓台WTB之位置控制。特別是,撥液板50交換後等,亦不易控制晶圓台WTB之位置。鑑於該點,本實施形態之曝光裝置100係利用主控制裝置20,如下述般測量撥液板50之位置,依此測量結果,進行晶圓台WTB之位置管理。
第7圖係表示,舉一例,交換撥液板50後,恢復為所執行之晶圓台WTB之基準狀態動作時,主控制裝置20(內部CPU)之處理算法流程圖。開始此處理算法,係指重置干涉計18之測量值後,將晶圓載台WST移動至第8圖所示之位置時者。此時,晶圓台WTB之位置係根據干涉計18、76之測量值,由主控制裝置20管理。此外,晶圓台WTB本身之θz方向之旋轉誤差小到能予以忽視程度者。又,如前述,晶圓台WTB(晶圓載台WST)等移動時,雖執行前述之干涉計之測量值之連結預設,但在以下之處理算法說明中,為了簡化其說明,省略關於干涉計之測量值之連結預設之說明等,在以干涉計系統118之測長軸所界定之載台座標系統(X,Y)上,管理晶圓載台WST(晶圓台WTB)之位置者。考量由於以連結預設將所鄰接之X軸干涉計之測量值、Y干涉計之測量值依序接替,故即使如此假定亦不會有特別的問題。
首先,第7圖之步驟202中,將表示撥液板50外周邊緣測量點號碼之第1計數器之計數值n初始化為1(n←1)。此處,作為測量對象之區域係限定為N個,此處為4個區域,亦即,限定撥液板50之上下左右各邊緣之中央點者。
在其次之步驟204中,使用干涉計系統118,測量晶圓台WTB之位置,並移動用以將撥液板50外周邊緣上之第n號(此處為第1號)之測量點定位於對準系統ALG正下方之晶圓載台WST。
第9(A)圖係表示晶圓台WTB(晶圓載台WST)上之撥液板50外周邊緣上之第1號測量點定位於對準系統ALG之攝影視野時之情況。此外,第9(A)圖~第9(D)圖中,符號ALG’係表示對準系統ALG之攝影視野。
回到第7圖,步驟206中,使用對準系統ALG,拍攝該外周邊緣上第n號(此處,指第1號)之測量點,取入其攝影資料(攝影訊號),並且,取入此時之干涉計系統118之測定值,於未圖示之記憶體內對應兩者加以儲存。
其次之步驟208中,判斷第1計數器之計數值n是否達到N(此處,N=4),此時,因n=1,故此處之判斷為否定,移至步驟210,將第1計數器之計數值n加1後,回到步驟204。
以後,步驟208中之判斷為肯定前,重複步驟204→206→208→210之環路處理。藉此,從第9(A)圖之位置,於分別表示於第9(B)圖、第9(C)圖、第9(D)圖之位置,依序定位晶圓台位置,在各定位位置,使用對準系統ALG拍攝撥液板50之外周邊緣,將與該攝影資料之晶圓台WTB之位置資訊儲存於記憶體內。
接著,當第9(D)圖所示之撥液板50之一X側之邊緣攝影之取入完成,步驟208中之判斷為肯定,移至步驟212。
步驟212中,根據儲存於記憶體內之各邊緣之攝影資料(攝影結果)與對應之干涉計系統118之測量結果,利用影像處理方法,取得撥液板50之第1號~第N號(此處為第4號)之外周邊緣測量點之位置資訊。
其次之步驟214中,根據所獲得之N處(此處為4處)之外周邊緣之位置資訊,算出撥液板50之位置資訊,例如,算出撥液板50既定基準點(例如中心點)之載台座標系統(X,Y)上之位置資訊等後,視需要,進行步驟216之處理後,第7圖之流程圖所示之處理便告完成。
根據如此所測量之撥液板50外周邊緣之位置資訊或撥液板50之位置資訊,其後之晶圓台WTB之位置管理係由主控制裝置20進行,例如,主控制裝置20,俾避免使搭載於晶圓台WTB之撥液板50之外周邊緣與測量用載台WST碰撞,根據撥液板50外周邊緣之位置資訊或撥液板50之位置資訊,控制晶圓台WTB(晶圓載台WST)之位置與測量用載台MST之位置之至少一方。
此處,例如,進行上述步驟216處理之情形,與前述之撥液板50之位置資訊同樣,取得晶圓保持具一部分之位置資訊,根據其位置資訊與上述步驟212或214所取得之撥液板50之位置資訊,算出晶圓保持具WH(晶圓台WTB)與撥液板之位置關係。
此處,例如,亦測量撥液板50之θz旋轉之情形,將撥液板50外周邊緣之測量預先設定複數處(亦即,合計5處以上)於至少一個邊緣上,較佳係依照與前述第7圖同樣之流程圖來進行處理。第10(A)圖係表示依序測量+Y側端部邊緣上之複數處測量點之位置資訊時之晶圓台WTB之移動情形。接著,此時,前述之步驟214中,作為撥液板50之位置資訊,較佳係包含上述基準點之位置資訊,根據其複數處測量對象區域所設定之邊緣上之至少2點位置資訊,亦算出其邊緣之θz旋轉(亦即,對撥液板50之載台座標系統之旋轉角)。
此種情形中,亦可撥液板50之四邊邊緣皆設定複數個測量點,求出各邊緣之θz旋轉。例如,如第10(B)圖之示意圖所示,亦可於四邊之邊緣皆設定3點測量點,算出所獲得之各邊緣之θz旋轉之平均值。
此外,實際上,雖對準系統ALG之攝影視野ALG’固定,晶圓台WTB移動,但第10(B)圖中,為了方便起見,相對於固定之晶圓載台WTB,攝影視野ALG’呈移動般表示。
此外,本實施形態中,在包含對撥液板50之大致中心對稱之2處之複數處,拍攝撥液板50之外周邊緣,但攝影處未限定於此,亦可對撥液板50之大致中心非對稱之2處。例如,亦可在包含撥液板50一邊之外周邊緣一處及與該一邊相對之另一邊外周邊緣一處之複數處,拍攝外周邊緣。此種情形,因至少能取得相對之二邊外周邊緣之大致對稱影像,故能算出撥液板50之位置資訊(例如中心位置)。
其次,關於以本實施形態之曝光裝置100來進行,從晶圓台WTB上之撥液板交換,到進行下一撥液板之交換前之間之一系列處理,係根據表示主控制裝置20(內部CPU)之處理算法之第11圖、第12圖之流程圖進行說明。以下處理算法之說明中,關於前述干涉計測量值之連結預設之說明等予以省略者,以干涉計系統118之測長軸所界定之載台座標系統(X,Y)上,管理晶圓載台WST(晶圓載台WTB)之位置者。
首先,第11圖之步驟222中,執行測量撥液板之開口內周邊緣之位置資訊之子路徑之處理。
此步驟222之子路徑中,首先,第13圖之步驟302中,將表示撥液板50之開口50a內周邊緣之測量點順序之第2計數器之計數值m初始化為1(m←1)。此處,作為測量點限定M個,此處為8個,亦即,限定從撥液板50之開口50a之中心,包含上下左右方向之中心角45°之8方向輻射狀延伸之8條線與內周邊緣之交點之8點者。
其次之步驟304中,使用干涉計系統118測量晶圓台WTB之位置,並將撥液板50之開口50a內周邊緣上之第m號(此處為第1號)之測量點定位於對準系統ALG之攝影視野之正下方,移動晶圓台WTB(晶圓載台WST)。
第15(A)圖係表示第1號之測量點定位於對準系統ALG之攝影視野時之情況。此外,第15(A)圖~第15(D)圖、第16(A)圖~第16(D)圖中,符號ALG’係表示對準系統ALG之攝影視野。
其次之步驟306中,使用對準系統ALG,拍攝開口50a內周邊緣上之第m號(此處為第1號)之測量點,取入其攝影資料(攝影訊號),並且,取入此時之干涉計系統118之測量值,於未圖示之記憶體內對應兩者加以儲存。
其次之步驟308中,判斷第2計數器之計數值m是否達到M(此處,M=8),此時,因m=1,故此處之判斷為否定,移至步驟310,將第2計數器之計數值m加1後,回到步驟304。
以後,步驟308中之判斷為肯定前,重複步驟304→306→308→310之環路處理。藉此,從第15(A)圖之位置,於分別表示於第15(B)圖、第15(C)圖、第15(D)圖、第16(A)圖、第16(B)圖、第16(C)圖、第16(D)圖之位置,依序定位晶圓台WTB,在各定位位置,使用對準系統ALG,拍攝撥液板50之開口50a內周邊緣,將與該攝影資料之晶圓台WTB之位置資訊儲存於記憶體內。
接著,當第16(D)圖所示之開口50a內周邊緣上之第8號測量點之攝影資料之取入完成,步驟308中之判斷為肯定,移至步驟314。以此時點,如第17(A)圖之示意圖所示,開口50a內周邊緣上8處之攝影資料及所對應之晶圓台WTB之位置資訊資料儲存於記憶體內。此外,實際上,雖對準系統ALG之攝影視野ALG’固定,晶圓台WTB移動,但第17(A)圖中,為了方便起見,相對於固定之晶圓台WTB,攝影視野ALG’呈移動般表示。
步驟314中,根據儲存於記憶體內之開口50a內周邊緣上之M處(此處為8處)之攝影資料(攝影結果)與對應之干涉計系統118之測量結果,利用影像處理方法,取得撥液板50之開口50a內周邊緣上之第1號~第M號(此處為第8號)之測量點之位置資訊後,完成此子路徑處理,返回主路徑之步驟224(參照第11圖)。
步驟224中,根據所獲得之M處(此處為8處)之開口50a內周邊緣之位置資訊,例如,以最小平方法等算出撥液板50之開口50a之位置資訊,例如,算出開口50a既定基準點(例如中心點)之載台座標系統(X,Y)上之位置資訊後(亦即,根據內周邊緣之位置資訊,決定被干涉計系統118所界定之載台座標系統與開口50a之位置關係),移至步驟226。
步驟226中,根據上述M處(此處為8處)之開口50a內周邊緣之位置資訊,利用既定運算,算出撥液板50a之形狀資訊(此形狀資訊中至少包含開口50a之真圓度)。此處,所謂真圓度係表示根據開口50a之理想真圓表示偏差之評價量,能以對開口50a之輪廓之開口50a中心之最大半徑與最小半徑之差來界定。此處,成為此真圓度基準之圓的中心,亦可係以其次之一a.~d.中任一種方法所算出之中心。a.最小區域中心法(MZC):以兩個同心圓隔開口輪廓時,同心圓之半徑差為最小之中心,b.最小平方中心法(LSC):最小平方平均圓(離基準圓之偏差之平方和成為最小之圓)之中心,c.最小外切圓中心法(MCC):以最小外切開口輪廓之圓的中心,d.最大內切圓中心法(MIC):以最大內切開口輪廓之圓的中心。
其次之步驟228中,判斷以上述步驟226所算出之真圓度是否小於第1閾值。此處,第1閾值係限定作為撥液板使用容許之極限值。據此,此步驟228中之判斷為否定之情形,此撥液板50,因該曝光裝置以無法使用之程度,形成真圓度不充分之開口之板件,故移至第12圖之步驟264,例如,於未圖示之顯示器上,等於表示「撥液板不良(需要交換)」等,把撥液板不良通知操作者後,完成本程序處理。然後,確認此通知(顯示),藉此,操作者,停止曝光裝置100之運轉,用手動執行撥液板50之交換。此外,具備用來交換撥液板50之機器人等之情形,主控制裝置20,將交換時期顯示於顯示器上,並且停止裝置之運轉,使用該機器人等亦能進行撥液板之交換。
另一方面,上述步驟228中之判斷為肯定之情形,移至其次之步驟230,判斷上述步驟226所算出之真圓度是否小於第2閾值。接著,此判斷為否定之情形,移至步驟234,使用搬送系統72之搬送臂70與前述之中心凸部34a~34c,於撥液板50之開口50a內部之晶圓保持具WH上,裝載作為工具用基板之工具晶圓W1(參照第17(B)圖)後,移至進行步驟236之開口內之物體外周邊緣之位置資訊測量之子路徑。此處,工具晶圓W1與作為元件製造所使用之被處理物體之晶圓W相較,具有一小直徑周圍(外徑)之工具晶圓。與上述相反,步驟230之判斷為肯定之情形,移至步驟232,使用搬送系統72之搬送臂70與前述之中心凸部34a~34c,於撥液板50之開口50a內部之晶圓保持具WH上,裝載該晶圓W後,移至上述步驟236之子路徑。此處,此裝載時,首先,根據以步驟222所取得之開口50a內周邊緣之位置資訊或以步驟224所取得之開口50a之位置資訊,來控制晶圓台WTB及搬送臂70之至少一方位置。
因此,第2閾值係以選擇將工具晶圓W1、晶圓W中任一個之分開來限定。開口50a之真圓度高之情形,其開口50a與直徑僅稍不同,能以不妨礙的方式將元件製造用之晶圓W裝載於開口50a內部之晶圓保持具WH上,但開口50a之真圓度低之情形,若將晶圓W裝載於其開口50a內部之晶圓保持具WH上時,該晶圓W與開口50a內周邊緣之接觸可能性變高,會有不易裝載之虞。因此,後者之情形,將較晶圓W直徑小之工具晶圓W1裝載於晶圓保持具WH上。
步驟236之子路徑中,首先,第14圖之步驟322中,把表示開口50a內之物體(工具晶圓W1或晶圓W,以下,適當作為代表性之晶圓W1者)之外周邊緣之測量點號碼之第3計數器之計數值k初始化為1(k←1)。此處,作為測量點限定K個,此處為8個,亦即從工具晶圓W1之中心,於包含上下左右方向之中心角45°之8個方向輻射狀延伸之8條線與工具晶圓W1外周邊緣交點之8點者。
其次之步驟324中,使用干涉計系統118測量晶圓台WTB之位置,並將撥液板50之開口50a內之工具晶圓W1外周邊緣上第k號(此處為第1號)之測量點定位於對準系統ALG之攝影視野正下方,移動晶圓台WTB(晶圓載台WST)。
其次之步驟326中,使用對準系統ALG,拍攝工具晶圓W1外周邊緣上第k號(此處為第1號)之測量點,取入其攝影資料(攝影訊號),並且,取入此時之干涉計系統118之測量值,於未圖示之記憶體內對應兩者加以儲存。
其次之步驟328中,判斷第3計數器之計數值k是否達到K(此處,K=8),此時,因k=1,故此處之判斷為否定,移至步驟330,將第3計數器之計數值k加1後,回到步驟324。
以後,步驟328中之判斷為肯定前,重複步驟324→326→328→330之環路處理。藉此,如第17(B)圖所示,於8個測量點分別位於對準系統ALG之攝影視野ALG’內之位置,依序定位晶圓台WTB,在各定位位置,使用對準系統ALG,拍攝工具晶圓W1之外周邊緣,將與該攝影資料對應之晶圓台WTB之位置資訊儲存於記憶體內。
接著,當外周邊緣上第8號測量點之攝影資料之取入完成,步驟328中之判斷為肯定,移至步驟332。
步驟332中,根據儲存於記憶體內之開口50a內之物體(工具晶圓W1(或晶圓W))外周邊緣上之K處(此處為8處)之攝影資料(攝影結果)與對應之干涉計系統118之測量結果,利用影像處理方法,取得開口50a內之物體外周邊緣上第1號~第K號(此處為第8號)之測量點之位置資訊後,完成此子路徑處理,返回主路徑之步驟240(參照第12圖)。
步驟240中,取得開口50a內周邊緣與開口50a內物體之位置關係。具體而言,根據開口50a內之物體外周邊緣上之上述K處(此處為8處)之位置資訊,例如,根據以最小平方法等,算出之物體位置資訊(例如,該物體中心之載台座標系統(X,Y)上之位置資訊)與前述之步驟224中所獲得之撥液板50之開口50a之位置資訊(例如,開口50a中心點之載台座標系統(X,Y)上之位置資訊,利用運算取得開口50a內周邊緣與開口50a內物體之位置關係,例如,開口50a中心與物體(工具晶圓W1或晶圓W)之中心偏差之資訊。
其次之步驟242中,使晶圓載台WST移動至晶圓交換位置,使用搬送系統72之搬送臂70與中心凸部34a~34c,從晶圓保持具WH上,卸載物體(工具晶圓W1或晶圓W)。
從其次之步驟244,開始1批(既定片數晶圓)之曝光。
步驟244中,以構成搬送系統72之未圖示之預對準裝置,使用搬送臂70,將作為進行預對準(對中心及旋轉調整)之第1片被曝光基板之晶圓W搬送至位於晶圓交換位置之晶圓載台WST上方為止,考量上述步驟204所取得之開口50a之內周邊緣與開口50a內物體之位置關係之資訊,例如,前述之偏差資訊,調整搬送臂70與晶圓載台WST之位置關係,將晶圓W從搬送臂70裝載至設於晶圓台WTB上之晶圓保持具WH上。此處,搬送臂70與晶圓載台WST之位置關係之調整係藉由調整搬送臂70與晶圓載台WST雙方或一方之位置能實現。因此,裝載晶圓W時,調整搬送臂70與晶圓載台WST之位置關係後,裝載晶圓W,通常,以避免晶圓W之外周邊緣與撥液板50a之內周邊緣(晶圓台WTB上面之凹部140之內周邊緣)接觸、且晶圓W之外周邊緣與開口50a之內周邊緣之間隔較既定值例如較0.3mm左右為小的方式,能將晶圓W裝載於晶圓台WTB上方之撥液板50之開口50a內周邊緣之內部(晶圓台WTB上面凹部之內部)之晶圓保持具WH上。
其次之步驟246中,將晶圓載台WST移動至對準系統ALG之下方。
其次之步驟248中,擴及晶圓W之全周,使用對準系統ALG,以與前述晶圓W等外周邊緣之位置資訊之測量同樣之步驟,來執行撥液板50之開口50a之內周邊緣與晶圓W(的外周邊緣)之間隔。此時,特別是,測量前述晶圓之外周邊緣或開口之內周邊緣時,至少設定複數組與離晶圓中心之8個方向不同方向之測量點極為重要。
接著,其次之步驟250中,根據上述步驟248之測量結果,判斷上述間隔是否晶圓全周在容許範圍內。通常,如上述說明,以避免晶圓W之外周邊緣與撥液板50a之內周邊緣(晶圓台WTB上面之凹部140之內周邊緣)接觸、且晶圓W之外周邊緣與開口50a之內周邊緣之間隔例如較0.3mm左右為小的方式,能將晶圓W裝載於晶圓保持具WH上,故此步驟250之判斷為肯定,移至其次之步驟252。
另一方面,根據因晶圓W外徑誤差等所造成,步驟248之測量結果,進行步驟250之判斷後之結果,有時此判斷結果為否定。因此,此步驟250之判斷為否定之情形,移至前述步驟242,將第1片晶圓W從晶圓保持具上卸載。接著,關於第2片晶圓W,與前述同樣,執行步驟244、步驟246、步驟248、步驟250之動作。此種情形,步驟244中,把第2片晶圓W裝載於晶圓載台(晶圓保持具)上時,考量關於第1片晶圓W之步驟248之測量結果,調整搬送臂與晶圓載台之位置關係。關於第2片晶圓W之步驟250之判斷為肯定之情形,移至其次之步驟252。
步驟252中,使用對準系統ALG,檢測出晶圓W上之對準標記,根據其檢測結果與其檢測時之干涉計系統118之測量值,檢測出該對準標記之位置資訊,藉此進行晶圓對準,例如,進行增強型全晶圓對準(EGA)等晶圓對準。
其次之步驟254中,根據作為上述晶圓對準之結果所獲得之晶圓W上之複數個照射區域之位置資訊與最新之對準系統ALG基線之測量結果等,重複晶圓載台WST朝晶圓W上用以曝光各照射區域之掃描開始位置(加速開始位置)之照射間移動動作,與以掃描曝光方式轉印形成於對各照射區域之標線片R之圖案之掃描曝光動作,藉此以步進掃描方式將晶圓W上之複數個照射區域曝光。此外,此曝光時,於投影光學系統PL之前透鏡91正下方持續填滿水。
其次之步驟256中,判斷一批所有晶圓是否曝光完成。接著,此判斷為否定之情形,移至步驟262,保持於晶圓台WTB上之晶圓保持具WH之曝光完成之晶圓W與新的晶圓進行晶圓交換後,移至步驟252,以後,步驟256之判斷為肯定前,重複步驟252→254→256→262之環路處理。
另一方面,上述步驟256之判斷為肯定之情形,移至步驟258。
其次之步驟258中,例如,參照照明光IL之照射經歷等,判斷撥液板之交換時期是否來到。此處,本實施形態,利用預先實驗,求出撥液板50表面之撥水塗層之劣化與照射於撥液板50表面之積算能量之關係,根據其關係與照明光IL之照射經歷,於撥水塗層劣化前,判斷撥液板50之交換時期來到者。
接著,判斷交換時期來到之情形,移至前述步驟264,判斷交換時期未來到之情形,移至下一批之處理。
據此,執行從撥液板之交換到下一交換為止間一系列之處理。
由以上說明可知,本實施形態,主控制裝置20為了更正確利用該主控制裝置20內部之CPU與藉由該CPU所執行之軟體,能實現外周邊緣位置取得裝置、內周邊緣取得裝置、決定裝置形狀算出裝置、物體外周邊緣位置取得裝置、間隔測量裝置、載台控制裝置、以及控制裝置。然而,當然亦可藉由硬體構成於藉該等軟體所實現之構成部分之至少一部分構成一部分。
如以上說明,根據本實施形態之曝光裝置100,利用作為外周邊緣位置取得裝置功能之主控制裝置20,使用干涉計系統118測量以可拆裝方式搭載於撥液板50之晶圓台WTB(晶圓載台WST)之位置,並使用對準系統ALG檢測出撥液板50之一部分,根據其檢測結果與對應干涉計系統118之測量結果,來取得撥液板50之外周邊緣之位置資訊(步驟204~210)。因此如本實施形態般,即使於晶圓台WTB(晶圓載台WST)上不存在位置測量用之標記等,根據撥液板50之外周邊緣之位置資料,在干涉計系統所界定之移動座標系統(載台座標系統)上能管理撥液板50之位置,亦即晶圓台WTB(晶圓載台WST)之位置。
又,如本實施形態,撥液板50之外周從晶圓台WTB伸出外側之情形,為避免撥液板50之外周邊緣與另一構件(例如,測量載台MST)碰撞,能控制晶圓台WTB(晶圓載台WST)之位置。
此外,於晶圓台WTB(晶圓載台WST)或撥液板50設有位置測量用之標記之情形,或撥液板50之外周未從晶圓台WTB伸出外側之情形,亦如上述,當然亦能取得撥液板50外周邊緣之位置資訊。
又,根據本實施形態之曝光裝置100,利用作為內周邊緣位置取得裝置功能之主控制裝置20,使用干涉計系統118來測量晶圓台WTB之位置,並使用對準系統ALG,檢測出撥液板50之一部分,根據其檢測結果與對應干涉計系統118之測量結果,來取得撥液板50之開口50a內周邊緣之位置資訊(步驟222)。因此,根據此內周邊緣之位置資訊,能算出開口50a之位置或形狀等(參照步驟224、226)。
又,本實施形態之曝光裝置100,作為載台控制裝置功能之主控制裝置20,例如,真圓度小於第2閾值之情形,根據撥液板50之開口50a內周邊緣之位置資訊,透過搬送系統72,將晶圓W裝載於晶圓載台WST(晶圓台WTB)上之撥液板50之開口50a內之晶圓保持具WH上(步驟232)。因此,與未考量關於撥液板50之開口50a內周邊緣之資訊之情形相較,易於將晶圓W裝載於晶圓載台WST上之撥液板50之開口50a內。
又,本實施形態之曝光裝置100,取得開口50a之內周邊緣與開口50a內之物體(工具晶圓W1或晶圓W)之位置關係之情形(參照步驟240),作為載台控制裝置功能之主控制裝置20,利用搬送系統72,將晶圓W搬送至晶圓台WTB時,考量上述位置關係之資訊,控制晶圓台WTB與搬送系統72之搬送臂70之至少一方,調整搬送臂70與晶圓台之位置以裝載晶圓(參照步驟244)。因此,根據此所取得之位置關係,能以期望之位置關係,將晶圓裝載於晶圓台WTB之凹部140內(亦即,撥液板50之開口50a之內周邊緣內部)。此種情形,以避免晶圓W之外周邊緣與撥液板50之開口50a之內周邊緣(晶圓台WTB上面之凹部內周邊緣)接觸、且晶圓W之外周邊緣與開口50a之內周邊緣之間隔較既定值(例如,0.3mm)為小的方式,將晶圓W裝載於晶圓台WTB上方之撥液板50之開口50a內周邊緣之內部(晶圓台WTB上面之凹部內)之晶圓保持具WH上。
此外,於第11圖及第12圖所說明之動作中,對開口50a之形狀(真圓度),設有第1閾值與第2閾值,將工具晶圓W1裝載於晶圓保持具上,但亦可僅使用一閾值,判斷是否裝載工具晶圓W1。此種情形,作為工具晶圓W1,亦可係較被曝光晶圓W直徑為小之晶圓,亦可係與被曝光晶圓W直徑大致相同之晶圓。
又,於第11圖及第12圖所說明之動作中,取得開口50a之形狀資訊後,將工具晶圓W1裝載於晶圓保持具上,但亦可省略形狀資訊之取得。此種情形,作為工具晶圓W1,亦可係較被曝光晶圓W直徑為小之晶圓,亦可係與被曝光晶圓W直徑大致相同之晶圓。
又,於第11圖及第12圖所說明之動作中,求出開口50a之位置資訊與形狀資訊後,將工具晶圓W1裝載於晶圓保持具上,但亦可省略開口50a之位置資訊與形狀資訊之取得,將工具晶圓W1裝載於晶圓保持具上後,亦能取得開口之位置資訊及開口內周邊緣與工具晶圓W1外周邊緣之位置關係(包含間隔)。當然,視需要,亦能取得開口50a之形狀資訊。此種情形,作為工具晶圓W1,雖較佳係較被曝光晶圓W直徑為小之晶圓,但亦可係與被曝光晶圓W直徑大致相同之晶圓。
又,於第11圖及第12圖所說明之動作中,作為第1片被曝光基板之晶圓W裝載於晶圓保持具上時,測量開口50a之內周邊緣與晶圓W之位置關係(間隔),但根據使用工具晶圓W1所獲得之資訊,能將作為被曝光基板之晶圓W裝載於開口50a內既定位置之情形,亦可省略其測量動作(步驟246、248、250)。
又,於第11圖及第12圖所說明之動作中,於步驟258中,判斷一批曝光處理完成後,是否交換撥液板50,但亦可省略步驟258,於每一既定時間進行判斷,未進行是否交換之判斷,亦可經過既定時間後,進行交換撥液板。
接著,根據曝光裝置100,則如上述,在裝載於晶圓台WTB上方之撥液板50之開口50a內周邊緣之內部(晶圓台WTB上面之凹部內)之晶圓W上照射照明光,以進行曝光(步驟254)。因此,曝光動作中,能防止液體(水)Lq從晶圓W與撥液板50間之洩漏,利用液浸曝光,進行高解析度且較空氣中為大焦點深度之曝光,藉此,能將標線片R之圖案精度良好地轉印於晶圓上,例如,能以ArF準分子雷射光,作為元件規格而實現45~100nm程度之微細圖案之轉印。
根據本實施形態之曝光裝置100,於晶圓載台WST(晶圓台WTB)進行晶圓曝光時,成為必要之最低限度構成構件,例如,可僅設有晶圓保持具等,故能實現晶圓載台WST之小型及輕量化,能減低驅動晶圓載台之驅動機構(馬達)之小型化及馬達所產生之發熱量,能極力抑制晶圓載台WST之熱變形或曝光精度之降低。
此外,上述實施形態係針對於撥液板50之外周邊緣設定複數個測量點,取得此複數個測量點位置資訊之情形加以說明,但未限於此,例如,亦可於較撥液板50上面外周邊緣之位置為內側之位置,與其外周邊緣之位置關係形成已知記號,例如,從外周邊緣,於既定距離(假設D)之位置,形成與外周邊緣平行之線狀記號,於此記號上至少設定一個測量點,測量其測量點之位置資訊,根據其測量結果與上述距離D,取得外周邊緣之位置。於撥液板50上,如第18圖所示,於其邊緣附近大多存在寬度為d,高度為h之曲面(或斜面),其高度h為0.1mm左右,故對準系統ALG之焦點深度小之情形,考量邊緣影像模糊之情形。此種情形,亦可將上述之線狀記號設於D>d之位置,以對準系統ALG拍攝此線狀之記號。當然,記號未限於上述之線狀,與外周邊緣之位置關係若為已知,則不論形狀如何。
同樣地,關於撥液板50之開口50a之內周邊緣,亦可事先形成已知與其內周邊緣之位置關係之記號,取得其記號上至少一個測量點之位置資訊。例如,於開口50a內周邊緣之既定距離外側,亦可把與開口50a同心之圓形線作為記號來形成。
又,檢測出撥液板50外周邊緣等位置資訊時,較佳係使用具有對準系統ALG之焦點檢測系統,但具有對準系統ALG之焦點檢測系統之檢測用光束從撥液板50偏差之情形,以其檢測用光束能照射於撥液板50表面之位置,一旦進行對焦後,較佳係仍舊維持其聚焦狀態,進行所謂的將測量點定位於對準系統ALG之攝影視野之聚焦位移動作。
又,上述實施形態,係針對使用由FIA系統之感測器所構成之對準系統ALG,拍攝撥液板50外周邊緣、開口50a內周邊緣、工具晶圓W1或晶圓W外周邊緣,使用其攝影結果,利用影像處理方法,取得各測量點位置資訊之情形加以說明,但作為檢測裝置,亦可使用FIA系統以外之感測器,例如,使用檢測出反射光或散射光之裝置。又,使用FIA系統之情形,當然亦可係利用下射照明,檢測出來自對象物之反射光之方式,但亦可採用從下方照明撥液板50之邊緣,以撥液板50之上方檢測出其透射光之方式。
此外,上述實施形態中,撥液板50之交換作業及撥液板50之各種測量之至少一方,亦可於投影光學系統PL之像面側,以無液體Lq之狀態來進行,亦可於測量用台MTB與投影光學系統PL間以保持液體Lq之狀態來進行。於測量用台MTB與投影光學系統PL間持續保持液體Lq之情形,因能維持投影光學系統PL之前端面沾溼之狀態,故不僅能防止發生水痕等,而且能省略液體Lq之全回收及再供應之作業。
又,上述實施形態,晶圓台WTB構成第1載台(及移動體)(以可拆裝方式搭載檢測出其外周之位置資訊之板件),測量用載台MST係針對構成第2載台之情形加以說明,但未限於此,測量用台MTB亦可構成第1載台(及移動體)。亦即,亦能取得以可拆裝方式搭載於測量用台MTB之板件外周邊緣之位置資訊。此情形,根據其外周邊緣之位置資訊,能控制測量用台MTB之移動。此情形,測量用台MTB之板件交換作業及板件之各種測量之至少一方,亦可於投影光學系統PL之像面側無液體Lq之狀態來進行。
此外,亦可於測量用台MTB與投影光學系統PL間,以保持液體Lq之狀態來執行。
亦即,於晶圓台WTB側交換撥液板50時,如第19(A)圖所示,俾使液體Lq位於測量用台MTB上,控制測量用台MTB之位置。接著,撥液板50之交換完成後,如第19(B)圖所示,使用對準系統ALG,測量撥液板50之測量用台MTB(測量用載台MST)側(+Y側)之外周邊緣。藉此,能使晶圓台WTB(晶圓載台WST)靠近測量用台MTB(測量用載台MST)。
其次,如第19(C)圖、第19(D)圖所示,使用對準系統ALG,依序測量撥液板50之-X側外周邊緣、撥液板50之+X側外周邊緣。
根據如此所測量之撥液板50外周邊緣之3處位置資訊或由此所求出之撥液板50之位置資訊,其後之晶圓台WTB(晶圓載台WST)之位置管理係由主控制裝置20進行。
測量上述撥液板50外周邊緣之位置資訊後,例如,以維持使晶圓台WTB(的撥液板50)與測量用台MTB接觸(或接近)之狀態,以一體方式移動兩載台WST、MST,如第20(A)圖所示,使用對準系統ALG,測量撥液板50之開口50a之+Y側內周邊緣。其次,以維持使晶圓台WTB(的撥液板50)與測量用台MTB接觸(或接近)之狀態,依序以一體方式移動兩載台WST、MST,如第20(B)圖、第20(C)圖所示,使用對準系統ALG,依序測量撥液板50之開口50a之一X側內周邊緣、+X內周邊緣。此情形,因於晶圓台WTB上未裝載晶圓,故於裝載晶圓之部分無法定位液體Lq,故如第20(A)圖~第20(C)圖所示,因能測量內周邊緣,故根據此測量結果,與上述實施形態同樣,能將晶圓裝載於晶圓保持具WH上。
如上述,於測量用台MTB與投影光學系統PL間,以保持液體Lq之狀態來執行晶圓台WTB之撥液板50之交換動作或撥液板50外周邊緣及撥液板50之開口50a內周邊緣之測量動作,藉此不需要液體之回收動作及供應動作,不需要該等動作所需時間,能提高該部分曝光步驟之產能。
此外,如上述,測量撥液板50之外周邊緣、開口50a之內周邊緣,將晶圓裝載於晶圓保持具WH上後,以使裝載該晶圓之晶圓載台WST(晶圓台WTB)之撥液板50與測量用載台WST接觸之狀態,擴大移動範圍。亦即,能於晶圓台WST之全面配置液體Lq。據此,亦可使用沿上述實施形態所說明之第7圖、第11圖、以及第12圖之流程圖之測量方法,再度進行測量。藉此,能進行更高精度之測量。
又,上述實施形態,針對撥液板50外周邊緣、開口50a內周邊緣、工具晶圓W1或晶圓W外周邊緣,於與中心對稱的複數對處設定位置資訊之測量點加以說明,但此,例如,算出各中心點位置時,只不過是期待藉由平均化效果來提高測量精度,當然未限定於此者。
又,上述實施形態,係說明撥液板50之形狀大致為正方形,開口50a為圓形之情形,但板件的形狀亦可圓形、多角形、其他形狀。較佳係開口亦按照被處理物體之形狀。例如,把液晶顯示元件等作為被處理物體之情形,較佳係按被處理物體(玻璃板之形狀),開口作成矩形。
又,上述實施形態中,說明板件50能拆裝於晶圓台WTB之情形,但亦可與晶圓台WTB一體形成板件50。此情形,亦例如,如第11圖或第13圖所示,能檢測出為了將晶圓W裝載於晶圓台WTB所形成之凹部內周邊緣之位置資訊。
又,上述之實施形態中,包含使用第7圖所說明之板件外周邊緣之位置資訊測量之一系列動作,與包含使用第11圖所說明之板件的開口之內周邊緣位置資訊之測量之一系列動作,未必一起進行,亦可進行任一方或僅一方。
此外,上述實施形態,說明本發明適用於液浸曝光裝置之情形,但本發明之適用範圍未限定於此者,本發明亦能適用於非液浸型之一般步進機等。此情形,能使用於其表面未形成撥液面之板件,來替代撥液板。
又,上述實施形態,說明載台裝置具備一個晶圓載台,具備一個測量用載台之情形,但未限於此,亦可未具備測量用載台,至少設有一個保持晶圓之晶圓載台。此外,具備複數個晶圓載台之情形,亦可以一方之晶圓載台,進行板件之交換作業及板件之各種測量作業之至少一方,係以於投影光學系統PL之像面側無液體Lq之狀態來執行,亦可將另一方晶圓載台配置於投影光學系統PL之下方(像面側),以於投影光學系統與另一方晶圓載台間保持液體Lq之狀態來執行。
又,上述實施形態,說明採用調平用台52具有6自由度,測量用台MTB具有3自由度構成之情形,但未限於此,亦可採用調平用台52具有3自由度,測量用台MTB具有3自由度構成。又,亦可採用未設調平用台52,測量用台MTB具有6自由度構成。
此外,上述實施形態,作為液體雖使用超純水(水)者,但當然本發明未限定於此。作為液體,亦可使用化學性穩定,照明光IL之透射率高且安全的液體,例如,鹵素系惰性液體。作為此鹵素系惰性液體,例如,能使用Fluorinert(美國3M公司之商品名)。此鹵素系惰性液體於冷卻效果之點亦為優異。又,作為液體,亦能使用對照明光IL具有透射性,折射率儘量高,又,對投影光學系統或塗布於晶圓表面之光阻穩定者(例如,洋杉油等)。又,把F2 雷射光作為光源之情形,較佳係選擇豐布林油(Fomblin oil)。
又,上述實施形態,亦可再利用回收液體,此情形,較佳係事先將過濾器(從所回收之液體去除雜質)設於液體回收裝置或回收管等。
此外,上述實施形態,投影光學系統PL之最像面側之光學元件係作為前透鏡者,但該光學元件未限於透鏡者,亦可係投影光學系統PL之光學特性,例如,像差(球面像差、彗形像差)之調整所使用之光學板(平行平面板等),亦可僅蓋玻璃。投影光學系統PL最像面側之光學元件(上述各實施形態為前透鏡91),由於被照明光IL照射,從光阻所產生之飛散粒子或液體中之雜質附著等所造成,與液體(上述各實施形態為水),會有污染其表面之情形。據此,該光學元件,亦能以可拆裝(交換)之方式固定於鏡筒40之最下部,能定期交換。
此種情形,若與液體接觸之光學元件係透鏡,則該交換元件之成本變高,且交換所需時間變長,導致維護成本(運轉成本)上升及產能降低。因此,亦可將與液體接觸之光學元件,例如,作為較透鏡91廉價之平行平面板。
又,上述實施形態係說明本發明適用於步進掃描方式等掃描型曝光裝置之情形,但本發明之適用範圍當然未限定於此。亦即,本發明亦能適用於步進縫合方式之曝光裝置,或接近方式之曝光裝置等。
作為曝光裝置之用途,未限定於半導體製造用之曝光裝置,例如,亦能廣泛適用於將液晶顯示元件圖案轉印於角型玻璃板之液晶用曝光裝置,或用以製造有機EL、薄膜磁頭、攝影元件(CCD等)、微機器、以及DNA晶片等曝光裝置。又,本發明能適用於用來製造半導體元件等微元件,且用以製造光曝光裝置、RUV曝光裝置、X線曝光裝置、以及電子線曝光裝置等所使用之標線片或光罩,將電路圖案轉印於矽晶圓等之曝光裝置。
此外,上述實施形態之曝光裝置光源,未限於ArF準分子雷射光,亦能使用KrF準分子雷射光(輸出波長為248nm)、F2 雷射光(輸出波長為157nm)、Ar2 雷射光(輸出波長為126nm)、Kr2 雷射光(輸出波長為146nm)等脈衝雷射光源,或產生g線(波長為436nm)、i線(波長為365nm)等光線之超高壓水銀燈等。又,亦能使用YAG雷射之高次諧波產生裝置等。其他,亦可使用,例如,以摻入鉺(或鉺與鐿兩者)之摻入光纖放大器,放大從DFB半導體雷射或光纖雷射所振盪之紅外域,或可視域之單一波長雷射光,使用非線性光學結晶,波長轉換為紫外光之高次諧波。又,投影光學系統亦可僅縮小系統,亦可等倍及放大系統中之任一系統。
此外,上述實施形態,雖說明本發明之位置測量方法、測量方法、以及裝載方法等適用於曝光裝置之情形,但未限於此,若既定形狀之板件係具備以可拆裝方式搭載於移動體上之移動體,本發明之位置測量方法亦能適用,若裝置具備形成有裝載物體用開口之板件係以可拆裝方式搭載之移動體,則本發明之測量方法及裝載方法等能適用。
此外,半導體元件係經由以下步驟來製造,該步驟包含:進行元件功能及性能設計之步驟、依此設計步驟製作標線片之步驟、由矽材料製作晶圓之步驟、微影步驟(利用前述調整方法調整圖案轉印特性之上述實施形態之曝光裝置,將形成於光罩之圖案轉印於感光物體上)、元件組裝步驟(包含切割步驟、接合步驟、封裝步驟)、以及檢查步驟等。此情形,因在微影步驟使用上述實施形態之曝光裝置及其曝光方法,故能實現長期高精度之曝光。因此,能提高形成微細圖案之高集積度之微元件之生產性。
如以上說明,本發明之位置測量方法適用於以可拆裝方式搭載於移動體上之板件的位置測量。又,本發明之位置控制方法適用於測量關於形成有開口(用以裝載物體)之板件之資訊。又,本發明之裝載方法適用於將物體裝載於上述移動物體上。又,本發明之曝光方法及曝光裝置,以及元件製造方法適用於製造半導體元件等微元件。
20...主控制裝置
50...撥液板(板件)
50a...開口
100...曝光裝置
118...干涉計系統
132...液浸機構
ALG...對準系統(檢測裝置)
FM...基準標記板區域(測量用構件)
IL...照明光(曝光用光束)
Lq...水(液體)
MST...測量用載台(第2載台)
W...晶圓(物體)
WST...晶圓載台(第1載台、移動體)
WTB...晶圓台(曝光用載台)
第1圖係表示一實施形態之曝光裝置之概略構成圖。
第2圖係表示第1圖之載台裝置之立體圖。
第3圖係表示第1圖之測量用載台之立體圖。
第4圖係表示晶圓台之俯視圖。
第5圖係用以說明干涉計系統之構成圖。
第6圖係表示一實施形態之曝光裝置之控制系統之主要構成方塊圖。
第7圖係表示朝晶圓台之基準狀態復原動作時,主控制裝置(內部CPU)之處理算法之流程圖。
第8圖係用以說明以第7圖之流程圖所示之處理算法開始條件之圖,表示其開始時之晶圓台WTB位置一例之圖。
第9(A)~(D)圖係分別表示取得撥液板外周邊緣之位置資訊時,第1號、第2號、第3號、第4號之測量點定位於對準系統之攝影視野之狀態。
第10(A)圖係表示依序測量撥液板之+Y側端部邊緣上複數處測量點之位置資訊時,晶圓台WTB移動之狀態,第10(B)圖係表示於4邊之邊緣皆設定3點測量點情形之狀態。
第11圖係表示從晶圓台上之撥液板交換到進行下一撥液板交換為止間進行一系列處理時,主控制裝置(內部CPU)之處理算法之流程圖(其1)。
第12圖係表示從晶圓台上之撥液板交換到進行下一撥液板交換為止間進行一系列處理時,主控制裝置(內部CPU)之處理算法之流程圖(其2)。
第13圖係表示步驟222之子路徑之流程圖。
第14圖係表示步驟236之子路徑之流程圖。
第15(A)~(D)圖係分別表示取得撥液板之開口內周邊緣之位置資訊時,第1號、第2號、第3號、第4號之測量點定位於對準系統之攝影視野之狀態。
第16(A)~(D)圖係分別表示取得撥液板之開口內周邊緣之位置資訊時,第5號、第6號、第7號、第8號之測量點定位於對準系統之攝影視野之狀態。
第17(A)圖係表示取得開口內周邊緣上8處攝影資料狀態之示意概念圖,第17(B)圖係表示取得工具用晶圓外周邊緣上8處攝影資料狀態之示意概念圖。
第18圖係表示撥液板邊緣部附近之放大側面圖。
第19(A)~(D)圖係用以說明變形例之圖(其一)。
第20(A)~(C)圖係用以說明變形例之圖(其二)。
AX...光軸
ALG...對準系統
FC...框架
IL...照明光
Lq...液體
MST...測量用載台
MTB...測量用台
PH...板保持具
PL...投影光學系統
PU...投影單元
R...標線片
RAa(RAb)...標線片對準檢測系統
RST...標線片載台
W...晶圓
WH...晶圓保持具
WST...晶圓載台
WTB...晶圓台
10...照明系統
12...底盤
15...移動鏡
16...干涉計
18...干涉計
28...晶圓載台本體
40...鏡筒
50...撥液板
51A...液體供應嘴
51B...液體回收嘴
70...搬送臂
91...前透鏡
100...曝光裝置
101...板件
132...液浸機構
150...載台裝置
116...標線片干涉計

Claims (32)

  1. 一種液浸曝光裝置,係透過投影光學系統與液體將基板加以曝光,具備:移動體,具有支撐該基板之支撐構件、及形成用以配置該支撐構件之開口之上面;驅動裝置,用以驅動該移動體;搬送系統,用以將該基板搬送至被配置於進行該基板裝載之位置之該移動體上方;控制裝置,係以利用該搬送系統搬送後之該基板裝載至該移動體之方式,來控制該搬送系統與該驅動裝置;以及測量系統,用以取得從該搬送系統裝載至該移動體並以該支撐構件支撐之物體與該開口之位置關係之資訊;該控制裝置,係以於裝載該基板前裝載該物體之方式來控制該搬送系統與該驅動裝置,於裝載該基板時,使用以該測量系統取得之該物體與該開口之位置關係之資訊來控制該搬送系統與該驅動裝置。
  2. 如申請專利範圍第1項之液浸曝光裝置,其中,使用該物體與該開口之位置關係之資訊,來決定於裝載該基板時之該搬送系統之保持該基板且可移動之保持部與該移動體之位置關係;該控制裝置,係於裝載該基板時,將該搬送系統與該驅動裝置控制成使該保持部與該移動體成為該決定後之位置關係。
  3. 如申請專利範圍第2項之液浸曝光裝置,其中,將該保持部與該移動體之位置關係,決定為被該支撐構件支撐之該基板之外周邊緣與形成於該移動體之上面之該開口之內周邊緣之間隔於全周較既定值為小、且避免被該支撐構件支撐之該基板之外周邊緣與該開口之內周邊緣接觸。
  4. 如申請專利範圍第3項之液浸曝光裝置,其中,將該保持部與該移動體之位置關係,決定為被該支撐構件支撐之該基板之外周邊緣與該開口之內周邊緣之間隔於全周實質上一樣。
  5. 如申請專利範圍第3項之液浸曝光裝置,其中,該測量系統具有光學系統,用以檢測被該支撐構件支撐之該基板之外周邊緣、及該開口之內周邊緣或事先決定與該內周邊緣之位置關係而設於該上面之記號。
  6. 如申請專利範圍第5項之液浸曝光裝置,其具備移動體測量系統,用以測量該移動體之位置;該移動體測量系統係測量該光學系統進行檢測時之該移動體之位置。
  7. 如申請專利範圍第2項之液浸曝光裝置,其中,該物體與該開口之位置關係之資訊,係該物體之中心與該開口之中心偏差之資訊。
  8. 如申請專利範圍第7項之液浸曝光裝置,其中,該測量系統,係於複數處測量被該支撐構件支撐之該基板之外周邊緣與形成於該移動體之上面之該開口之內周邊緣之間隔,根據其測量結果來取得該物體之中心與該開口之中 心偏差之資訊。
  9. 如申請專利範圍第1至8項中任一項之液浸曝光裝置,其中,該移動體具有接液構件,其有別於該支撐構件而被安裝且具有成為該移動體之上面之表面,該表面接觸於該液體;該接液構件,於其表面形成該開口。
  10. 如申請專利範圍第9項之液浸曝光裝置,其中,在該接液構件安裝於該移動體後,藉由該測量系統取得該物體與該開口之位置關係之資訊。
  11. 如申請專利範圍第10項之液浸曝光裝置,其中,在該接液構件裝卸或更換後,藉由該測量系統再度取得該物體與該開口之位置關係之資訊;於該接液構件裝卸或更換後、再度裝卸或更換前,使用該再度取得之該物體與該開口之位置關係之資訊來裝載該基板。
  12. 如申請專利範圍第10項之液浸曝光裝置,其中,該接液構件之表面與被該支撐構件支撐之該基板之表面為大致同一平面。
  13. 如申請專利範圍第12項之液浸曝光裝置,其中,該接液構件,其表面為撥液面。
  14. 如申請專利範圍第1至8項中任一項之液浸曝光裝置,其中,該支撐構件係以直徑較該基板為小之基板作為該物體來進行支撐。
  15. 一種元件製造方法,其特徵在於,使用申請專利 範圍第1至8項中任一項之液浸曝光裝置。
  16. 一種調整方法,係用以透過搬送系統將基板裝載於曝光裝置之移動體,包含:將被該搬送系統搬送之物體裝載於該移動體;以及取得將該被裝載且以設於形成在該移動體表面之開口內之支撐構件支撐之該物體、與該開口之位置關係之資訊;使用該物體與該開口之位置關係之資訊,將被該搬送系統搬送之該基板裝載至移動體。
  17. 如申請專利範圍第16項之調整方法,其中,使用該物體與該開口之位置關係之資訊,來決定於裝載該基板時該搬送系統之保持該基板且可移動之保持部與該移動體之位置關係。
  18. 如申請專利範圍第17項之調整方法,其中,該保持部與該移動體之位置關係,係決定為被該支撐構件支撐之該基板之外周邊緣與形成於該移動體之上面之該開口之內周邊緣之間隔於全周較既定值為小、且避免被該支撐構件支撐之該基板之外周邊緣與該開口之內周邊緣接觸。
  19. 如申請專利範圍第18項之調整方法,其中,將該保持部與該移動體之位置關係,決定為被該支撐構件支撐之該基板之外周邊緣與該開口之內周邊緣之間隔於全周實質上一樣。
  20. 如申請專利範圍第18項之調整方法,其中,被該支撐構件支撐之該基板之外周邊緣、及該開口之內周邊緣或事先決定與該內周邊緣之位置關係而設於該上面之記 號,藉由光學系統檢測;使用該光學系統之檢測結果,來取得該物體與該開口之位置關係之資訊。
  21. 如申請專利範圍第20項之調整方法,其中,該光學系統進行檢測時之該移動體之位置,係藉由該移動體測量系統測量。
  22. 如申請專利範圍第17項之調整方法,其中,該物體與該開口之位置關係之資訊,係該物體之中心與該開口之中心偏差之資訊。
  23. 如申請專利範圍第22項之調整方法,其中,於複數處測量被該支撐構件支撐之該基板之外周邊緣與形成於該移動體之上面之該開口之內周邊緣之間隔;根據該複數處之測量結果來取得該物體之中心與該開口之中心偏差之資訊。
  24. 如申請專利範圍第16至23項中任一項之調整方法,其中,該移動體具有接液構件,其有別於該支撐構件而被安裝且具有成為該移動體之上面之表面,該表面接觸於該液體;該接液構件於該表面形成該開口。
  25. 如申請專利範圍第24項之調整方法,其中,在該接液構件安裝於該移動體後,將該物體裝載於該移動體,取得該物體與該開口之位置關係之資訊。
  26. 如申請專利範圍第25項之調整方法,其中,在該接液構件裝卸或更換後,將該物體再度裝載於該移動體, 再度取得該物體與該開口之位置關係之資訊;於該接液構件裝卸或更換後、再度裝卸或更換前,使用該再度取得之該物體與該開口之位置關係之資訊來裝載該基板。
  27. 如申請專利範圍第25項之調整方法,其中,該接液構件之表面與被該支撐構件支撐之該基板之表面為大致同一平面。
  28. 如申請專利範圍第27項之調整方法,其中,該接液構件,其表面為撥液面。
  29. 如申請專利範圍第16至23項中任一項之調整方法,其中,該支撐構件係以直徑較該基板為小之基板作為該物體來進行支撐。
  30. 一種裝載方法,包含:於使用申請專利範圍第16至23項中任一項之調整方法調整後之該曝光裝置之該移動體裝載該基板。
  31. 一種液浸曝光方法,包含:使用申請專利範圍第30項之裝載方法,將該基板裝載於該移動體;以及將裝載後之該基板透過投影光學系統與液體加以曝光。
  32. 一種元件製造方法,其特徵在於,使用申請專利範圍第31項之液浸曝光方法。
TW94140383A 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method TWI393170B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004335050 2004-11-18

Publications (2)

Publication Number Publication Date
TW200633009A TW200633009A (en) 2006-09-16
TWI393170B true TWI393170B (zh) 2013-04-11

Family

ID=36407218

Family Applications (8)

Application Number Title Priority Date Filing Date
TW107122135A TW201837984A (zh) 2004-11-18 2005-11-17 曝光裝置、曝光方法、及元件製造方法
TW102108435A TWI553703B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
TW102100681A TWI536429B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
TW94140383A TWI393170B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method
TW106115617A TWI654661B (zh) 2004-11-18 2005-11-17 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
TW105113026A TWI588872B (zh) 2004-11-18 2005-11-17 Position measurement method, position control method, measurement method, loading method, exposure method and exposure apparatus, and device manufacturing method
TW102105974A TWI538013B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
TW106143378A TWI649790B (zh) 2004-11-18 2005-11-17 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW107122135A TW201837984A (zh) 2004-11-18 2005-11-17 曝光裝置、曝光方法、及元件製造方法
TW102108435A TWI553703B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
TW102100681A TWI536429B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW106115617A TWI654661B (zh) 2004-11-18 2005-11-17 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
TW105113026A TWI588872B (zh) 2004-11-18 2005-11-17 Position measurement method, position control method, measurement method, loading method, exposure method and exposure apparatus, and device manufacturing method
TW102105974A TWI538013B (zh) 2004-11-18 2005-11-17 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
TW106143378A TWI649790B (zh) 2004-11-18 2005-11-17 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法

Country Status (10)

Country Link
US (12) US8059260B2 (zh)
EP (4) EP2772804A1 (zh)
JP (14) JP4877653B2 (zh)
KR (10) KR101421849B1 (zh)
CN (5) CN101057316B (zh)
HK (7) HK1198210A1 (zh)
IL (3) IL183280A0 (zh)
SG (4) SG2014009153A (zh)
TW (8) TW201837984A (zh)
WO (1) WO2006054682A1 (zh)

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4206272B2 (ja) 2001-03-29 2009-01-07 サイナージィ ファーマスーティカルズ、インコーポレイテッド 組織炎症及び発癌を治療するためのグアニル酸シクラーゼ受容体アゴニスト
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TW201837984A (zh) * 2004-11-18 2018-10-16 日商尼康股份有限公司 曝光裝置、曝光方法、及元件製造方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI510870B (zh) * 2006-08-31 2015-12-01 尼康股份有限公司 Exposure method and exposure apparatus, and component manufacturing method
KR101529845B1 (ko) * 2006-08-31 2015-06-17 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
KR101892410B1 (ko) * 2006-09-01 2018-08-27 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
US8969514B2 (en) * 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
CN101772513B (zh) 2007-06-04 2013-11-13 协同医药品公司 有效用于胃肠功能紊乱、炎症、癌症和其他疾病治疗的鸟苷酸环化酶激动剂
SG10201502625RA (en) * 2007-07-18 2015-05-28 Nikon Corp Measuring Method, Stage Apparatus, And Exposure Apparatus
JP5262070B2 (ja) * 2007-11-05 2013-08-14 大同特殊鋼株式会社 被検査物の真円度測定方法
WO2009084244A1 (ja) * 2007-12-28 2009-07-09 Nikon Corporation 露光装置、移動体駆動システム、パターン形成装置、及び露光方法、並びにデバイス製造方法
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
EP2296685B1 (en) * 2008-06-04 2015-09-02 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
AU2009256157B2 (en) 2008-06-04 2014-12-18 Bausch Health Ireland Limited Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
JP2011528375A (ja) 2008-07-16 2011-11-17 シナジー ファーマシューティカルズ インコーポレイテッド 胃腸障害、炎症、癌、およびその他の障害の治療のために有用なグアニル酸シクラーゼのアゴニスト
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
JP5964589B2 (ja) 2008-12-03 2016-08-03 シナジー ファーマシューティカルズ インコーポレイテッド グアニル酸シクラーゼcアゴニストの製剤およびその使用方法
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
AU2011302006A1 (en) 2010-09-15 2013-03-07 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase C agonists and methods of use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5657039B2 (ja) * 2013-01-28 2015-01-21 株式会社日立ハイテクノロジーズ 試料搭載装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9708367B2 (en) 2013-03-15 2017-07-18 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase and their uses
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
JPWO2015034005A1 (ja) 2013-09-04 2017-03-02 Ckd株式会社 電磁アクチュエータ用電機子コイル、電磁アクチュエータ、露光装置、及びデバイス製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6475756B2 (ja) * 2015-02-04 2019-02-27 川崎重工業株式会社 ロボットのぶれ自動調整装置及びロボットのぶれ自動調整方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN111948912A (zh) 2015-02-23 2020-11-17 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
EP4300194A2 (en) 2015-02-23 2024-01-03 Nikon Corporation Measurement device, lithography system and exposure apparatus, and control method, overlay measurement method and device manufacturing method
TWI749514B (zh) 2015-02-23 2021-12-11 日商尼康股份有限公司 測量裝置、微影系統、以及元件製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
TWI619145B (zh) * 2015-04-30 2018-03-21 佳能股份有限公司 壓印裝置,基板運送裝置,壓印方法以及製造物件的方法
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11029614B2 (en) 2016-07-26 2021-06-08 Asml Netherlands B.V. Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610683A (en) * 1992-11-27 1997-03-11 Canon Kabushiki Kaisha Immersion type projection exposure apparatus
US5786897A (en) * 1995-08-28 1998-07-28 Nikon Corporation Method and device for measuring pattern coordinates of a pattern formed on a pattern surface of a substrate
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
US6400445B2 (en) * 1994-02-22 2002-06-04 Nikon Corporation Method and apparatus for positioning substrate

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
JP3387075B2 (ja) 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
EP1079223A4 (en) 1998-05-19 2002-11-27 Nikon Corp INSTRUMENT AND METHOD FOR MEASURING ABERRATIONS, APPARATUS AND METHOD FOR PROJECTION SENSITIZATION INCORPORATING THIS INSTRUMENT, AND METHOD FOR MANUFACTURING DEVICES THEREOF
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
KR20020036951A (ko) * 1999-05-28 2002-05-17 시마무라 테루오 노광방법 및 장치
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
KR20010085493A (ko) 2000-02-25 2001-09-07 시마무라 기로 노광장치, 그 조정방법, 및 상기 노광장치를 이용한디바이스 제조방법
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
JP2001332490A (ja) * 2000-03-14 2001-11-30 Nikon Corp 位置合わせ方法、露光方法、露光装置、及びデバイス製造方法
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
KR20040086313A (ko) 2002-01-29 2004-10-08 가부시키가이샤 니콘 노광장치 및 노광방법
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
JP2005536775A (ja) * 2002-08-23 2005-12-02 株式会社ニコン 投影光学系、フォトリソグラフィ方法および露光装置、並びに露光装置を用いた方法
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE60335595D1 (de) 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
CN101470360B (zh) * 2002-11-12 2013-07-24 Asml荷兰有限公司 光刻装置和器件制造方法
EP2495613B1 (en) 2002-11-12 2013-07-31 ASML Netherlands B.V. Lithographic apparatus
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
CN101713932B (zh) * 2002-11-12 2012-09-26 Asml荷兰有限公司 光刻装置和器件制造方法
EP1586386A4 (en) * 2002-12-03 2010-04-21 Nikon Corp METHOD AND DEVICE FOR REMOVING CONTAMINATION AND EXPOSURE METHOD AND DEVICE
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
KR20130010039A (ko) * 2002-12-10 2013-01-24 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
CN101852993A (zh) 2002-12-10 2010-10-06 株式会社尼康 曝光装置和器件制造方法
KR101157002B1 (ko) * 2002-12-10 2012-06-21 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
AU2003289427A1 (en) * 2002-12-24 2004-07-22 Nikon Corporation Aberration measuring method, exposure method and exposure system
KR101019389B1 (ko) * 2003-01-23 2011-03-07 가부시키가이샤 니콘 노광 장치
US6903338B2 (en) * 2003-01-30 2005-06-07 Kla-Tencor Technologies Corporation Method and apparatus for reducing substrate edge effects in electron lenses
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
KR101875296B1 (ko) 2003-02-26 2018-07-05 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
JP4604452B2 (ja) * 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
EP2717098B1 (en) * 2003-04-10 2015-05-13 Nikon Corporation Environmental system including vaccum scavange for an immersion lithography apparatus
KR101697896B1 (ko) * 2003-04-11 2017-01-18 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
EP2853943B1 (en) 2003-07-08 2016-11-16 Nikon Corporation Wafer table for immersion lithography
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
WO2006041083A1 (ja) * 2004-10-13 2006-04-20 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TW201837984A (zh) * 2004-11-18 2018-10-16 日商尼康股份有限公司 曝光裝置、曝光方法、及元件製造方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610683A (en) * 1992-11-27 1997-03-11 Canon Kabushiki Kaisha Immersion type projection exposure apparatus
US6400445B2 (en) * 1994-02-22 2002-06-04 Nikon Corporation Method and apparatus for positioning substrate
US5786897A (en) * 1995-08-28 1998-07-28 Nikon Corporation Method and device for measuring pattern coordinates of a pattern formed on a pattern surface of a substrate
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer

Also Published As

Publication number Publication date
IL221688A0 (en) 2012-10-31
TWI649790B (zh) 2019-02-01
TWI654661B (zh) 2019-03-21
JP2012094902A (ja) 2012-05-17
WO2006054682A1 (ja) 2006-05-26
TW201324585A (zh) 2013-06-16
KR20140018367A (ko) 2014-02-12
SG157404A1 (en) 2009-12-29
CN101057316B (zh) 2013-03-06
JP6229766B2 (ja) 2017-11-15
IL221688A (en) 2013-11-28
TWI553703B (zh) 2016-10-11
JP5967393B2 (ja) 2016-08-10
JPWO2006054682A1 (ja) 2008-06-05
US9223231B2 (en) 2015-12-29
TWI538013B (zh) 2016-06-11
US8059260B2 (en) 2011-11-15
HK1182459A1 (zh) 2013-11-29
JP2010118684A (ja) 2010-05-27
JP4986187B2 (ja) 2012-07-25
KR101578629B1 (ko) 2015-12-17
CN103149802A (zh) 2013-06-12
HK1198210A1 (zh) 2015-03-13
KR20160148059A (ko) 2016-12-23
US8072578B2 (en) 2011-12-06
KR101689100B1 (ko) 2017-01-02
JP5447545B2 (ja) 2014-03-19
KR101421849B1 (ko) 2014-07-24
US20080151214A1 (en) 2008-06-26
US20060158632A1 (en) 2006-07-20
JP2018067014A (ja) 2018-04-26
JP2012103269A (ja) 2012-05-31
JP2016075955A (ja) 2016-05-12
KR20120065437A (ko) 2012-06-20
CN104360582B (zh) 2017-06-16
JP2014131082A (ja) 2014-07-10
JP5900763B2 (ja) 2016-04-06
JP2015111682A (ja) 2015-06-18
TW201334032A (zh) 2013-08-16
KR20150010775A (ko) 2015-01-28
JP2016173607A (ja) 2016-09-29
KR20120065436A (ko) 2012-06-20
TWI536429B (zh) 2016-06-01
EP2772803A1 (en) 2014-09-03
JP5630345B2 (ja) 2014-11-26
CN103149803B (zh) 2016-03-30
US10222708B2 (en) 2019-03-05
HK1206822A1 (zh) 2016-01-15
US9348238B2 (en) 2016-05-24
JP6555554B2 (ja) 2019-08-07
KR101861949B1 (ko) 2018-07-02
HK1182460A1 (zh) 2013-11-29
JP2016040624A (ja) 2016-03-24
JP5403296B2 (ja) 2014-01-29
JP6143135B2 (ja) 2017-06-07
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
JP2017142538A (ja) 2017-08-17
TW200633009A (en) 2006-09-16
EP3346486A1 (en) 2018-07-11
US20130329208A1 (en) 2013-12-12
CN103186057B (zh) 2015-12-23
HK1182185A1 (zh) 2013-11-22
JP5392512B2 (ja) 2014-01-22
JP5721064B2 (ja) 2015-05-20
JP2011155285A (ja) 2011-08-11
KR101493641B1 (ko) 2015-02-13
CN103186057A (zh) 2013-07-03
US20160161861A1 (en) 2016-06-09
EP1821336B1 (en) 2019-04-17
TW201338018A (zh) 2013-09-16
HK1251949A1 (zh) 2019-05-03
JP6399321B2 (ja) 2018-10-03
TW201630047A (zh) 2016-08-16
KR101421850B1 (ko) 2014-07-24
KR20180054934A (ko) 2018-05-24
KR20150112038A (ko) 2015-10-06
US9298108B2 (en) 2016-03-29
SG10201505315UA (en) 2015-08-28
CN103149802B (zh) 2015-10-14
KR20130028798A (ko) 2013-03-19
US8054465B2 (en) 2011-11-08
US20080151257A1 (en) 2008-06-26
CN104360582A (zh) 2015-02-18
JP2012084927A (ja) 2012-04-26
KR101437298B1 (ko) 2014-09-02
EP1821336A4 (en) 2011-03-09
US8576379B2 (en) 2013-11-05
SG2014009179A (en) 2014-04-28
US20130329200A1 (en) 2013-12-12
IL221687A (en) 2013-11-28
US20080151267A1 (en) 2008-06-26
SG2014009153A (en) 2014-05-29
HK1198211A1 (zh) 2015-03-13
JP4877653B2 (ja) 2012-02-15
KR101452483B1 (ko) 2014-10-21
EP1821336A1 (en) 2007-08-22
US20130329201A1 (en) 2013-12-12
KR101670571B1 (ko) 2016-10-28
CN103149803A (zh) 2013-06-12
JP2019066887A (ja) 2019-04-25
TW201837984A (zh) 2018-10-16
EP2772804A1 (en) 2014-09-03
CN101057316A (zh) 2007-10-17
US9857692B2 (en) 2018-01-02
IL183280A0 (en) 2007-09-20
IL221687A0 (en) 2012-10-31
TWI588872B (zh) 2017-06-21
US20070216893A1 (en) 2007-09-20
TW201730928A (zh) 2017-09-01
US9223230B2 (en) 2015-12-29
US20160018745A1 (en) 2016-01-21
TW201835972A (zh) 2018-10-01
KR20140098194A (ko) 2014-08-07
KR20070085211A (ko) 2007-08-27

Similar Documents

Publication Publication Date Title
TWI393170B (zh) A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees