TW202240024A - 使用機器學習控制沉積膜的濃度輪廓 - Google Patents
使用機器學習控制沉積膜的濃度輪廓 Download PDFInfo
- Publication number
- TW202240024A TW202240024A TW111107686A TW111107686A TW202240024A TW 202240024 A TW202240024 A TW 202240024A TW 111107686 A TW111107686 A TW 111107686A TW 111107686 A TW111107686 A TW 111107686A TW 202240024 A TW202240024 A TW 202240024A
- Authority
- TW
- Taiwan
- Prior art keywords
- deposition process
- film
- settings
- substrate
- previous
- Prior art date
Links
- 238000010801 machine learning Methods 0.000 title claims abstract description 78
- 238000005137 deposition process Methods 0.000 claims abstract description 165
- 239000000758 substrate Substances 0.000 claims abstract description 160
- 238000000034 method Methods 0.000 claims abstract description 102
- 230000008569 process Effects 0.000 claims abstract description 53
- 230000004044 response Effects 0.000 claims abstract description 14
- 238000012545 processing Methods 0.000 claims description 187
- 238000004519 manufacturing process Methods 0.000 claims description 100
- 239000000463 material Substances 0.000 claims description 74
- 238000012549 training Methods 0.000 claims description 65
- 238000000151 deposition Methods 0.000 claims description 49
- 230000008021 deposition Effects 0.000 claims description 42
- 239000002243 precursor Substances 0.000 claims description 35
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 29
- 229910052796 boron Inorganic materials 0.000 claims description 29
- 238000003860 storage Methods 0.000 claims description 26
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 20
- 229910052710 silicon Inorganic materials 0.000 claims description 20
- 239000010703 silicon Substances 0.000 claims description 20
- 238000005259 measurement Methods 0.000 claims description 11
- 238000013500 data storage Methods 0.000 description 25
- 238000001514 detection method Methods 0.000 description 20
- 238000012546 transfer Methods 0.000 description 17
- 230000008859 change Effects 0.000 description 14
- 230000003595 spectral effect Effects 0.000 description 14
- 230000003287 optical effect Effects 0.000 description 12
- 239000012528 membrane Substances 0.000 description 10
- 238000012360 testing method Methods 0.000 description 10
- 239000007789 gas Substances 0.000 description 9
- 238000005530 etching Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 7
- 238000010200 validation analysis Methods 0.000 description 7
- 238000013528 artificial neural network Methods 0.000 description 6
- 230000003068 static effect Effects 0.000 description 6
- 230000001276 controlling effect Effects 0.000 description 5
- 238000013507 mapping Methods 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- CFOAUMXQOCBWNJ-UHFFFAOYSA-N [B].[Si] Chemical compound [B].[Si] CFOAUMXQOCBWNJ-UHFFFAOYSA-N 0.000 description 2
- 238000001636 atomic emission spectroscopy Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 230000001413 cellular effect Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000007812 deficiency Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000000295 emission spectrum Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000012706 support-vector machine Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000004422 calculation algorithm Methods 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000004320 controlled atmosphere Methods 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000012417 linear regression Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003449 preventive effect Effects 0.000 description 1
- 238000007637 random forest analysis Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 239000011265 semifinished product Substances 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B13/00—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
- G05B13/02—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
- G05B13/0265—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/542—Controlling the film thickness or evaporation rate
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B13/00—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
- G05B13/02—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
- G05B13/04—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
- G05B13/048—Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N20/00—Machine learning
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N3/00—Computing arrangements based on biological models
- G06N3/02—Neural networks
- G06N3/08—Learning methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Artificial Intelligence (AREA)
- General Physics & Mathematics (AREA)
- Software Systems (AREA)
- Evolutionary Computation (AREA)
- Chemical & Material Sciences (AREA)
- Medical Informatics (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Health & Medical Sciences (AREA)
- Automation & Control Theory (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Theoretical Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Data Mining & Analysis (AREA)
- Computing Systems (AREA)
- General Engineering & Computer Science (AREA)
- Mathematical Physics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Life Sciences & Earth Sciences (AREA)
- Biomedical Technology (AREA)
- Biophysics (AREA)
- Computational Linguistics (AREA)
- General Health & Medical Sciences (AREA)
- Molecular Biology (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
提供了用於使用機器學習控制沉積膜的濃度輪廓的方法及系統。將與在用於基板的沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型。獲得經訓練的機器學習模型的一或多個輸出。由一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料。針對沉積製程設置的每個集合,亦決定了沉積製程設置的相應集合對應於待在基板上沉積的膜的目標濃度輪廓的信賴水準的指示。回應於識別具有滿足一信賴準則水準的信賴水準的沉積製程設置的相應集合,根據沉積製程設置的相應集合執行沉積製程的一或多個操作。
Description
本揭示的實施例大體係關於製造系統並且更特定言之係關於使用機器學習控制沉積膜的濃度輪廓。
在製造系統處處理電子元件可以包括在基板表面上產生複雜圖案化的材料層。製造系統可以在基板表面上沉積膜並且可以執行蝕刻製程以在沉積膜中形成複雜圖案。沉積膜中的每種材料的材料類型及濃度可以影響蝕刻製程的效能(例如,蝕刻製程的速度、蝕刻製程的準確性等)。隨著電子元件變得更詳細且複雜,製造系統的操作人員可以試圖調整或增強沉積膜的材料特性以便產生滿足特定元件規格的蝕刻基板。然而,操作人員可能難以識別將產生滿足元件規格的電子元件的適當製造步驟或設置。
所描述的一些實施例涵蓋一種方法,其中該方法包括將與在用於製造系統的處理腔室處的基板的沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型。方法進一步包括獲得經訓練的機器學習模型的一或多個輸出。方法進一步包括由一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的相應集合對應於待在基板上沉積的膜的目標濃度輪廓的信賴水準的指示。方法進一步包括識別具有滿足信賴準則水準的信賴水準的沉積製程設置的相應集合。方法進一步包括根據沉積製程設置的相應集合執行沉積製程的一或多個操作。
在一些實施例中,一種系統包括記憶體及耦合到記憶體的處理裝置。處理裝置用於預測待針對製造系統處的當前基板執行的沉積製程的一或多個沉積設置。沉積製程包括在當前基板的表面上沉積膜。處理裝置進一步用於產生機器學習模型的第一訓練資料。第一訓練資料包括與先前針對製造系統處的先前基板執行的先前沉積製程的一或多個先前沉積設置相關聯的歷史資料,其中先前沉積製程包含在先前基板的表面上沉積先前膜。處理裝置進一步用於產生機器學習模型的第二訓練資料。第二訓練資料與在先前基板的表面上沉積的先前膜的歷史濃度輪廓相關聯。處理裝置進一步用於提供第一訓練資料及第二訓練資料以訓練機器學習模型,用於為待針對當前基板執行的沉積製程預測沉積製程的沉積設置的哪個集合對應於待在當前基板的表面上沉積的膜的目標濃度輪廓。
在一些實施例中,一種非暫時性電腦可讀取儲存媒體包括指令,當由處理裝置執行時,該等指令導致處理裝置將與在用於製造系統的處理腔室處的基板的沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型。處理裝置進一步用於獲得經訓練的機器學習模型的一或多個輸出。處理裝置進一步用於由一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的相應集合對應於待在基板上沉積的膜的目標濃度輪廓的信賴水準的指示。處理裝置進一步用於識別具有滿足信賴準則水準的信賴水準的沉積製程設置的相應集合。處理裝置進一步用於根據沉積製程設置的相應集合執行沉積製程的一或多個操作。
本文描述的實施方式提供了用於使用機器學習控制沉積膜的濃度輪廓的系統及方法。膜可以在製造系統的處理腔室處執行的沉積製程期間沉積在基板表面上。膜可以包括在沉積製程期間形成的一或多層材料。例如,材料的第一層可以直接在基板表面上形成(被稱為膜的近端層或近端)。在基板表面上形成第一層之後,材料的第二層可以在第一層上形成。此製程繼續直到完成沉積製程並且形成膜的最終層(被稱為膜的遠端層或遠端)。
在一些實施例中,沉積膜的材料的每個層可以包括多個不同材料。例如,在基板表面上沉積的膜可以包括一或多個含硼及矽層。含硼及矽層可以用作蝕刻製程的遮罩。遮罩定義在蝕刻製程期間在基板表面上形成的特定結構(例如,垂直開口、電接觸開口等)。含硼及矽層的特定材料在蝕刻製程期間餘留在基板表面上(被稱為抗蝕刻材料),而其他材料藉由電漿蝕刻掉(被稱為蝕刻劑材料)。
在一些實施例中,蝕刻到基板表面上的開口的結構性質可以受到沉積膜的每個層處的特定材料的濃度影響。例如,在包括含硼及矽層的膜中,可以執行蝕刻製程以在基板上產生開口側壁及開口底板。具有低濃度硼的含硼及矽層可以增加該層相對蝕刻終止層(亦即,終止基板的至少一部分的蝕刻製程的材料層)的蝕刻選擇性,從而允許形成更精確的開口底板。然而,與具有高硼濃度的含硼及矽層相比,低硼濃度可以導致開口側壁較粗糙且較不精確。相反地,具有高硼濃度的含硼及矽層可以允許形成精確開口側壁,同時與具有低硼濃度的含硼及矽層相比導致開口底板較不精確。由於電子元件的複雜性增加,蝕刻到基板表面上的結構的特異性及敏感性亦增加。如上文指出,蝕刻到基板表面上的結構的特異性及選擇性可以取決於在沉積膜的各個層中的特定材料的濃度。
在一些情況中,製造系統的使用者(例如,操作人員)可能希望執行沉積製程以在基板表面上沉積具有目標濃度輪廓的膜。濃度輪廓指相對於沉積膜的最終厚度的沉積膜的特定濃度梯度(亦即,在沉積膜的各個層之間的特定材料的濃度改變)。例如,含硼及矽膜的濃度輪廓可以包括具有低濃度硼的近端層及具有高濃度硼的遠端層,而在近端層與遠端層之間的膜層中的硼濃度線性增加。在一些實施例中,膜的目標濃度輪廓可以係促進將結構蝕刻到具有目標精確度(例如,95%的精確度、98%的精確度、99.9999999%的精確度等)的基板表面上的膜濃度輪廓。
在一些情況中,可能難以決定將產生具有目標濃度輪廓的沉積膜的沉積製程的設置。例如,導致特定材料的前驅物的流量以恆定速率(亦即,線性)增加或減少的沉積製程設置可能不產生具有包括在沉積膜的近端層與遠端層之間的特定材料的相應線性增加或減少的濃度輪廓的沉積膜。例如,二硼烷(B
2H
6)可以用作基於矽及基於硼的沉積製程的前驅物。二硼烷的流量增加可以增加處理腔室中的硼(B)的量,此可以增加製程的沉積速率。在一些情況中,增加的沉積速率可以導致沉積膜中的矽及硼的非線性輪廓。在一些情況中,可以執行大量實驗(例如,藉由製造系統的使用者)以嘗試決定將產生具有目標濃度輪廓的沉積膜的沉積製程設置。然而,此等實驗可以消耗大量製造系統資源,可能未識別目標濃度輪廓的適當沉積製程設置。
本揭示的態樣藉由提供用於使用機器學習控制沉積膜的濃度輪廓的系統及方法來解決上文提及的缺陷及其他缺陷。製造系統的處理裝置可以將與在沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型。機器學習模型可以經訓練以為沉積製程預測沉積設置的哪個集合對應於待在基板表面上沉積的膜的目標濃度輪廓。在一些實施例中,處理裝置可以從連接到製造系統的客戶端裝置接收與目標濃度輪廓相關聯的資料。例如,製造系統的使用者可以經由客戶端裝置提供膜的目標厚度的指示、膜的近端層的目標初始濃度的指示、膜的遠端層的目標最終濃度的指示、及在膜的近端層與遠端層之間的濃度梯度的目標形狀(亦即,線性形狀、非線性形狀等)的指示。回應於將與膜的目標濃度輪廓相關聯的資料作為輸入提供到機器學習模型,處理裝置可以獲得機器學習模型的一或多個輸出。處理裝置可以基於一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的相應集合對應於目標濃度輪廓的信賴水準的指示。處理裝置可以識別具有滿足信賴準則水準(例如,超過信賴水準閾值)的信賴水準的沉積設置的相應集合,並且根據沉積設置的相應集合執行基板的沉積製程。
如上文提及,機器學習模型可以經訓練以為沉積製程預測沉積設置的哪個集合對應於在沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓。在一些實施例中,機器學習模型可以基於與針對製造系統處的一或多個先前基板執行的先前沉積製程相關聯的歷史資料來訓練。例如,機器學習模型的第一訓練資料可以包括與先前執行以在製造系統處的先前基板的表面上沉積先前膜的先前沉積製程的先前沉積設置相關聯的歷史資料。機器學習模型的第二訓練資料可以與在基板表面上沉積的先前膜的歷史濃度輪廓相關聯。在一些實施例中,歷史濃度輪廓可以對應於先前膜的歷史計量量測值(例如,先前膜的厚度)及與先前膜相關聯的歷史濃度。處理邏輯(例如,製造系統的處理裝置)可以提供第一及第二訓練資料以訓練機器學習模型。
本揭示的態樣藉由提供用於預測沉積設置的系統及方法來解決習知技術的缺陷,該等沉積設置對應於待在基板表面上沉積的膜的目標輪廓。使用與針對製造系統處的先前基板執行的歷史沉積製程相關聯的資料,機器學習模型可以經訓練以預測對應於待在製造系統處處理的當前基板的目標濃度輪廓的沉積設置。製造系統的處理裝置可以將與目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型並且基於經訓練的機器學習模型的輸出來決定對應於目標濃度輪廓的沉積設置的集合。藉由使用經訓練以識別對應於目標濃度輪廓的沉積設置的機器學習模型,不執行大量實驗即可決定將產生具有目標濃度輪廓的沉積膜的沉積製程設置。實驗數量的減少減少了製造系統資源的消耗,從而導致製造系統的總處理量及效率增加以及總時延減少。
第1圖描繪了根據本揭示的態樣的說明性電腦系統架構100。在一些實施例中,電腦系統架構100可以作為用於處理基板的製造系統(諸如第3圖的製造系統300)的部分包括在內。電腦系統架構100包括客戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如,用於產生預測資料、提供模型適應、使用知識庫等)、及資料儲存器140。預測伺服器112可以係預測系統110的部分。預測系統110可以進一步包括伺服器機器170及180。製造設備124可以包括經配置為擷取在製造系統處處理的基板的資料的感測器125。在一些實施例中,製造設備124及感測器126可以係感測器系統的一部分,該感測器系統包括感測器伺服器(例如,製造設施處的現場服務伺服器(field service server; FSS))及感測器識別符讀取器(例如,感測器系統的前開式晶圓傳送盒(front opening unified pod; FOUP)射頻識別(radio frequency identification; RFID)讀取器)。在一些實施例中,計量設備128可以係計量系統的一部分,該計量系統包括計量伺服器(例如,計量資料庫、計量檔案夾等)及計量識別符讀取器(例如,計量系統的FOUP RFID讀取器)。
製造設備124可以在一段時間中根據配方或執行運行來產生產品,諸如電子元件。製造設備124可以包括處理腔室,諸如關於第4圖描述的處理腔室400。製造設備124可以執行處理腔室處的基板(例如,晶圓等)的製程。基板製程的實例包括用於在基板表面上沉積膜的沉積製程、用於在基板表面上形成圖案的蝕刻製程等。製造設備124可以根據製程配方執行每個製程。製程配方定義在製程期間待針對基板執行的操作的特定集合並且可以包括與每個操作相關聯的一或多個設置。例如,沉積製程配方可以包括處理腔室的溫度設置、處理腔室的壓力設置、在基板表面上沉積的膜中包括的材料的前驅物的流動速率設置等。
在一些實施例中,製造設備124可以包括感測器126,該等感測器經配置為產生與製造設備124處處理的基板相關聯的資料。例如,處理腔室可以包括一或多個感測器,該等感測器經配置為在針對基板執行製程(例如,沉積製程)之前、期間、及/或之後產生與基板相關聯的光譜或非光譜資料。在一些實施例中,藉由感測器126產生的光譜資料可以指示在基板表面上沉積的一或多種材料的濃度。經配置為產生與基板相關聯的光譜資料的感測器126可以包括反射量測感測器、橢圓量測感測器、熱譜感測器、電容感測器等等。經配置為產生與基板相關聯的非光譜資料的感測器126可以包括溫度感測器、壓力感測器、流動速率感測器、電壓感測器等。第3圖及第4圖提供有關製造設備124的另外細節。
在一些實施例中,感測器126可以提供與製造設備124相關聯的感測器資料。感測器資料可以包括下列的一或多個的值:溫度(例如,加熱器溫度)、間隔(spacing; SP)、壓力、高頻射頻(high frequency radio frequency; HFRF)、靜電夾盤(electrostatic chuck; ESC)的電壓、電流、材料流量、功率、電壓等。感測器資料可以與製造參數相關聯或指示製造參數,製造參數諸如硬體參數,諸如製造設備124的設置或分量(例如,大小、類型等)、或製造設備124的處理參數。感測器資料可以在製造設備124執行製造製程時提供(例如,當處理產品時的設備讀數)。感測器資料可以針對每個基板不同。
計量設備128可以提供與藉由製造設備124處理的基板相關聯的計量資料。計量資料可以包括下列的值:膜性質資料(例如,晶圓空間膜性質)、尺寸(例如,厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等。在一些實施例中,計量資料可以進一步包括下列的值:一或多個表面輪廓性質資料(例如,蝕刻速率、蝕刻速率均勻性、在基板表面上包括的一或多個特徵的關鍵尺寸、跨基板表面的關鍵尺寸均勻性、邊緣放置誤差等)。計量資料可以係完成或半完成產品。計量資料可以針對每個基板不同。
在一些實施例中,計量設備128可以作為製造設備124的一部分包括在內。例如,計量設備128可以包括在處理腔室內部或耦合到處理腔室並且經配置為在製程(例如,沉積製程、蝕刻製程等)之前、期間、及/或之後產生基板的計量資料,同時基板保留在處理腔室中。在此種實例中,計量設備128可以被稱為原位計量設備。在另一實例中,計量設備128可以耦合到製造設備124的另一站。例如,計量設備可以耦合到傳遞腔室(諸如第3圖的傳遞腔室310)、裝載閘(諸如裝載閘320)、或工廠介面(諸如工廠介面306)。在此種情況中,計量設備128可以被稱為整合的計量設備。在其他或類似實施例中,計量設備128不耦合到製造設備124的站。在此種情況中,計量設備128可以被稱為在線計量設備或外部計量設備。在一些實施例中,整合的計量設備及/或在線計量設備經配置為在製程之前及/或之後產生基板的計量資料。
客戶端裝置120可包括計算裝置,諸如個人電腦(personal computer; PC)、膝上型電腦、行動電話、智慧電話、平板電腦、小筆電電腦、網路連接電視(「智慧型TV」)、網路連接媒體播放機(例如,藍光播放機)、機上盒、雲上(over-the-top; OTT)串流元件、操作盒等。在一些實施例中,計量資料可以從客戶端裝置120接收。客戶端裝置120可以顯示圖形使用者介面(graphical user interface; GUI),其中GUI使使用者能夠提供在製造系統處處理的基板的計量量測值作為輸入。
資料儲存器140可以係記憶體(例如,隨機存取記憶體)、驅動器(例如,硬驅動器、快閃驅動器)、資料庫系統、或能夠儲存資料的另一類型的部件或元件。資料儲存器140可以包括可以跨越多個計算裝置(例如,多個伺服器電腦)的多個儲存部件(例如,多個驅動器或多個資料庫)。資料儲存器140可以儲存與製造設備124處處理基板相關聯的資料。例如,資料儲存器140可以在基板製程之前、期間、或之後儲存在製造設備124處藉由感測器126收集的資料(被稱為處理資料)。處理資料可以指歷史處理資料(例如,針對製造系統處處理的先前基板產生的處理資料)及/或當前處理資料(例如,針對製造系統處處理的當前基板產生的處理資料)。資料儲存器亦可以儲存與製造設備124處處理的基板的一部分相關聯的光譜資料或非光譜資料。光譜資料可以包括歷史光譜資料及/或當前光譜資料。
在一些實施例中,資料儲存器140亦可以儲存與在基板表面上沉積的膜相關聯的濃度輪廓資料。如先前描述,濃度輪廓指相對於沉積膜的最終厚度的沉積膜的特定濃度梯度(亦即,在沉積膜的各個層之間的特定材料的濃度的改變)。在一些實施例中,濃度輪廓資料可以包括在基板表面上沉積的厚度或膜、在膜中的特定材料的初始濃度(例如,在膜的近端層中的特定材料的濃度)、及在膜中的特定材料的最終濃度(例如,在膜的遠端層中的特定材料的濃度)。在一些實施例中,濃度輪廓資料亦可以包括在近端層與遠端層之間的膜層內的特定材料的濃度的改變速率的指示。例如,濃度輪廓資料可以包括在沉積膜的近端層與遠端層之間的層中的特定材料的濃度的線性改變速率(亦即,恆定改變速率)或非線性改變速率(亦即,非恆定改變速率)的指示。在一些實施例中,濃度輪廓資料可以藉由製造系統(例如,經由客戶端裝置120)的使用者(例如,操作人員)提供。在其他或類似實施例中,濃度輪廓資料可以藉由製造系統(例如,第3圖的系統控制器328)的處理裝置基於在資料儲存器140處儲存的感測器資料及/或計量資料來決定。濃度輪廓資料可以指歷史濃度輪廓資料(例如,在先前基板上沉積的先前膜的濃度輪廓資料)或當前濃度輪廓資料(例如,在當前基板上沉積的當前膜的濃度輪廓資料)。
在一些實施例中,濃度輪廓資料亦可以包括與待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料。例如,作業系統的使用者(例如,操作人員)可以經由客戶端裝置120提供與目標濃度輪廓相關聯的資料。與目標濃度輪廓相關聯的資料可以包括至少下列:待在基板表面上沉積的膜的目標厚度、膜的特定材料的目標初始濃度(例如,在膜的近端層處的特定材料的濃度)、及膜的特定材料的目標最終濃度(例如,在膜的遠端層處的特定材料的濃度)。在一些實施例中,與目標濃度相關聯的資料亦可以包括在膜的近端層與遠端層之間的層內的特定材料的濃度梯度的目標改變速率(例如,線性、非線性等)的指示。
資料儲存器140亦可以儲存與製造系統處處理的一或多個基板相關聯的上下文資料。上下文資料可以包括配方名稱、配方步驟數目、預防維護指示符、操作人員等。上下文資料可以指歷史上下文資料(例如,與針對先前基板執行的先前製程相關聯的上下文資料)及/或當前處理資料(例如,與待針對先前基板執行的當前製程或未來製程相關聯的上下文資料)。在一些實施例中,上下文資料亦可以包括與特定製程相關聯的一或多個設置的指示。例如,沉積製程的上下文資料可以包括處理腔室的溫度設置、處理腔室的壓力設置、在基板上沉積的膜的材料的前驅物的流動速率設置等。
在一些實施例中,資料儲存器140可以經配置為儲存製造系統的使用者不可存取的資料。例如,針對在製造系統處處理的基板獲得的處理資料、光譜資料、上下文資料等為製造系統的使用者(例如,操作人員)不可存取。在一些實施例中,製造系統的使用者無法存取在資料儲存器140處儲存的所有資料。在其他或類似實施例中,使用者無法存取在資料儲存器140處儲存的資料的一部分,而使用者可存取在資料儲存器140處儲存的資料的另一部分。在一些實施例中,在資料儲存器140處儲存的資料的一或多個部分可以使用使用者未知的加密機制來加密(例如,資料使用私有加密密鑰加密)。在其他或類似實施例中,資料儲存器140可以包括多個資料儲存器,其中使用者無法存取的資料在一或多個第一資料儲存器中儲存並且使用者可存取的資料在一或多個第二資料儲存器中儲存。
在一些實施例中,預測系統110包括伺服器機器170及伺服器機器180。伺服器機器170包括訓練集合產生器172,該訓練集合產生器能夠產生訓練資料集合(例如,資料輸入集合及目標輸出集合)以訓練、驗證、及/或測試機器學習模型190。在下文關於第2圖詳細描述資料集合產生器172的一些操作。在一些實施例中,資料集合產生器172可以將訓練資料劃分為訓練集合、驗證集合、或測試集合。在一些實施例中,預測系統110產生訓練資料的多個集合。
伺服器機器180可以包括訓練引擎182、驗證引擎184、選擇引擎185、及/或測試引擎186。引擎可以指硬體(例如,電路系統、專用邏輯、可程式設計邏輯、微代碼、處理裝置等)、軟體(諸如在處理裝置、通用電腦系統、或專用機器上運行的指令)、韌體、微代碼、或其組合。訓練引擎182可以能夠訓練機器學習模型190。機器學習模型190可以指由訓練引擎182使用包括訓練輸入及對應目標輸出(相應訓練輸入的正確答案)的訓練集合資料創建的模型偽影。訓練引擎182可以發現訓練資料中的圖案,該等圖案將訓練輸入映射到目標輸出(預測的答案),並且提供擷取此等圖案的機器學習模型190。機器學習模型190可以使用下列的一或多個:支援向量機器(support vector machine; SVM)、徑向基函數(Radial Basis Function; RBF)、群集、受監督的機器學習、半監督的機器學習、無監督的機器學習、k最鄰近演算法(k-NN)、線性迴歸、脊迴歸、隨機森林、神經網路(例如,人工神經網路)等。
驗證引擎184可以能夠使用來自訓練集合產生器172的驗證集合的特徵的對應集合來驗證經訓練的機器學習模型190。驗證引擎184可以基於驗證集合的特徵的對應集合來決定經訓練的機器學習模型190的每一者的準確性。驗證引擎184可以丟棄具有不滿足閾值準確性的準確性的經訓練的機器學習模型190。在一些實施例中,選擇引擎185可以能夠選擇具有滿足閾值準確性的準確性的經訓練的機器學習模型190。在一些實施例中,選擇引擎185可以能夠選擇具有經訓練的機器學習模型190的最高準確性的經訓練的機器學習模型190。
測試引擎186可以能夠使用來自資料集合產生器172的測試集合的特徵的對應集合來測試經訓練的機器學習模型190。例如,使用訓練集合的特徵的第一集合訓練的第一經訓練的機器學習模型190可以使用測試集合的特徵的第一集合測試。測試引擎186可以基於測試集合來決定具有所有經訓練的機器學習模型的最高準確性的經訓練的機器學習模型190。
預測伺服器112包括預測部件114,該預測部件能夠提供與在基板的沉積製程期間待在基板表面上沉積的膜的目標濃度輪廓相關聯的資料並且對輸入運行經訓練的機器學習模型190以獲得一或多個輸出。如下文關於第7圖詳細描述,在一些實施例中,預測部件114亦能夠由經訓練的機器學習模型190的輸出決定製程配方資料並且使用該製程配方資料來預測對應於待在基板表面上沉積的膜的目標濃度輪廓的沉積設置。製程配方資料可以包括或指示沉積製程設置的一或多個集合,並且針對沉積製程設置的每個集合,包括或指示沉積設置的相應集合對應於目標濃度輪廓的信賴水準。沉積製程設置的每個集合可以與待在基板表面上沉積的膜的特定濃度輪廓相關聯。預測系統110可以回應於決定在與沉積製程設置的相應集合相關聯的特定輪廓與目標濃度輪廓之間的差低於閾值差值,決定沉積製程設置的相應集合對應於目標濃度輪廓。
客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料儲存器140、伺服器機器170、及伺服器機器180可以經由網路130彼此耦合。在一些實施例中,網路130係公眾網路,該公眾網路為客戶端裝置120提供對預測伺服器112、資料儲存器140、及其他公眾可用的計算裝置的存取。在一些實施例中,網路130係私有網路,該私有網路為客戶端裝置120提供對製造設備124、計量設備128、資料儲存器140、及其他私有可用的計算裝置的存取。網路130可以包括一或多個廣域網路(wide area network; WAN)、區域網路(local area network; LAN)、有線網路(例如,乙太網路)、無線網路(例如,802.11網路或Wi-Fi網路)、蜂巢網路(例如,長期演進(LTE)網路)、路由器、集線器、交換機、伺服器電腦、雲端計算網路、及/或其組合。
應當注意,在一些其他實施方式中,伺服器機器170及180、以及預測伺服器112的功能可以藉由較少數量的機器提供。例如,在一些實施例中,伺服器機器170及180可以整合到單個機器中,而在一些其他或類似實施例中,伺服器機器170及180,以及預測伺服器112可以整合到單個機器中。
大體上,由伺服器機器170、伺服器機器180、及/或預測伺服器112執行的在一個實施方式中描述的功能亦可以在客戶端裝置120上執行。此外,歸因於特定部件的功能可以藉由一起操作的不同或多個部件執行。
在實施例中,「使用者」可以表示為單個個體。然而,本揭示的其他實施例涵蓋的「使用者」係藉由複數個使用者及/或自動化源控制的實體。例如,聯合作為一組管理員的個別使用者集合可以被認為係「使用者」。
第2圖係根據本揭示的態樣的用於訓練機器學習模型的方法200的流程圖。方法200藉由處理邏輯執行,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(諸如在通用電腦系統或專用機器上運行)、韌體、或其一些組合。在一個實施方式中,方法200可以由計算系統(諸如第1圖的電腦系統架構100)執行。在其他或類似實施方式中,方法200的一或多個操作可以藉由未在圖式中描繪的一或多個其他機器執行。在一些態樣中,方法200的一或多個操作可以藉由伺服器機器170的訓練集合產生器172執行。
為了簡單解釋,將方法描繪且描述為一系列動作。然而,根據本揭示的動作可以各種順序及/或同時發生,並且在本文中不呈現及描述其他動作。此外,實施根據所揭示的標的的方法可以不執行所有示出的動作。此外,熟習此項技術者將理解及瞭解,方法可以替代地經由狀態圖或事件表示為一系列相互關聯的狀態。此外,應當瞭解,本說明書中揭示的方法能夠儲存在製品上,以便於將此種方法傳輸及傳遞到計算裝置。如本文使用,術語製品意欲涵蓋可從任何電腦可讀取裝置或儲存媒體存取的電腦程式。
於方塊210,處理邏輯將訓練集合T初始化為空集(例如,{})。於方塊212,處理邏輯獲得與經執行以在先前基板的表面上沉積膜的先前沉積製程相關聯的資料。在一些實施例中,與沉積製程相關聯的資料係與先前針對製造系統處的先前基板執行的先前沉積製程的一或多個先前沉積設置相關聯的歷史資料。例如,歷史資料可以係與在資料儲存器140處儲存的先前沉積製程相關聯的歷史上下文資料。在一些實施例中,一或多個先前沉積設置可以包括下列的至少一者:先前沉積製程的先前溫度設置、先前沉積設置的先前壓力設置或在先前基板的表面上沉積的先前膜的一或多種材料的前驅物的先前流動速率設置。流動速率設置可以指在先前沉積製程的初始時刻處的前驅物的流動速率設置(被稱為初始流動速率設置)、在先前沉積製程的最終時刻處的前驅物的流動速率設置(被稱為最終流動速率設置)、或在沉積製程期間的前驅物的流動速率的斜率。在一個實例中,先前膜的前驅物可以包括含硼前驅物或含矽前驅物。
於方塊214,處理邏輯獲得與在先前基板的表面上沉積的膜相關聯的濃度輪廓資料。如先前論述,濃度輪廓指相對於沉積膜的最終厚度的沉積膜的特定濃度梯度。濃度輪廓資料可以包括在先前基板的表面上沉積的先前膜的歷史濃度輪廓資料。在一些實施例中,先前膜的歷史濃度輪廓可以對應於與先前膜相關聯的歷史計量量測值(例如,先前膜的厚度)及與先前膜相關聯的歷史濃度。在一些實施例中,先前膜的歷史濃度輪廓可以額外或替代地對應於與先前膜相關聯的歷史濃度梯度。處理邏輯可以根據先前描述的實施例獲得來自資料儲存器140的與沉積膜相關聯的濃度輪廓資料。
於方塊216,處理邏輯基於與針對先前基板執行的先前沉積製程相關聯的所獲得資料(例如,於方塊212獲得的資料)來產生第一訓練資料。於方塊218,處理邏輯基於與在先前基板的表面上沉積的膜相關聯的濃度輪廓資料(例如,於方塊214獲得的資料)來產生第二訓練資料。於方塊220,處理邏輯產生在第一訓練資料與第二訓練資料之間的映射。映射指包括或基於針對先前基板執行的先前沉積製程的資料的第一訓練資料、及包括或基於與在先前基板的表面上沉積的膜相關聯的濃度輪廓資料的第二訓練資料,其中第一訓練資料與第二訓練資料相關聯(或映射到第二訓練資料)。於方塊224,處理邏輯將映射添加到訓練集合T。
於方塊226,處理邏輯決定訓練集合T是否包括充分量的訓練資料以訓練機器學習模型。應當注意,在一些實施方式中,可以簡單地基於訓練集合中的映射數目來決定訓練集合T的充分性,而在一些其他實施方式中,除了或替代輸入/輸出映射的數目,可以基於一或多個其他準則(例如,訓練實例的多樣性的量度等)來決定訓練集合T的充分性。回應於決定訓練集合不包括充分量的訓練資料以訓練機器學習模型,方法200返回到方塊212。回應於決定訓練集合T包括充分量的訓練資料以訓練機器學習模型,方法200繼續到方塊228。
於方塊228,處理邏輯提供訓練集合T以訓練機器學習模型。在一個實施方式中,將訓練集合T提供到伺服器機器180的訓練引擎182以執行訓練。在神經網路的情況下,例如,將給定輸入/輸出映射的輸入值輸入到神經網路,並且將輸入/輸出映射的輸出值儲存在神經網路的輸出節點中。神經網路中的連接權重隨後根據學習演算法(例如,後向傳播等)調整,並且程序針對訓練集合T中的其他輸入/輸出映射重複。在方塊228之後,機器學習模型190可以用於為待針對當前基板執行的沉積製程預測沉積製程的沉積設置的哪個集合對應於待在當前基板的表面上沉積的膜的目標濃度輪廓。
在一些實施例中,製造系統可以包括一個以上的處理腔室。例如,第3圖的示例製造系統300示出了多個處理腔室314、316、318。應當注意,在一些實施例中,經獲得以訓練機器學習模型的資料及經收集以作為輸入提供到經訓練的機器學習模型的資料可以與製造系統的相同處理腔室相關聯。在其他或類似實施例中,經獲得以訓練機器學習模型的資料及經收集以作為輸入提供到經訓練的機器學習模型的資料可以與製造系統的不同處理腔室相關聯。在其他或類似實施例中,經獲得以訓練機器學習模型的資料可以與第一製造系統的處理腔室相關聯並且經收集以作為輸入提供到經訓練的機器學習模型的資料可以與第二製造系統的處理腔室相關聯。
第3圖係根據本揭示的態樣的示例製造系統300的頂部示意圖。製造系統300可以在基板302上執行一或多個製程。基板302可以係任何適宜的剛性、固定尺寸的平面物品,諸如,例如,含矽光碟或晶圓、圖案化晶圓、玻璃板、或類似者,該平面物品適用於製造電子元件或其上的電路部件。
製造系統300可以包括處理工具304及耦合到處理工具304的工廠介面306。處理工具304可以包括其中具有傳遞腔室310的外殼308。傳遞腔室310可以包括在周圍設置且與其耦合的一或多個處理腔室(process chamber)(亦稱為處理腔室(processing chamber))314、316、318。處理腔室314、316、318可以穿過相應埠108(諸如狹縫閥或類似者)耦合到傳遞腔室310。傳遞腔室310亦可以包括經配置為在處理腔室314、316、318之間傳遞基板302的傳遞腔室機器人312、裝載閘320等。傳遞腔室機器人312可以包括一或多個臂,其中每個臂在每個臂的端部處包括一或多個端效器。端效器可以經配置為搬運特定物體,諸如晶圓。
處理腔室314、316、318可以適用於在基板302上執行任何數量的製程。相同或不同的基板製程可以在每個處理腔室314、316、318中發生。基板製程可以包括原子層沉積(atomic layer deposition; ALD)、物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除、或類似者。其他製程可以在其中的基板上執行。處理腔室314、316、318可以各自包括一或多個感測器,該等感測器經配置為在基板製程之前、之後、或期間擷取基板302的資料。例如,一或多個感測器可以經配置為在基板製程期間擷取基板302的一部分的光譜資料及/或非光譜資料。在其他或類似實施例中,一或多個感測器可以經配置為在基板製程之前、之後、或期間擷取與處理腔室314、316、318內的環境相關聯的資料。例如,一或多個感測器可以經配置為在基板製程期間擷取與處理腔室314、316、318內的環境的溫度、壓力、氣體濃度等相關聯的資料。
裝載閘320亦可以耦合到外殼308及傳遞腔室310。裝載閘320可以經配置為與一側上的傳遞腔室310及工廠介面306對接並且耦合到該傳遞腔室及該工廠介面。裝載閘320可以具有環境受控的氛圍,在一些實施例中該環境受控的氛圍可以從真空環境(其中可以將基板傳遞到傳遞腔室310且從傳遞腔室310傳遞基板)改變為處於或接近大氣壓惰性氣體環境(其中可以將基板傳遞到工廠介面306且從工廠介面306傳遞基板)。工廠介面306可以係任何適宜的殼體,諸如,例如,設備前端模組(Equipment Front End Module; EFEM)。工廠介面306可以經配置為從位於工廠介面306的各個裝載埠324處的基板載具322(例如,前開式晶圓傳送盒(Front Opening Unified Pod; FOUP))接收基板302。工廠介面機器人326(以虛線圖示)可以經配置為在載具(亦稱為容器)322與裝載閘320之間傳遞基板302。載具322可以係基板儲存載具或替換部分儲存載具。
製造系統300亦可以連接到客戶端裝置(未圖示),該客戶端裝置經配置為將關於製造系統300的資訊提供到使用者(例如,操作人員)。在一些實施例中,客戶端裝置可以經由一或多個圖形使用者介面(graphical user interface; GUI)將資訊提供到製造系統300的使用者。例如,客戶端裝置可以經由GUI提供關於在處理腔室314、316、318處執行的沉積製程期間待在基板302的表面上沉積的膜的目標濃度輪廓的資訊。根據本文描述的實施例,鑒於經預測為對應於目標輪廓的沉積設置的相應集合,客戶端裝置亦可以提供關於對製程配方的修改的資訊。
製造系統300亦可以包括系統控制器328。系統控制器328可以係及/或包括計算裝置,諸如個人電腦、伺服器電腦、可程式設計邏輯控制器(programmable logic controller; PLC)、微控制器等等。系統控制器328可以包括一或多個處理裝置,該等處理裝置可以係通用處理裝置,諸如微處理器、中央處理單元、或類似者。更特定地,處理裝置可以係複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、極長指令詞(very long instruction word; VLIW)微處理器、或實施其他指令集的處理器或實施指令集組合的處理器。處理裝置亦可以係一或多個專用處理裝置,諸如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式設計閘陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器、或類似者。系統控制器328可以包括資料儲存裝置(例如,一或多個磁碟驅動器及/或固態驅動器)、主記憶體、靜態記憶體、網路介面、及/或其他部件。系統控制器328可以執行指令以執行本文描述的方法論及/或實施例中的任何一或多個。在一些實施例中,系統控制器328可以執行指令以根據製程配方在製造系統300處執行一或多個操作。指令可以儲存在電腦可讀取儲存媒體上,該電腦可讀取儲存媒體可以包括主記憶體、靜態記憶體、輔助儲存器及/或處理裝置(在執行指令期間)。
系統控制器328可以從在製造系統300的各個部分(例如,處理腔室314、316、318,傳遞腔室310,裝載閘320等)上或內包括的感測器接收資料。在一些實施例中,藉由系統控制器328接收的資料可以包括基板320的一部分的光譜資料及/或非光譜資料。在其他或類似實施例中,如先前描述,藉由系統控制器328接收的資料可以包括與處理腔室314、316、318處的處理基板302相關聯的資料。出於本說明的目的,將系統控制器328描述為從處理腔室314、316、318內包括的感測器接收資料。然而,根據本文描述的實施例,系統控制器328可以從製造系統300的任何部分接收資料,並且可以使用從該部分接收的資料。在說明性實例中,系統控制器328可以在處理腔室314、316、318處的基板製程之前、之後、或期間從處理腔室314、316、318的一或多個感測器接收資料。從製造系統300的各個部分的感測器接收的資料可以儲存在資料儲存器350中。資料儲存器350可以作為部件包括在系統控制器328內或可以係與系統控制器328分離的部件。在一些實施例中,資料儲存器350可以係關於第1圖描述的資料儲存器140。
第4圖係根據本揭示的實施例的處理腔室400的橫截面示意性側視圖。在一些實施例中,處理腔室400可以對應於關於第3圖描述的處理腔室314、316、318。處理腔室400可以用於其中提供腐蝕性電漿環境的製程。例如,處理腔室400可以係用於電漿蝕刻器或電漿蝕刻反應器等等的腔室。在另一實例中,如先前描述,處理腔室可以係用於沉積製程的腔室。在一個實施例中,處理腔室400包括封閉內部體積406的腔室主體402及噴淋頭430。噴淋頭430可以包括噴淋頭基底及噴淋頭氣體分配板。或者,噴淋頭430可以在一些實施例中藉由蓋及噴嘴替代,或在其他實施例中藉由多個餅形噴淋頭隔室及電漿產生單元替代。腔室主體402可以由鋁、不鏽鋼或其他適宜材料(諸如鈦(Ti))製造。腔室主體402大體包括側壁408及底部410。排氣口426可以在腔室主體402中界定,並且可以將內部體積406耦合到泵系統428。泵系統428可以包括用於抽空及調節處理腔室400的內部體積406的壓力的一或多個泵及節流閥。
噴淋頭430可以支撐在腔室主體402的側壁408上。噴淋頭420(或蓋)可以打開以允許進入處理腔室400的內部體積406,並且當關閉時可以為處理腔室400提供密封。氣體面板458可以耦合到處理腔室400以將處理及/或清洗氣體穿過噴淋頭430或蓋及噴嘴(例如,穿過噴淋頭或蓋及噴嘴的孔)提供到內部體積406。例如,氣體面板458可以提供在基板302的表面上沉積的膜451的材料的前驅物。在一些實施例中,前驅物可以包括基於矽的前驅物或基於硼的前驅物。噴淋頭430可以包括氣體分配板(gas distribution plate; GDP)並且在整個GDP中可以具有多個氣體遞送孔洞432(亦稱為通道)。基板支撐組件448在噴淋頭430下方的處理腔室400的內部體積406中設置。基板支撐組件448在處理期間(例如,在沉積製程期間)固持基板302。
在一些實施例中,處理腔室400可以裝備有濃度偵測部件460。濃度偵測部件460可以包括一或多個感測器(例如,聲學感測器、光學感測器等、橢圓量測感測器等),該等感測器經配置為偵測處理腔室314、316、318中的材料。例如,濃度偵測部件460的一或多個感測器可以經配置為偵測特徵(亦即,藉由電漿發射的光子的集合的波長),諸如在處理腔室314、316、318中的製程(例如,沉積製程)期間的材料的光學特徵、聲學特徵等等。濃度偵測部件460可以量測藉由在基板302的表面上沉積的膜451的一或多種材料發射的光子的各個波長的振幅。在其他或類似實施例中,濃度偵測部件460可以係經配置為偵測藉由在基板302的表面上沉積的膜451的單種材料發射的光子的具體波長的元件。應當注意,儘管濃度偵測部件460可以偵測並且量測藉由在基板302的表面上沉積的膜451的一或多種材料發射的光子的波長,濃度偵測部件460亦可以偵測並且量測藉由處理腔室400中的每種材料(例如,在處理腔室400的壁上沉積的塗層等)發射的光子的波長。
在一些實施例中,濃度偵測部件460可以從處理腔室400外部移位。在此種實施例中,濃度偵測部件460可以經配置為偵測與來自處理腔室400外部的處理腔室400中的材料相關聯的特徵(例如,光學特徵、聲學特徵等)。在一些實施例中,濃度偵測部件460可以係經配置為穿過嵌入腔室主體402的一部分內的透明部分462(例如,窗)偵測光學特徵的光學偵測部件(例如,光學發射光譜(optical emission spectroscopy; OES)裝置)。例如,光學偵測部件可以經配置為穿過嵌入側壁408、襯墊416、底部410、及/或噴淋頭430內的窗462偵測光學特徵。處理腔室400內的光子可以穿過窗462經由光子發射電纜464(諸如光纖光纜)發射到光學偵測部件。在其他或類似實施例中,濃度偵測部件460的一或多個部件可以從處理腔室400內部移位。在一些實施方式中,在處理腔室400內執行的製程可以在真空環境中執行。因此,經配置為在真空環境內操作的濃度偵測部件460的一或多個部件可以從處理腔室400內部移位。
在一些實施例中,濃度偵測部件460可以可操作地耦合到系統控制器,諸如第3圖的系統控制器328。濃度偵測部件460可以在處理腔室400處執行以在基板302的表面上沉積膜451的沉積製程期間將關於偵測特徵的資料發送到系統控制器。在一些實施例中,系統控制器可以基於關於在處理腔室400處執行的沉積製程期間從濃度偵測部件460接收的偵測特徵的資料產生膜451的濃度梯度資料。例如,在沉積製程期間,膜451的各個層在基板302的表面上形成。在沉積製程期間的一或多個時刻處,濃度偵測部件460可以發送關於在沉積膜451中的特定材料(例如,基於硼的材料、基於矽的材料等)的偵測特徵的資料。基於接收的特徵,系統控制器可以決定在沉積製程的特定時刻處的膜中的特定材料的濃度。系統控制器可以基於在沉積製程的每個時刻處的特定材料的每個決定的濃度產生膜451的濃度梯度。
在一些實施例中,處理腔室400可以包括經配置為在處理腔室400處執行的製程期間產生原位計量量測的計量設備(未圖示)。計量設備可以可操作地耦合到系統控制器(例如,系統控制器328,如先前描述)。在一些實施例中,計量設備可以經配置為在沉積製程的特定時刻期間產生膜451的計量量測值(例如,厚度)。系統控制器可以基於從計量設備接收的計量量測值產生膜451的濃度輪廓。例如,系統控制器可以藉由將在沉積製程的特定時刻處的膜中的特定材料的決定濃度與在沉積製程的相同或類似時刻期間產生的計量量測值進行關聯來產生濃度輪廓。在其他或類似實施例中,處理腔室400不包括計量設備。在此種實施例中,在處理腔室400處完成沉積製程之後,系統控制器可以接收膜451的一或多個計量量測值。系統控制器可以基於一或多個計量量測值來決定沉積速率並且可以基於沉積製程的所決定濃度梯度及所決定沉積速率來關聯產生膜451的濃度輪廓。
第5圖係根據本揭示的態樣的用於使用機器學習控制沉積膜的濃度輪廓的方法500的流程圖。方法500藉由處理邏輯執行,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(諸如在通用電腦系統或專用機器上運行)、韌體、或其一些組合。在一些實施例中,方法500可以使用第1圖的預測伺服器112及經訓練的機器學習模型190來執行。在其他或類似實施例中,第5圖的一或多個方塊可以藉由未在第1圖中描繪的一或多個其他機器執行。
於方塊510,處理邏輯視情況針對待在基板表面上沉積的膜接收膜的目標厚度的指示、膜的特定材料的目標初始濃度、及膜中的材料的目標最終濃度。在一些實施例中,處理邏輯亦可以接收在膜的近端層與遠端層之間的各個層內的特定材料的濃度的改變(例如,線性改變、非線性改變等)的目標速率的指示。在一些實施例中,處理邏輯可以接收目標厚度、目標初始濃度、目標最終濃度、及/或從耦合到製造系統的客戶端裝置的改變的目標速率的指示。於方塊512,處理邏輯視情況基於目標厚度、目標初始濃度、及目標最終濃度來決定膜的目標濃度輪廓。在一些實施例中,處理邏輯亦可以基於特定材料的濃度的改變的目標速率來決定目標濃度輪廓。關於第6A圖至第7C圖提供有關決定膜的目標濃度輪廓的另外細節。
於方塊514,處理邏輯將目標濃度輪廓作為輸入提供到經訓練的機器學習模型。在一些實施例中,處理邏輯亦將與沉積製程相關聯的一或多個製程約束作為輸入提供到經訓練的機器學習模型。於方塊516,處理邏輯獲得機器學習模型的輸出。
於方塊518,處理邏輯由一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的相應集合對應於待在基板上沉積的膜的目標濃度輪廓的信賴水準的指示。沉積製程設置的每個集合可以至少包括處理腔室的溫度設置、處理腔室的壓力設置、或待在基板表面上沉積的膜的一或多種材料的前驅物(例如,含矽前驅物、含硼前驅物等)的流動速率設置(例如,初始流動速率、最終流動速率、斜率等)。例如,在用於沉積一或多個含硼及矽層的製程期間,沉積製程設置的集合可以至少包括處理腔室的溫度設置及/或壓力設置、在沉積製程的每個時刻期間的一或多個含硼及矽層的基於硼的前驅物及/或基於矽的前驅物的流動速率設置。在一些實施例中,沉積製程設置的每個集合與待在基板表面上沉積的膜的膜的特定濃度輪廓相關聯。處理邏輯可以藉由決定在與沉積製程設置的相應集合相關聯的特定輪廓與待在基板表面上沉積的膜的目標濃度輪廓之間的差低於閾值差值,決定沉積製程設置的相應集合對應於目標濃度。
於方塊520,處理邏輯決定是否滿足沉積製程設置的相應集合的每一者的信賴準則水準。處理邏輯可以回應於決定信賴水準超過信賴值的閾值水準,決定沉積製程設置的相應集合的信賴水準滿足信賴準則水準。在一些實施例中,沉積製程設置的多個集合的信賴水準可以超過信賴值的閾值水準。在此種實施例中,處理邏輯決定滿足針對沉積製程設置的特定集合的信賴準則水準,該等沉積製程設置具有超過信賴值的閾值水準的最高信賴水準。回應於決定不滿足針對沉積設置的相應集合的任一者的信賴準則水準,方法500結束。回應於決定滿足針對沉積製程設置的一或多個集合的至少一者的信賴準則水準,處理邏輯識別滿足信賴準則水準的沉積製程設置的相應集合。
於方塊522,處理邏輯根據沉積製程設置的相應集合執行沉積製程的一或多個操作。在一些實施例中,用於基板的沉積製程可以與沉積製程設置的初始集合相關聯。處理邏輯可以將初始製程設置的一或多個修改為對應於沉積製程設置的相應集合的一或多個並且根據沉積製程設置的修改集合執行沉積製程。在一些實施例中,處理邏輯可以向連接到製造系統的客戶端裝置(例如,客戶端裝置120)發送用於將一或多個初始沉積設置修改為對應於沉積製程設置的相應集合中的一或多個沉積設置的請求。如先前描述,回應於從客戶端裝置接收指令以根據請求修改沉積設置的初始集合,處理邏輯可以修改沉積設置的初始集合。
第6A圖至第6C圖示出了在基板表面上沉積具有特定濃度輪廓的示例膜。第6A圖示出了在基板302的表面上沉積的膜620。膜620包括在基板上形成的一系列層(例如,層620A、620B、620C、620D)。層620A係直接在基板302的表面上形成的材料的第一層(被稱為近端層)。層620D係在沉積製程結束時針對膜形成的最終層(被稱為遠端層)。層620B及620C係在近端層620A與遠端層620D之間形成的材料層。第6B圖係基於膜620的厚度指示膜620中的特定材料(例如,硼、矽)的濃度的圖表。膜620的每個層620A-D對應於膜620的特定厚度。例如,近端層620A對應於膜620的厚度1,層620B對應於厚度2,層620C對應於厚度3,並且遠端層620D對應於厚度4。如第6A圖及第6B圖中示出,特定材料的濃度隨著膜620的厚度線性增加,從而指示在近端層620A中存在與遠端層620D中相比較低濃度的特定材料。
在一些實施例中,膜620的濃度輪廓可以係待在基板302的表面上沉積的膜的目標濃度輪廓。如先前描述,製造系統的使用者(例如,操作人員)可以經由客戶端裝置提供與膜620的目標濃度輪廓相關聯的資料。例如,使用者可以提供膜620的目標厚度(例如,厚度4)的指示、膜620中的特定材料的初始濃度(例如,在近端層620A中的特定材料的濃度)的指示、及膜620中的特定材料的最終濃度(例如,在遠端層620D中的特定材料的濃度)。製造系統的處理裝置可以將與目標濃度輪廓相關聯的資料作為輸入提供到經訓練的機器學習模型。如先前描述,處理裝置可以獲得經訓練的機器學習模型的一或多個輸出,並且可以從一或多個輸出識別具有滿足信賴準則的信賴可能性的沉積設置的集合。
第6C圖描繪了示出膜620的目標濃度輪廓的沉積設置的示例集合的圖表。在一些實施例中,如先前描述,第6C圖中示出的沉積設置集合可以係滿足信賴準則水準的沉積設置集合。用於沉積具有目標濃度輪廓的膜的沉積製程可以開始於時間T0並且結束於時間TN。如第6C圖中示出,膜620的特定材料的前驅物的流動速率係在沉積製程的長度(例如,T0到TN)期間以非線性速率增加,以便實現膜620的目標濃度輪廓。圖表示出了針對在沉積製程期間的特定實例,目標材料的前驅物(例如,基於硼的前驅物、基於矽的前驅物等)的流動速率設置應當在沉積製程期間應用以便沉積具有對應於目標濃度輪廓的濃度輪廓的膜。例如,圖表示出了為了沉積具有對應於目標濃度輪廓的濃度輪廓的膜,前驅物的初始流動速率可以係流動速率FR1。在時間T0與時間T1之間,前驅物的流動速率可以第一斜率從流動速率FR1增加到流動速率FR2。在時間T1與時間T2之間,前驅物的流動速率可以第二斜率從流動速率FR2增加到流動速率FR3,並且依此類推。處理裝置可以根據第6C圖中示出的設置對基板302的表面上的沉積膜620執行沉積製程以實現膜620的目標濃度輪廓。
第7圖描繪了根據本揭示的一或多個態樣操作的說明性電腦系統700的方塊圖。在替代實施例中,機器可以連接(例如,網路連接)到區域網路(Local Area Network; LAN)、網內網路、網外網路、或網際網路中的其他機器。機器可以在客戶端-伺服器網路環境中在伺服器或客戶端機器的容量中操作,或作為同級間(或分散式)網路環境中的同級機器操作。機器可以係個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢電話、網設備、伺服器、網路路由器、交換機或橋接器、或能夠執行指令集(連續或以其他方式)的任何機器,該指令集規定由彼機器採取的動作。另外,儘管僅示出單個機器,術語「機器」亦應當被認為包括機器(例如,電腦)的任何集合,該等機器獨立或聯合地執行指令集(或多個指令集)以執行本文論述的任何一或多個方法。在實施例中,計算設備700可以對應於第3圖的系統控制器328或電腦系統架構100的另一處理裝置。
示例計算系統700包括處理裝置702、主記憶體704(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)諸如同步DRAM(SDRAM)等)、靜態記憶體706(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)等)、及輔助記憶體(例如,資料儲存裝置728),其等經由匯流排708彼此通訊。
處理裝置702可以表示一或多個通用處理器,諸如微處理器、中央處理單元、或類似者。更特定地,處理裝置702可以係複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、極長指令詞(VLIW)微處理器、實施其他指令集的處理器、或實施指令集的組合的處理器。處理裝置702亦可以係一或多個專用處理裝置,諸如特殊應用積體電路(ASIC)、現場可程式設計閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器、或類似者。處理裝置802亦可以係或包括片上系統(system on a chip; SoC)、可程式設計邏輯控制器(PLC)、或其他類型的處理裝置。處理裝置702經配置為執行處理邏輯,用於執行本文論述的操作及步驟。
計算裝置700可以進一步包括與網路764通訊的網路介面元件722。計算裝置800亦可以包括視訊顯示單元710(例如,液晶顯示器(liquid crystal display; LCD)或陰極射線管(cathode ray tube; CRT))、字母數字輸入裝置712(例如,鍵盤)、游標控制裝置714(例如,滑鼠)、及信號產生裝置720(例如,揚聲器)。
資料儲存裝置728可以包括機器可讀取儲存媒體(或更具體地,非暫時性電腦可讀取儲存媒體)724,其上儲存體現本文描述的任何一或多個方法或功能的一或多個指令726的集合。其中非暫時性儲存媒體指不同於載波的儲存媒體。指令726亦可以在其執行期間藉由亦構成電腦可讀取儲存媒體的電腦裝置700、主記憶體704及處理裝置702完全或至少部分擱置在主記憶體704內及/或處理裝置702內。
電腦可讀取儲存媒體724亦可以用於儲存模型190及用於訓練模型190的資料。電腦可讀取儲存媒體724亦可以儲存含有方法的軟體程式庫,該等方法調用模型190。儘管電腦可讀取儲存媒體724在示例實施例中圖示為單個媒體,但術語「電腦可讀取儲存媒體」應當被認為包括儲存一或多個指令集的單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀取儲存媒體」亦應當被認為包括能夠儲存或編碼指令集用於由機器執行並且導致機器執行本揭示的任何一或多個方法論的的任何媒體。術語「電腦可讀取儲存媒體」由此應當被認為包括但不限於固態記憶體、以及光學及磁性媒體。
前述描述闡述了數個具體細節,諸如具體系統、部件、方法等等的實例,以便提供對本揭示的若干實施例的良好理解。然而,本領域技藝人士將顯而易見,本揭示的至少一些實施例可以在沒有此等具體細節的情況下實踐。在其他情況中,熟知的部件或方法未詳細描述並且以簡單的方塊圖格式提供,以便避免不必要地混淆本揭示。因此,闡述的具體細節僅係示例性的。特定實施方式可以從此等示例性細節改變並且仍預期在本揭示的範疇內。
在整個此說明書中提及「一個實施例」或「一實施例」意指結合實施例描述的特定特徵、結構、或特性包括在至少一個實施例中。因此,在整個此說明書的各個位置中出現片語「在一個實施例中」或「在一實施例中」不必皆指相同實施例。此外,術語「或」意欲意味著包括性「或」而非排除性「或」。當在本文中使用術語「約」或「近似」時,這意欲意味著所提供的標稱值在±10%內為精確的。
儘管以特定次序圖示及描述本文的方法的操作,每個方法的操作次序可以改變,使得某些操作可以逆向次序執行,使得某些操作可以至少部分與其他操作同時執行。在另一實施例中,不同操作的指令或子操作可以間歇及/或交替方式。
將理解,以上描述意欲為說明性而非限制性的。在讀取及理解以上描述之後,眾多其他實施例將對本領域技藝人士顯而易見。由此,本揭示的範疇應當參考隨附申請專利範圍連同此種申請專利範圍所賦予的等效物的全部範疇來決定。
100:電腦系統架構
110:預測系統
112:預測伺服器
114:預測部件
120:客戶端裝置
124:製造設備
126:感測器
128:計量設備
130:網路
140:資料儲存器
170:伺服器機器
172:資料集合產生器
180:伺服器機器
182:訓練引擎
184:驗證引擎
186:測試引擎
190:機器學習模型
200:方法
210:方塊
212:方塊
214:方塊
216:方塊
218:方塊
220:方塊
226:方塊
228:方塊
300:製造系統
302:基板
304:處理工具
306:工廠介面
308:外殼
312:傳遞腔室機器人
314:處理腔室
316:處理腔室
318:處理腔室
320:裝載閘
322:基板載具
324:裝載埠
326:工廠介面機器人
400:處理腔室
402:腔室主體
406:內部體積
408:側壁
410:底部
426:排氣口
428:泵系統
430:噴淋頭
432:氣體遞送孔洞
448:基板支撐組件
451:膜
458:氣體面板
460:濃度偵測部件
462:透明部分
464:光子發射電纜
500:方法
510:方塊
512:方塊
514:方塊
516:方塊
518:方塊
520:方塊
522:方塊
620:膜
620A:層
620B:層
620C:層
620D:層
700:電腦系統
702:處理裝置
704:主記憶體
706:靜態記憶體
708:匯流排
710:視訊顯示單元
712:字母數字輸入裝置
714:游標控制裝置
720:信號產生裝置
722:網路介面元件
724:機器可讀取儲存媒體
726:指令
728:資料儲存裝置
764:網路
本揭示在附圖的圖式中藉由實例而非藉由限制示出,其中相似元件符號指示類似元件。應當注意,在本揭示中對「一(an)」或「一個(one)」實施例的不同參考不一定係相同實施例,並且此種參考意味著至少一個。
第1圖描繪了根據本揭示的態樣的說明性電腦系統架構。
第2圖係根據本揭示的態樣的用於訓練機器學習模型的方法的流程圖。
第3圖係根據本揭示的態樣的示例製造系統的頂部示意圖。
第4圖係根據本揭示的態樣的示例製造系統的示例處理腔室的橫截面示意性側視圖。
第5圖係根據本揭示的態樣的用於使用機器學習控制沉積膜的濃度輪廓的方法的流程圖。
第6A圖至第6C圖示出了根據本揭示的態樣的在基板表面上沉積具有目標濃度輪廓的示例膜。
第7圖描繪了根據本揭示的一或多個態樣操作的說明性電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
500:方法
510:方塊
512:方塊
514:方塊
516:方塊
518:方塊
520:方塊
522:方塊
Claims (20)
- 一種方法,包含以下步驟: 將與在用於一製造系統的一處理腔室處的一基板的一沉積製程期間待在該基板的一表面上沉積的一膜的一目標濃度輪廓相關聯的資料作為輸入提供到一經訓練的機器學習模型; 獲得該經訓練的機器學習模型的一或多個輸出; 由該一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的一相應集合對應於待在該基板上沉積的該膜的該目標濃度輪廓的一信賴水準的一指示;以及 回應於識別具有滿足一信賴準則水準的一信賴水準的沉積製程設置的該相應集合,根據沉積製程設置的該相應集合執行該沉積製程的一或多個操作。
- 如請求項1所述的方法,進一步包含以下步驟: 接收待在該基板的該表面上沉積的該膜的一目標厚度的一指示、該膜的一特定材料的一目標初始濃度的一指示、及該膜的該特定材料的一目標最終濃度的一指示;以及 基於該目標厚度、該目標初始濃度、及該目標最終濃度來決定該膜的該目標濃度輪廓。
- 如請求項1所述的方法,進一步包含以下步驟: 將與該沉積製程相關聯的一或多個製程約束的一集合作為一額外輸入提供到該經訓練的機器學習模型。
- 如請求項1所述的方法,其中沉積製程設置的每個集合與在該基板的該表面上沉積的該膜的一濃度輪廓相關聯,並且其中回應於在與沉積製程設置的該相應集合相關聯的該濃度輪廓與該目標濃度輪廓之間的一差低於一閾值差值的一決定,沉積製程設置的該相應集合對應於該目標濃度。
- 如請求項1所述的方法,其中該基板的該沉積製程與沉積製程設置的一初始集合相關聯,並且其中根據沉積製程設置的該相應集合執行該沉積製程的一或多個操作之步驟包含以下步驟:將沉積製程設置的該初始集合的一或多個修改為對應於沉積製程設置的該相應集合的一或多個。
- 如請求項5所述的方法,進一步包含以下步驟: 向連接到該製造系統的一客戶端裝置發送用於將沉積製程設置的該初始集合的該一或多個修改為對應於沉積製程設置的該相應集合的該一或多個的一請求;以及 從該客戶端裝置接收一指令,用於將沉積製程設置的該初始集合的該一或多個修改為對應於沉積設置的該相應集合的該一或多個,其中根據該接收的指令更新沉積製程設置的該初始集合的該一或多個。
- 如請求項1所述的方法,其中沉積製程設置的該相應集合包含下列的至少一個:該處理腔室的一溫度設置、該處理腔室的一壓力設置、或待在該基板的該表面上沉積的該膜的一或多種材料的一前驅物的一流動速率設置。
- 如請求項7所述的方法,其中該一或多種材料的該前驅物包含一含矽前驅物或一含硼前驅物的至少一者。
- 如請求項1所述的方法,其中回應於該信賴水準超過信賴值的一閾值水準的一決定,沉積製程設置的該相應集合的該信賴水準滿足該信賴準則水準。
- 一種系統,包含: 一記憶體;以及 一處理裝置,耦合到該記憶體,其中該處理裝置用於執行訓練一機器學習模型以預測待針對一製造系統處的一當前基板執行的一沉積製程的一或多個沉積設置的操作,該等操作包含以下步驟: 產生該機器學習模型的第一訓練資料,其中該第一訓練資料包含與先前針對該製造系統處的一先前基板執行的一先前沉積製程的一或多個先前沉積設置相關聯的歷史資料,其中該先前沉積製程包含在一先前基板的一表面上沉積一先前膜; 產生該機器訓練模型的第二訓練資料,其中該第二訓練資料與在該先前基板的該表面上沉積的該先前膜的一歷史濃度輪廓相關聯;以及 提供該第一訓練資料及該第二訓練資料以訓練該機器學習模型,用於為針對該當前基板執行的該沉積製程預測該沉積製程的沉積設置的哪個集合對應於待在一當前基板的一表面上沉積的一膜的一目標濃度輪廓。
- 如請求項10所述的系統,其中該先前沉積製程的該一或多個先前沉積設置包含下列的至少一個:該先前沉積製程的一先前溫度設置、該先前沉積製程的一先前壓力設置、或在該先前基板的該表面上沉積的該先前膜的一或多種材料的一前驅物的一先前流動速率設置。
- 如請求項11所述的系統,其中該一或多種材料的該前驅物包含一含矽前驅物或一含硼前驅物的至少一者。
- 如請求項10所述的系統,其中該先前膜的該歷史濃度輪廓對應於與該先前膜相關聯的一歷史計量量測值及與該先前膜相關聯的一歷史濃度。
- 如請求項10所述的系統,其中該當前基板的該沉積製程及該先前基板的該先前沉積製程各自在該製造系統的一特定處理腔室處執行。
- 如請求項10所述的系統,其中該當前基板的該沉積製程在該製造系統的一第一處理腔室處執行並且該當前基板的該先前沉積製程在該製造系統的一第二處理腔室處執行。
- 一種包含指令的非暫時性電腦可讀取儲存媒體,當藉由一處理裝置執行時,該等指令導致該處理裝置用於: 將與在用於一製造系統的一處理腔室處的一基板的一沉積製程期間待在該基板的一表面上沉積的一膜的一目標濃度輪廓相關聯的資料作為輸入提供到一經訓練的機器學習模型; 獲得該經訓練的機器學習模型的一或多個輸出; 由該一或多個輸出決定識別沉積製程設置的一或多個集合的製程配方資料,並且針對沉積製程設置的每個集合,決定沉積製程設置的一相應集合對應於待在該基板上沉積的該膜的該目標濃度輪廓的一信賴水準的一指示;以及 回應於識別具有滿足一信賴準則水準的一信賴水準的沉積製程設置的該相應集合,根據沉積製程設置的該相應集合執行該沉積製程的一或多個操作。
- 如請求項16所述的非暫時性電腦可讀取儲存媒體,其中該處理裝置進一步用於: 接收待在該基板的該表面上沉積的該膜的一目標厚度的一指示、該膜的一特定材料的一目標初始濃度的一指示、及該膜的該特定材料的一目標最終濃度的一指示;以及 基於該目標厚度、該目標初始濃度、及該目標最終濃度來決定該膜的該目標濃度輪廓。
- 如請求項16所述的非暫時性電腦可讀取儲存媒體,其中該處理裝置進一步用於: 將與該沉積製程相關聯的一或多個製程約束的一集合作為一額外輸入提供到該經訓練的機器學習模型。
- 如請求項16所述的非暫時性電腦可讀取儲存媒體,其中沉積製程設置的每個集合與在該基板的該表面上沉積的該膜的一濃度輪廓相關聯,並且其中回應於在與沉積製程設置的該相應集合相關聯的該濃度輪廓與該目標濃度輪廓之間的一差低於一閾值差值的一決定,沉積製程設置的該相應集合對應於該目標濃度。
- 如請求項16所述的非暫時性電腦可讀取儲存媒體,其中該基板的該沉積製程與沉積製程設置的一初始集合相關聯,並且其中為了根據沉積製程設置的該相應集合執行該沉積製程的一或多個操作,該處理裝置用於將沉積製程設置的該初始集合的一或多個修改為對應於沉積製程設置的該相應集合的一或多個。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/191,026 | 2021-03-03 | ||
US17/191,026 US11532525B2 (en) | 2021-03-03 | 2021-03-03 | Controlling concentration profiles for deposited films using machine learning |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202240024A true TW202240024A (zh) | 2022-10-16 |
Family
ID=83116357
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111107686A TW202240024A (zh) | 2021-03-03 | 2022-03-03 | 使用機器學習控制沉積膜的濃度輪廓 |
Country Status (7)
Country | Link |
---|---|
US (1) | US11532525B2 (zh) |
EP (1) | EP4301897A1 (zh) |
JP (1) | JP2024510410A (zh) |
KR (1) | KR20230151016A (zh) |
CN (1) | CN116917542A (zh) |
TW (1) | TW202240024A (zh) |
WO (1) | WO2022187001A1 (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2024072670A1 (en) * | 2022-09-26 | 2024-04-04 | Lam Research Corporation | Automated control of process chamber components |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5862057A (en) * | 1996-09-06 | 1999-01-19 | Applied Materials, Inc. | Method and apparatus for tuning a process recipe to target dopant concentrations in a doped layer |
US6159758A (en) * | 1999-07-09 | 2000-12-12 | Lucent Technologies Inc. | Method of improving laser yield for target wavelengths in epitaxial InGaAsP lasers based upon the thermal conductivity of the InP substrate |
US7328418B2 (en) * | 2005-02-01 | 2008-02-05 | Tokyo Electron Limited | Iso/nested control for soft mask processing |
DE102007030052B4 (de) * | 2007-06-29 | 2015-10-01 | Advanced Micro Devices, Inc. | Automatische Abscheideprofilzielsteuerung |
CN107004060B (zh) * | 2014-11-25 | 2022-02-18 | Pdf决策公司 | 用于半导体制造工艺的经改进工艺控制技术 |
US9972478B2 (en) | 2016-09-16 | 2018-05-15 | Lam Research Corporation | Method and process of implementing machine learning in complex multivariate wafer processing equipment |
JP6745887B2 (ja) * | 2016-09-23 | 2020-08-26 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10541122B2 (en) | 2017-06-13 | 2020-01-21 | Mks Instruments, Inc. | Robust ion source |
JP7141892B2 (ja) | 2018-09-03 | 2022-09-26 | 株式会社プレテック | エッチング装置及びエッチング方法 |
US10705514B2 (en) | 2018-10-09 | 2020-07-07 | Applied Materials, Inc. | Adaptive chamber matching in advanced semiconductor process control |
EP3654103A1 (en) * | 2018-11-14 | 2020-05-20 | ASML Netherlands B.V. | Method for obtaining training data for training a model of a semicondcutor manufacturing process |
WO2020167944A1 (en) * | 2019-02-15 | 2020-08-20 | Lam Research Corporation | Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes |
JP7482910B2 (ja) | 2019-07-03 | 2024-05-14 | エーエスエムエル ネザーランズ ビー.ブイ. | 半導体製造プロセスにおいて堆積モデルを適用する方法 |
US11989495B2 (en) * | 2020-12-31 | 2024-05-21 | Applied Materials, Inc. | Systems and methods for predicting film thickness using virtual metrology |
-
2021
- 2021-03-03 US US17/191,026 patent/US11532525B2/en active Active
-
2022
- 2022-02-18 CN CN202280018544.3A patent/CN116917542A/zh active Pending
- 2022-02-18 JP JP2023553255A patent/JP2024510410A/ja active Pending
- 2022-02-18 WO PCT/US2022/017055 patent/WO2022187001A1/en active Application Filing
- 2022-02-18 KR KR1020237033316A patent/KR20230151016A/ko unknown
- 2022-02-18 EP EP22763764.2A patent/EP4301897A1/en active Pending
- 2022-03-03 TW TW111107686A patent/TW202240024A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
KR20230151016A (ko) | 2023-10-31 |
US20220285232A1 (en) | 2022-09-08 |
WO2022187001A1 (en) | 2022-09-09 |
JP2024510410A (ja) | 2024-03-07 |
EP4301897A1 (en) | 2024-01-10 |
CN116917542A (zh) | 2023-10-20 |
US11532525B2 (en) | 2022-12-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11989495B2 (en) | Systems and methods for predicting film thickness using virtual metrology | |
US20220066411A1 (en) | Detecting and correcting substrate process drift using machine learning | |
US20220026817A1 (en) | Determining substrate profile properties using machine learning | |
US11586160B2 (en) | Reducing substrate surface scratching using machine learning | |
TW202240024A (zh) | 使用機器學習控制沉積膜的濃度輪廓 | |
TW202245091A (zh) | 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法 | |
US20230118964A1 (en) | Controlling concentration profiles for deposited films using machine learning | |
US11862520B2 (en) | Systems and methods for predicting film thickness of individual layers using virtual metrology | |
US20230317481A1 (en) | Temperature-based metrology calibration at a manufacturing system | |
US20240128100A1 (en) | Methods and systems for a spectral library at a manufacturing system | |
US20230359179A1 (en) | Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing | |
US20230306300A1 (en) | Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing | |
US20230062206A1 (en) | Determining substrate profile properties using machine learning |