JP2024510410A - 機械学習を使用した堆積膜のための濃度プロファイルの制御 - Google Patents

機械学習を使用した堆積膜のための濃度プロファイルの制御 Download PDF

Info

Publication number
JP2024510410A
JP2024510410A JP2023553255A JP2023553255A JP2024510410A JP 2024510410 A JP2024510410 A JP 2024510410A JP 2023553255 A JP2023553255 A JP 2023553255A JP 2023553255 A JP2023553255 A JP 2023553255A JP 2024510410 A JP2024510410 A JP 2024510410A
Authority
JP
Japan
Prior art keywords
deposition process
substrate
settings
previous
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023553255A
Other languages
English (en)
Inventor
アントン ヴィー. バリシニコフ,
アイクト アイディン,
ツーピン ホアン,
ルイ チェン,
イー ヤン,
ディワカー ケドラヤ,
ベンカタナラヤナ シャンカラムルティ,
クリシュナ ニッタラ,
カーティック ジャナキラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024510410A publication Critical patent/JP2024510410A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Chemical & Material Sciences (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mathematical Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

機械学習を使用して堆積膜の濃度プロファイルを制御するための方法およびシステムが提供される。基板のための堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータが、学習済み機械学習モデルへの入力として提供される。学習済み機械学習モデルの1つまたは複数の出力が獲得される。堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータが、1つまたは複数の出力から決定される。堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが基板上に堆積される膜のためのターゲット濃度プロファイルに対応する信頼のレベルを示すものも決定される。信頼基準のレベルを満足する信頼のレベルを有する堆積プロセス設定のそれぞれのセットの識別に応答して、堆積プロセスの1つまたは複数の動作が、堆積プロセス設定のそれぞれのセットに従って実施される。【選択図】図5

Description

本開示の実施形態は、概して、製造システムに関し、より詳細には、機械学習を使用して堆積膜のための濃度プロファイルを制御することに関する。
製造システムにおいて電子デバイスを処理することは、基板の表面上に複雑にパターン化された材料層を作り出すことを含み得る。製造システムは、基板の表面上に膜を堆積させ得、また堆積膜内に複雑なパターンを形成するためにエッチングプロセスを実施し得る。材料のタイプおよび堆積膜における各材料の濃度は、エッチングプロセスの性能(例えば、エッチングプロセスの速度、エッチングプロセスの精度など)に影響を及ぼし得る。電子デバイスがより詳細かつ複雑になるにつれて、製造システムのオペレータは、特定のデバイス仕様を満足するエッチング基板を作り出すために、堆積膜の材料特性を調節または強化することを求め得る。しかしながら、オペレータが、デバイス仕様を満足する電子デバイスをもたらす適切な製造ステップまたは設定を識別することは困難であり得る。
説明される実施形態の一部は、方法を網羅し、本方法は、製造システムのプロセスチャンバにおける基板のための堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供することを含む。本方法は、学習済み機械学習モデルの1つまたは複数の出力を獲得することをさらに含む。本方法は、堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが基板上に堆積される膜のためのターゲット濃度プロファイルに対応する信頼のレベルを示すものを、1つまたは複数の出力から決定することをさらに含む。本方法は、信頼基準のレベルを満足する信頼のレベルを有する堆積プロセス設定のそれぞれのセットを識別することをさらに含む。本方法は、堆積プロセス設定のそれぞれのセットに従って堆積プロセスの1つまたは複数の動作を実施することをさらに含む。
いくつかの実施形態において、システムは、メモリ、およびメモリに結合される処理デバイスを含む。処理デバイスは、製造システムにおいて現在の基板に対して実施される堆積プロセスのための1つまたは複数の堆積設定を予測することになる。堆積プロセスは、現在の基板の表面上に膜を堆積させることを含む。処理デバイスはさらに、機械学習モデルのための第1の訓練データを生成することになる。第1の訓練データは、製造システムにおいて前の(先行の)基板に対して以前に実施された前の堆積プロセスのための1つまたは複数の前の堆積設定と関連付けられた履歴データを含み、前の堆積プロセスは、前の基板の表面上に前の膜を堆積させることを含む。処理デバイスはさらに、機械学習モデルのための第2の訓練データを生成することになる。第2の訓練データは、前の基板の表面上に堆積された前の膜のための過去の濃度プロファイルと関連付けられる。処理デバイスはさらに、機械学習モデルを訓練して、現在の基板のために実施される堆積プロセスについて、堆積プロセスのためのどの堆積設定のセットが、現在の基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応するかを予測するために、第1の訓練データおよび第2の訓練データを提供することになる。
いくつかの実施形態において、非一時的コンピュータ可読記憶媒体は、命令を含み、この命令は、処理デバイスによって実行されるとき、処理デバイスに、製造システムのプロセスチャンバにおける基板のための堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供させる。処理デバイスはさらに、学習済み機械学習モデルの1つまたは複数の出力を獲得することになる。処理デバイスはさらに、堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが基板上に堆積される膜のためのターゲット濃度プロファイルに対応する信頼のレベルを示すものを、1つまたは複数の出力から決定することになる。処理デバイスはさらに、信頼基準のレベルを満足する信頼のレベルを有する堆積プロセス設定のそれぞれのセットを識別することになる。処理デバイスはさらに、堆積プロセス設定のそれぞれのセットに従って堆積プロセスの1つまたは複数の動作を実施することになる。
本開示は、限定としてではなく例として、同じ参照記号が同様の要素を示す添付の図面内の図において、例証される。本開示内の「ある」実施形態または「1つの」実施形態への言及は、必ずしも同じ実施形態に対するものではなく、そのような言及は少なくとも1つを意味するということに留意されたい。
本開示の態様による、例証的なコンピュータシステムアーキテクチャを描写する図である。 本開示の態様による、機械学習モデルを訓練するための方法のフローチャートである。 本開示の態様による、例となる製造システムの上面概略図である。 本開示の態様による、例となる製造システムの例となるプロセスチャンバの断面概略側面図である。 本開示の態様による、機械学習を使用して堆積膜のための濃度プロファイルを制御するための方法のフローチャートである。 本開示の態様による、基板の表面上にターゲット濃度プロファイルを有する例となる膜を堆積させることを例証する図である。 本開示の態様による、基板の表面上にターゲット濃度プロファイルを有する例となる膜を堆積させることを例証する図である。 本開示の態様による、基板の表面上にターゲット濃度プロファイルを有する例となる膜を堆積させることを例証する図である。 本開示の1つまたは複数の態様に従って動作する例証的なコンピュータシステムのブロック図である。
本明細書に説明される実装形態は、機械学習を使用して堆積膜のための濃度プロファイルを制御するためのシステムおよび方法を提供する。膜は、製造システムのプロセスチャンバにおいて実施される堆積プロセス中に基板の表面上に堆積され得る。膜は、堆積プロセス中に形成される材料の1つまたは複数の層を含み得る。例えば、材料の第1の層は、基板の表面上に直接形成され得る(膜の近位層または近位端と称される)。第1の層が基板の表面上に形成された後、材料の第2の層が第1の層上に形成され得る。このプロセスは、堆積プロセスが完了して最終層が膜のために形成される(膜の遠位層または遠位端と称される)まで継続する。
いくつかの実施形態において、堆積膜の材料の各層は、複数の異なる材料を含み得る。例えば、基板の表面上に堆積される膜は、1つまたは複数のホウ素およびケイ素含有層を含み得る。ホウ素およびケイ素含有層は、エッチングプロセスのためのマスクとして使用され得る。マスクは、エッチングプロセス中に基板上に形成される特定の構造(例えば、垂直開口、電気接触開口など)を画定する。ホウ素およびケイ素含有層の特定の材料は、エッチングプロセス中に基板表面上に残る(エッチ耐性材料と称される)一方、他の材料は、プラズマによってエッチング除去される(エッチング材料と称される)。
いくつかの実施形態において、基板の表面上へエッチングされる開口の構造特性は、堆積膜の各層における特定の材料の濃度によって影響を及ぼされ得る。例えば、ホウ素およびケイ素含有層を含む膜において、エッチングプロセスは、基板上に開口側壁および開口床を作成するために実施され得る。低濃度のホウ素を有するホウ素およびケイ素含有層は、エッチ停止層(すなわち、基板の少なくとも一部分のためのエッチングプロセスを終了させる材料の層)の上の層のエッチング選択性を増加させ得、より正確な開口床が形成されることを可能にする。しかしながら、低ホウ素濃度は、開口側壁を、高ホウ素濃度を有するホウ素およびケイ素含有層よりも粗くし、低い精度にし得る。逆に、高ホウ素濃度を有するホウ素およびケイ素含有層は、正確な開口側壁の形成を可能にし得るが、開口床を、低ホウ素濃度を有するホウ素およびケイ素含有層よりも低い精度にし得る。電子デバイスの複雑性が増加するにつれて、基板表面上へエッチングされる構造の特異性および感度も増加する。上に示されるように、基板表面上へエッチングされる構造の特異性および感度は、堆積膜の様々な層における特定の材料の濃度に依存し得る。
いくつかの場合において、製造システムのユーザ(例えば、オペレータ)は、基板の表面上にターゲット濃度プロファイルを有する膜を堆積させるために堆積プロセスを実施することを望み得る。濃度プロファイルは、堆積膜の最終的な厚さに対する堆積膜の特定の濃度勾配(すなわち、堆積膜の様々な層の間の特定の材料の濃度における変化)を指す。例えば、ホウ素およびケイ素含有膜のための濃度プロファイルは、低濃度のホウ素を有する近位層および高濃度のホウ素を有する遠位層を含み得るが、近位層と遠位層との間の膜層内のホウ素の濃度は、線形に増加する。いくつかの実施形態において、膜のためのターゲット濃度プロファイルは、ターゲット精度(例えば、95%精度、98%精度、99.9999999%精度など)を有する基板の表面上への構造のエッチングを促進する膜濃度プロファイルであり得る。
いくつかの場合において、ターゲット濃度プロファイルを有する堆積膜をもたらす堆積プロセスのための設定を決定することは困難であり得る。例えば、特定の材料の前駆体の流れを一定の速度で(すなわち、線形に)増加または減少させる堆積プロセス設定は、堆積膜の近位層と遠位層との間の特定の材料のそれぞれの線形増加または減少を含む濃度プロファイルを有する堆積膜をもたらさない場合がある。例えば、ジボラン(B)は、ケイ素ベースおよびホウ素ベースの堆積プロセスのために前駆体として使用され得る。ジボランの流れの増加は、プロセスチャンバ内のホウ素(B)の量を増加させ得、これがプロセスのための堆積速度を増加させ得る。いくつかの場合において、増加した堆積速度は、堆積膜内のケイ素およびホウ素の非線形プロファイルを引き起こし得る。いくつかの場合において、著しい数の実験が、ターゲット濃度プロファイルを有する堆積膜をもたらす堆積プロセス設定を決定することを試みるために(例えば、製造システムのユーザによって)実施され得る。しかしながら、これらの実験は、かなりの量の製造システムリソースを消費し得、ターゲット濃度プロファイルのための適切な堆積プロセス設定は、識別されない場合がある。
本開示の態様は、機械学習を使用して堆積膜のための濃度プロファイルを制御するためのシステムおよび方法を提供することによって、上に記されるおよび他の不備に対処する。製造システムの処理デバイスは、堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供し得る。機械学習モデルは、堆積プロセスについて、どの堆積設定のセットが、基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応するかを予測するように訓練され得る。いくつかの実施形態において、処理デバイスは、製造システムに接続されるクライアントデバイスからターゲット濃度プロファイルと関連付けられたデータを受信し得る。例えば、製造システムのユーザは、膜のためのターゲット厚さを示すもの、膜の近位層のためのターゲット初期濃度を示すもの、膜の遠位層のためのターゲット最終濃度を示すもの、および膜の近位層と遠位層との間の濃度勾配のためのターゲット形状(すなわち、線形形状、非線形形状など)を示すものを、クライアントデバイスを介して提供し得る。膜のためのターゲット濃度プロファイルと関連付けられたデータを機械学習モデルへの入力として提供することに応答して、処理デバイスは、機械学習モデルの1つまたは複数の出力を獲得し得る。処理デバイスは、堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットがターゲット濃度プロファイルに対応する信頼のレベルを示すものを、1つまたは複数の出力に基づいて決定し得る。処理デバイスは、信頼基準のレベルを満足する(例えば、信頼しきい値のレベルを超える)信頼のレベルを有する堆積設定のそれぞれのセットを識別し、その堆積設定のそれぞれのセットに従って基板のための堆積プロセスを実施し得る。
上で述べたように、機械学習モデルは、堆積プロセスについて、どの堆積設定のセットが、堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応するかを予測するように訓練され得る。いくつかの実施形態において、機械学習モデルは、製造システムにおいて1つまたは複数の前の基板に対して実施される前の堆積プロセスと関連付けられた履歴データに基づいて訓練され得る。例えば、機械学習モデルのための第1の訓練データは、製造システムにおいて前の基板の表面上に前の膜を堆積させるために以前に実施された前の堆積プロセスのための前の堆積設定と関連付けられた履歴データを含み得る。機械学習モデルのための第2の訓練データは、基板の表面上に堆積された前の膜のための過去の濃度プロファイルと関連付けられ得る。いくつかの実施形態において、過去の濃度プロファイルは、前の膜についての過去の計測値(例えば、前の膜の厚さ)および前の膜と関連付けられた過去の濃度に対応し得る。処理ロジック(例えば、製造システムの処理デバイス)は、機械学習モデルを訓練するために第1および第2の訓練データを提供し得る。
本開示の態様は、基板の表面上に堆積される膜のためのターゲットプロファイルに対応する堆積設定を予測するためのシステムおよび方法を提供することによって、従来の技術の不備に対処する。製造システムにおいて前の基板に対して実施された過去の堆積プロセスと関連付けられたデータを使用して、機械学習モデルは、製造システムにおいて処理される現在の基板のためのターゲット濃度プロファイルに対応する堆積設定を予測するように訓練され得る。製造システムの処理デバイスは、ターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供し、学習済み機械学習モデルの出力に基づいて、ターゲット濃度プロファイルに対応する堆積設定のセットを決定し得る。ターゲット濃度プロファイルに対応する堆積設定を識別するように訓練される機械学習モデルを使用することにより、著しい数の実験が、ターゲット濃度プロファイルを有する堆積膜をもたらす堆積プロセス設定を決定するために実施されることはない。実験の数の減少は、製造システムリースの消費を減少させ、全体的なスループットおよび効率の増加ならびに製造システムの全体的なレイテンシの減少を結果としてもたらす。
図1は、本開示の態様による例証的なコンピュータシステムアーキテクチャ100を描写する。いくつかの実施形態において、コンピュータシステムアーキテクチャ100は、図3の製造システム300などの基板を処理するための製造システムの一部として含まれ得る。コンピュータシステムアーキテクチャ100は、クライアントデバイス120、製造装置124、計測学機器128、予測サーバ112(例えば、予測データを生成するため、モデル適応を提供するため、知識ベースを使用するためなど)、およびデータストア140を含む。予測サーバ112は、予測システム110の一部であり得る。予測システム110は、サーバマシン170および180をさらに含み得る。製造装置124は、製造システムにおいて処理されている基板についてのデータを捕捉するように構成されるセンサ125を含み得る。いくつかの実施形態において、製造装置124およびセンサ126は、センササーバ(例えば、製造施設におけるフィールドサービスサーバ(FSS))およびセンサ識別子リーダ(例えば、センサシステムのためのフープ(FOUP:Front Opening Unified Pod)無線周波数識別(RFID)リーダ)を含むセンサシステムの一部であり得る。いくつかの実施形態において、計測学機器128は、計測学サーバ(例えば、計測学データベース、計測学フォルダなど)および計測学識別子リーダ(例えば、計測学システムのためのFOUP RFIDリーダ)を含む計測学システムの一部であり得る。
製造装置124は、レシピに従って、またはある時間期間にわたってランを実施して、電子デバイスなどの製品を作り出し得る。製造装置124は、図4に関して説明されるプロセスチャンバ400などのプロセスチャンバを含み得る。製造装置124は、プロセスチャンバにおいて基板(例えば、ウエハなど)のためのプロセスを実施し得る。基板プロセスの例としては、基板の表面上に膜を堆積させるための堆積プロセス、基板の表面上にパターンを形成するためのエッチングプロセスなどが挙げられる。製造装置124は、プロセスレシピに従って各プロセスを実施し得る。プロセスレシピは、プロセス中に基板に対して実施される特定の動作セットを規定し、各動作と関連付けられた1つまたは複数の設定を含み得る。例えば、堆積プロセスレシピは、プロセスチャンバのための温度設定、プロセスチャンバのための圧力設定、基板表面上に堆積される膜に含まれる材料の前駆体のための流量設定などを含み得る。
いくつかの実施形態において、製造装置124は、製造装置124において処理される基板と関連付けられたデータを生成するように構成されるセンサ126を含み得る。例えば、プロセスチャンバは、プロセス(例えば、堆積プロセス)が基板に対して実施される前、間、および/または後に、基板と関連付けられたスペクトルまたは非スペクトルデータを生成するように構成される1つまたは複数のセンサを含み得る。いくつかの実施形態において、センサ126によって生成されるスペクトルデータは、基板の表面上に堆積される1つまたは複数の材料の濃度を示し得る。基板と関連付けられたスペクトルデータを生成するように構成されるセンサ126は、反射率測定センサ、エリプソメトリセンサ、熱スペクトルセンサ、容量センサなどを含み得る。基板と関連付けられた非スペクトルデータを生成するように構成されるセンサ126は、温度センサ、圧力センサ、流量センサ、電圧センサなどを含み得る。製造装置124に関するさらなる詳細は、図3および図4に関して提供される。
いくつかの実施形態において、センサ126は、製造装置124と関連付けられたセンサデータを提供し得る。センサデータは、温度(例えば、ヒータ温度)、間隔(SP)、圧力、高周波無線周波数(HFRF)、静電チャックの電圧(ESC)、電流、材料流、電力、電圧などのうちの1つまたは複数の値を含み得る。センサデータは、製造装置124の設定または構成要素(例えば、サイズ、タイプなど)など、ハードウェアパラメータなどの製造パラメータ、または製造装置124のプロセスパラメータと関連付けられ得るか、またはこれを示すものであり得る。センサデータは、製造装置124が製造プロセスを実施している間に提供され得る(例えば、製品を処理しているときの機器読み出し)。センサデータは、基板ごとに異なり得る。
計測学機器128は、製造装置124によって処理される基板と関連付けられた計測学データを提供し得る。計測学データは、膜特性データ(例えば、ウエハ空間膜特性)、寸法(例えば、厚さ、高さなど)、比誘電率、ドーパント濃度、密度、欠陥などの値を含み得る。いくつかの実施形態において、計測学データは、1つまたは複数の表面プロファイル特性データ(例えば、エッチング速度、エッチング速度均一性、基板の表面上に含まれる1つまたは複数の特徴部の臨界寸法、基板の表面にわたる臨界寸法均一性、縁部配置誤差など)の値をさらに含み得る。計測学データは、完成品または半完成品のものであり得る。計測学データは、基板ごとに異なり得る。
いくつかの実施形態において、計測学機器128は、製造装置124の一部として含まれ得る。例えば、計測学機器128は、プロセスチャンバの内側に含まれ得るか、またはこれに結合され得、基板がプロセスチャンバ内に残ったままで、プロセス(例えば、堆積プロセス、エッチングプロセスなど)の前、間、および/または後に基板についての計測学データを生成するように構成され得る。そのような場合、計測学機器128は、その場(in-situ)計測学機器と称され得る。別の例において、計測学機器128は、製造装置124の別のステーションに結合され得る。例えば、計測学機器は、図3の移送チャンバ310などの移送チャンバ、ロードロック320などのロードロック、またはファクトリインターフェース306などのファクトリインターフェースに結合され得る。そのような場合、計測学機器128は、統合型計測学機器と称され得る。他または同様の実施形態において、計測学機器128は、製造装置124のステーションに結合されない。そのような場合、計測学機器128は、インライン計測学機器または外部計測学機器と称され得る。いくつかの実施形態において、統合型計測学機器および/またはインライン計測学機器は、プロセスの前および/または後に基板についての計測学データを生成するように構成される。
クライアントデバイス120は、パーソナルコンピュータ(PC)、ラップトップ、携帯電話、スマートフォン、タブレットコンピュータ、ネットブックコンピュータ、ネットワーク接続されたテレビ(「スマートTV」)、ネットワーク接続されたメディアプレイヤ(例えば、ブルーレイプレイヤ)、セットトップボックス、オーバーザトップ(OTT)ストリーミングデバイス、オペレータボックスなどのコンピューティングデバイスを含み得る。いくつかの実施形態において、計測学データは、クライアントデバイス120から受信され得る。クライアントデバイス120は、グラフィカルユーザインターフェース(GUI)を表示し得、GUIは、ユーザが、製造システムにおいて処理される基板についての計測値を入力として提供することを可能にする。
データストア140は、メモリ(例えば、ランダムアクセスメモリ)、ドライバ(例えば、ハードドライバ、フラッシュドライバ)、データベースシステム、またはデータを格納する能力がある別のタイプの構成要素もしくはデバイスであり得る。データストア140は、複数のコンピューティングデバイス(例えば、複数のサーバコンピュータ)に広がり得る複数のストレージ構成要素(例えば、複数のドライバまたは複数のデータベース)を含み得る。データストア140は、製造装置124において基板を処理することと関連付けられたデータを格納し得る。例えば、データストア140は、基板プロセスの前、間、または後に製造装置124においてセンサ126によって収集されるデータ(プロセスデータと称される)を格納し得る。プロセスデータは、過去のプロセスデータ(例えば、製造システムにおいて処理された前の基板について生成されるプロセスデータ)および/または現在のプロセスデータ(例えば、製造システムにおいて処理される現在の基板について生成されるプロセスデータ)を指し得る。データストアはまた、製造装置124において処理される基板の一部分と関連付けられたスペクトルデータまたは非スペクトルデータを格納し得る。スペクトルデータは、過去のスペクトルデータおよび/または現在のスペクトルデータを含み得る。
いくつかの実施形態において、データストア140はまた、基板の表面上に堆積される膜と関連付けられた濃度プロファイルデータを格納し得る。先に説明されるように、濃度プロファイルは、堆積膜の最終的な厚さに対する堆積膜の特定の濃度勾配(すなわち、堆積膜の様々な層の間の特定の材料の濃度における変化)を指す。いくつかの実施形態において、濃度プロファイルデータは、基板の表面上に堆積される厚さまたは膜、膜における特定の材料の初期濃度(例えば、膜の近位層における特定の材料の濃度)、および膜における特定の材料の最終濃度(例えば、膜の遠位層における特定の材料の濃度)を含み得る。いくつかの実施形態において、濃度プロファイルデータはまた、近位層と遠位層との間の膜層内での特定の材料の濃度の変化率を示すものを含み得る。例えば、濃度プロファイルデータは、堆積膜の近位層と遠位層との間の層における特定の材料の濃度の、線形変化率(すなわち、一定の変化率)または非線形変化率(すなわち、一定ではない変化率)を示すものを含み得る。いくつかの実施形態において、濃度プロファイルデータは、製造システムのユーザ(例えば、オペレータ)によって(例えば、クライアントデバイス120を介して)提供され得る。他または同様の実施形態において、濃度プロファイルデータは、データストア140に格納されるセンサデータおよび/または計測学データに基づいて、製造システムの処理デバイス(例えば、図3のシステムコントローラ328)によって決定され得る。濃度プロファイルデータは、過去の濃度プロファイルデータ(例えば、前の基板の上に堆積される前の膜のための濃度プロファイルデータ)、または現在の濃度プロファイルデータ(例えば、現在の基板の上に堆積される現在の膜のための濃度プロファイルデータ)を指し得る。
いくつかの実施形態において、濃度プロファイルデータはまた、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを含み得る。例えば、オペレーティングシステムのユーザ(例えば、オペレータ)は、ターゲット濃度プロファイルと関連付けられたデータを、クライアントデバイス120を介して提供し得る。ターゲット濃度プロファイルと関連付けられたデータは、基板の表面上に堆積される膜のターゲット厚さ、膜の特定の材料のターゲット初期濃度(例えば、膜の近位層における特定の材料の濃度)、および膜の特定の材料のターゲット最終濃度(例えば、膜の遠位層における特定の材料の濃度)のうちの少なくとも1つを含み得る。いくつかの実施形態において、ターゲット濃度と関連付けられたデータはまた、膜の近位層と遠位層との間の層内での特定の材料についての濃度勾配のターゲット変化率(例えば、線形、非線形など)を示すものを含み得る。
データストア140はまた、製造システムにおいて処理される1つまたは複数の基板と関連付けられたコンテキストデータを格納し得る。コンテキストデータは、レシピ名、レシピステップ番号、予防保全インジケータ、オペレータなどを含み得る。コンテキストデータは、過去のコンテキストデータ(例えば、前の基板に対して実施された前のプロセスと関連付けられたコンテキストデータ)、および/または現在のプロセスデータ(例えば、前の基板に対して実施される現在のプロセスまたは将来のプロセスと関連付けられたコンテキストデータ)を指し得る。いくつかの実施形態において、コンテキストデータはまた、特定のプロセスと関連付けられた1つまたは複数の設定を示すものを含み得る。例えば、堆積プロセスについてのコンテキストデータは、プロセスチャンバのための温度設定、プロセスチャンバのための圧力設定、基板に堆積される膜の材料の前駆体のための流量設定などを含み得る。
いくつかの実施形態において、データストア140は、製造システムのユーザがアクセスできないデータを格納するように構成され得る。例えば、製造システムにおいて処理されている基板について獲得されるプロセスデータ、スペクトルデータ、コンテキストデータなどは、製造システムのユーザ(例えば、オペレータ)がアクセスすることはできない。いくつかの実施形態において、データストア140に格納されるすべてのデータは、製造システムのユーザによってアクセス不可能であり得る。他のまたは同様の実施形態において、データストア140に格納されるデータの一部分は、ユーザによってアクセス不可能であり得る一方、データストア140に格納されるデータの別の部分は、ユーザによってアクセス可能であり得る。いくつかの実施形態において、データストア140に格納されるデータの1つまたは複数の部分は、ユーザに知られていない暗号化機構を使用して暗号化され得る(例えば、データはプライベート暗号化鍵を使用して暗号化される)。他または同様の実施形態において、データストア140は、複数のデータストアを含み得、ユーザがアクセス不可能であるデータは、1つまたは複数の第1のデータストアに格納され、ユーザがアクセス可能であるデータは、1つまたは複数の第2のデータストアに格納される。
いくつかの実施形態において、予測システム110は、サーバマシン170およびサーバマシン180を含む。サーバマシン170は、機械学習モデル190を訓練、検証、および/または試験するために訓練データセット(例えば、データ入力のセットおよびターゲット出力のセット)を生成する能力がある訓練セットジェネレータ172を含む。データセットジェネレータ172のいくつかの動作は、図2に関して以下に詳細に説明される。いくつかの実施形態において、データセットジェネレータ172は、訓練データを、訓練セット、検証セット、および試験セットへと分割し得る。いくつかの実施形態において、予測システム110は、訓練データの複数のセットを生成する。
サーバマシン180は、訓練エンジン182、検証エンジン184、選択エンジン185、および/または試験エンジン186を含み得る。エンジンは、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコード、処理デバイスなど)、ソフトウェア(処理デバイス上の命令ラン、汎用コンピュータシステム、または専用マシンなど)、ファームウェア、マイクロコード、またはそれらの組み合わせを指し得る。訓練エンジン182は、機械学習モデル190を訓練する能力があり得る。機械学習モデル190は、訓練入力および対応するターゲット出力(それぞれの訓練入力のための正しい回答)を含む訓練データを使用して訓練エンジン182によって作成されるモデルアーチファクトを指し得る。訓練エンジン182は、訓練入力をターゲット出力(予期される回答)にマッピングする訓練データ内のパターンを発見し、これらのパターンを捕捉する機械学習モデル190を提供し得る。機械学習モデル190は、サポートベクターマシン(SVM)、放射基底関数(RBF)、クラスタリング、教師あり機械学習、半教師あり機械学習、教師なし機械学習、k近傍法アルゴリズム(k-NN)、線形回帰、リッジ回帰、ランダムフォレスト、ニューラルネットワーク(例えば、人工ニューラルネットワーク)などのうちの1つまたは複数を使用し得る。
検証エンジン184は、訓練セットジェネレータ172からの検証セットの特徴の対応するセットを使用して、学習済み機械学習モデル190を検証する能力があり得る。検証エンジン184は、検証セットの特徴の対応するセットに基づいて、学習済み機械学習モデル190の各々の精度を決定し得る。検証エンジン184は、しきい値精度を満たさない精度を有する学習済み機械学習モデル190を破棄し得る。いくつかの実施形態において、選択エンジン185は、しきい値精度を満たす精度を有する学習済み機械学習モデル190を選択する能力があり得る。いくつかの実施形態において、選択エンジン185は、学習済み機械学習モデル190の最も高い精度を有する学習済み機械学習モデル190を選択する能力があり得る。
試験エンジン186は、データセットジェネレータ172からの試験セットの特徴の対応するセットを使用して、学習済み機械学習モデル190を試験する能力があり得る。例えば、訓練セットの特徴の第1のセットを使用して学習済み第1の学習済み機械学習モデル190は、試験セットの特徴の第1のセットを使用して試験され得る。試験エンジン186は、試験セットに基づいて、学習済み機械学習モデルの最も高い精度を有する学習済み機械学習モデル190を決定し得る。
予測サーバ112は、基板のための堆積プロセスの間、基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを提供し、1つまたは複数の出力を獲得するために入力に対して学習済み機械学習モデル190を実行する能力がある予測構成要素114を含む。図7に関して以下に詳細に説明されるように、いくつかの実施形態において、予測構成要素114はまた、学習済み機械学習モデル190の出力からプロセスレシピデータを決定し、そのプロセスレシピデータを使用して、基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応する堆積設定を予測する能力がある。プロセスレシピデータは、堆積プロセス設定の1つまたは複数のセット、および堆積プロセス設定の各セットについて、堆積設定のそれぞれのセットがターゲット濃度プロファイルに対応する信頼のレベルを含むか、これを示し得る。堆積プロセス設定の各セットは、基板の表面上に堆積される膜のための特定の濃度プロファイルと関連付けられ得る。予測システム110は、堆積プロセス設定のそれぞれのセットと関連付けられた特定のプロファイルとターゲット濃度プロファイルとの差が差分しきい値を下回ることを決定することに応答して、堆積プロセス設定のそれぞれのセットがターゲット濃度プロファイルに対応することを決定し得る。
クライアントデバイス120、製造装置124、センサ126、計測学機器128、予測サーバ112、データストア140、サーバマシン170、およびサーバマシン180は、ネットワーク130を介して互いに結合され得る。いくつかの実施形態において、ネットワーク130は、予測サーバ112、データストア140、および他の公的に利用可能なコンピューティングデバイスへのアクセスをクライアントデバイス120に提供するパブリックネットワークである。いくつかの実施形態において、ネットワーク130は、製造装置124、計測学機器128、データストア140、および他の私的に利用可能なコンピューティングデバイスへのアクセスをクライアントデバイス120に提供するプライベートネットワークである。ネットワーク130は、1つまたは複数の広域ネットワーク(WAN)、ローカルエリアネットワーク(LAN)、有線ネットワーク(例えば、イーサネットネットワーク)、ワイヤレスネットワーク(例えば、802.11ネットワークまたはWi-Fiネットワーク)、セルラネットワーク(例えば、ロングタームエボリューション(LTE)ネットワーク)、ルータ、ハブ、スイッチ、サーバコンピュータ、クラウドコンピューティングネットワーク、および/またはそれらの組み合わせを含み得る。
いくつかの他の実装形態において、サーバマシン170および180、ならびに予測サーバ112の機能は、より少ない数のマシンによって提供され得るということに留意されたい。例えば、いくつかの実施形態において、サーバマシン170および180が、単一マシンへと統合される一方、いくつかの他または同様の実施形態において、サーバマシン170および180、ならびに予測サーバ112が、単一マシンへと統合され得る。
一般に、サーバマシン170、サーバマシン180、および/または予測サーバ112によって実施されるものとして1つの実装形態において説明される機能は、クライアントデバイス120上でも実施され得る。加えて、特定の構成要素に属する機能性は、異なるまたは複数の構成要素が一緒に動作することによって実施され得る。
実施形態において、「ユーザ」は、単一の個人として表され得る。しかしながら、本開示の他の実施形態は、「ユーザ」が複数のユーザおよび/または自動化ソースによって制御されるエンティティであることを包含する。例えば、管理者のグループとして連合した個々のユーザの集まりは、「ユーザ」と見なされ得る。
図2は、本開示の態様による、機械学習モデルを訓練するための方法のフローチャート200である。方法200は、ハードウェア(回路、専用ロジックなど)、ソフトウェア(汎用コンピュータシステムまたは専用マシン上のランであるなど)、ファームウェア、またはそれらの何らかの組み合わせを含み得る処理ロジックによって実施される。1つの実装形態において、方法200は、図1のコンピュータシステムアーキテクチャなどのコンピュータシステムによって実施され得る。他または同様の実装形態において、方法200の1つまたは複数の動作は、図に描写されない1つまたは複数の他のマシンによって実施され得る。いくつかの態様において、方法200の1つまたは複数の動作は、サーバマシン170の訓練セットジェネレータ172によって実施され得る。
説明の簡便性のため、本方法は、一連の行為として描写および説明される。しかしながら、本開示による行為は、様々な順序で、および/または同時に、ならびに本明細書に提示および説明されない他の行為と共に、発生し得る。さらには、すべての例証された行為が、開示された主題による方法を実装するために実施され得るわけではない。加えて、当業者は、本方法が、代替的に、状態図による一連の相関状態またはイベントとして表され得るということを理解するものとする。追加的に、本明細書に開示される方法は、そのような方法をコンピューティングデバイスに輸送および移送することを促進するために製造物品に格納することができるということを理解されたい。製造物品という用語は、本明細書で使用される場合、任意のコンピュータ可読デバイスまたは記憶媒体からアクセス可能なコンピュータプログラムを包含することが意図される。
ブロック210において、処理ロジックは、訓練セットTを空のセット(例えば、{})へと初期化する。ブロック212において、処理ロジックは、前の基板の表面上に膜を堆積させるために実施された前の堆積プロセスと関連付けられたデータを獲得する。いくつかの実施形態において、堆積プロセスと関連付けられたデータは、製造システムにおいて前の基板に対して以前に実施された前の堆積プロセスのための1つまたは複数の前の堆積設定と関連付けられた履歴データである。例えば、履歴データは、データストア140に格納された前の堆積プロセスと関連付けられた過去のコンテキストデータであり得る。いくつかの実施形態において、1つまたは複数の前の堆積設定は、前の堆積プロセスのための前の温度設定、前の堆積設定のための前の圧力設定、または前の基板の表面上に堆積された前の膜の1つまたは複数の材料の前駆体のための前の流量設定のうちの少なくとも1つを含み得る。流量設定は、前の堆積プロセスの初期インスタンスにおける前駆体のための流量設定(初期流量設定と称される)、前の堆積プロセスの最終インスタンスにおける前駆体のための流量設定(最終流量設定と称される)、または堆積プロセス中の前駆体の流量のランピングレートを指し得る。1つの例において、前の膜の前駆体は、ホウ素含有前駆体またはケイ素含有前駆体を含み得る。
ブロック214において、処理ロジックは、前の基板の表面上に堆積された膜と関連付けられた濃度プロファイルデータを獲得する。先に論じられるように、濃度プロファイルは、堆積膜の最終厚さに対する堆積膜の特定の濃度勾配を指す。濃度プロファイルデータは、前の基板の表面に堆積された前の膜についての過去の濃度プロファイルデータを含み得る。いくつかの実施形態において、前の膜のための過去の濃度プロファイルは、前の膜と関連付けられた過去の計測値(例えば、前の膜の厚さ)および前の膜と関連付けられた過去の濃度に対応し得る。いくつかの実施形態において、前の膜のための過去の濃度プロファイルは、追加的または代替的に、前の膜と関連付けられた過去の濃度勾配に対応し得る。処理ロジックは、先に説明された実施形態によると、データストア140から堆積膜と関連付けられた濃度プロファイルデータを獲得し得る。
ブロック216において、処理ロジックは、前の基板に対して実施された前の堆積プロセスと関連付けられた獲得データ(例えば、ブロック212において獲得されるデータ)に基づいて第1の訓練データを生成する。ブロック218において、処理ロジックは、前の基板の表面上に堆積された膜と関連付けられた濃度プロファイルデータ(例えば、ブロック214において獲得されるデータ)に基づいて第2の訓練データを生成する。ブロック220において、処理ロジックは、第1の訓練データと第2の訓練データとのマッピングを生成する。マッピングは、前の基板に対して実施された前の堆積プロセスのためのデータを含む、またはこれに基づく第1の訓練データ、および前の基板の表面上に堆積された膜と関連付けられた濃度プロファイルデータを含む、またはこれに基づく第2の訓練データを指し、第1の訓練データは、第2の訓練データと関連付けられる(または、これにマッピングされる)。ブロック224において、処理ロジックは、訓練セットTへマッピングを追加する。
ブロック226において、処理ロジックは、訓練セットTが、機械学習モデルを訓練するために十分な量の訓練データを含むかどうかを決定する。いくつかの実装形態において、訓練セットTの充足性は、単純に訓練セット内のマッピングの数に基づいて決定され得る一方、いくつかの他の実装形態において、訓練セットTの充足性は、入力/出力マッピングの数に加えて、またはこれの代わりに、1つまたは複数の他の基準(例えば、訓練例の多様性の尺度など)に基づいて決定され得るということに留意されたい。訓練セットが機械学習モデルを訓練するために十分な量の訓練データを含まないことを決定することに応答して、方法200は、ブロック212へ戻る。訓練セットTが機械学習モデルを訓練するために十分な量の訓練データを含むことを決定することに応答して、方法200は、ブロック228を継続する。
ブロック228において、処理ロジックは、機械学習モデルを訓練するために訓練セットTを提供する。1つの実装形態において、訓練セットTは、訓練を実施するためにサーバマシン180の訓練エンジン182に提供される。ニューラルネットワークの場合、例えば、所与の入力/出力マッピングの入力値は、ニューラルネットワークへの入力であり、入力/出力マッピングの出力値は、ニューラルネットワークの出力ノードに格納される。ニューラルネットワーク内の接続重みは、次いで、学習アルゴリズム(例えば、バックプロパゲーションなど)に従って調節され、この手順は、訓練セットT内の他の入力/出力マッピングについて繰り返される。ブロック228の後、機械学習モデル190は、現在の基板に対して実施される堆積プロセスについて、堆積プロセスのためのどの堆積設定のセットが現在の基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応するかを予測するために使用され得る。
いくつかの実施形態において、製造システムは、2つ以上のプロセスチャンバを含み得る。例えば、図3の例となる製造システム300は、複数のプロセスチャンバ314、316、318を例証する。いくつかの実施形態において、機械学習モデルを訓練するために獲得されるデータ、および学習済み機械学習モデルへの入力として提供されるために収集されるデータは、製造システムの同じプロセスチャンバと関連付けられ得るということに留意されたい。他または同様の実施形態において、機械学習モデルを訓練するために獲得されるデータ、および学習済み機械学習モデルへの入力として提供されるために収集されるデータは、製造システムの異なるプロセスチャンバと関連付けられ得る。他または同様の実施形態において、機械学習モデルを訓練するために獲得されるデータは、第1の製造システムのプロセスチャンバと関連付けられ得、学習済み機械学習モデルへの入力として提供されるために収集されるデータは、第2の製造システムのプロセスチャンバと関連付けられ得る。
図3は、本開示の態様による、例となる製造システム300の上面概略図である。製造システム300は、基板302上で1つまたは複数のプロセスを実施し得る。基板302は、電子デバイスまたはその上の回路構成要素を製作するのに好適な、例えば、ケイ素含有ディスクもしくはウエハ、パターン化ウエハ、ガラス板、または同様のものなど、任意の好適に剛性の固定寸法の平面の物品であり得る。
製造システム300は、プロセスツール304、およびプロセスツール304に結合されるファクトリインターフェース306を含み得る。プロセスツール304は、中に移送チャンバ310を有するハウジング308を含み得る。移送チャンバ310は、その周りに配設され、そこに結合される1つまたは複数のプロセスチャンバ(処理チャンバとも称される)314、316、318を含み得る。プロセスチャンバ314、316、318は、スリットバルブまたは同様のものなど、それぞれのポートを通じて移送チャンバ310に結合され得る。移送チャンバ310はまた、プロセスチャンバ314、316、318、ロードロック320などの間で基板302を移送するように構成される移送チャンバロボット312を含み得る。移送チャンバロボット312は、1つまたは複数のアームを含み得、各アームは、各アームの端に1つまたは複数のエンドエフェクタを含む。エンドエフェクタは、ウエハなどの特定の物体をハンドリングするように構成され得る。
プロセスチャンバ314、316、318は、基板302に対して任意の数のプロセスを実行するように適合され得る。同じまたは異なる基板プロセスが、各処理チャンバ314、316、318において発生し得る。基板プロセスは、原子層堆積(ALD)、物理的気相堆積(PVD)、化学気相堆積(CVD)、エッチング、アニーリング、硬化、プレクリーニング、金属もしくは金属酸化物除去、または同様のものを含み得る。他のプロセスが、そこで基板に対して実行され得る。プロセスチャンバ314、316、318は各々、基板プロセスの前、後、または間に基板302についてのデータを捕捉するように構成される1つまたは複数のセンサを含み得る。例えば、1つまたは複数のセンサは、基板プロセスの間基板302の一部分についてのスペクトルデータおよび/または非スペクトルデータを捕捉するように構成され得る。他または同様の実施形態において、1つまたは複数のセンサは、基板プロセスの前、後、または間にプロセスチャンバ314、316、318内の環境と関係付けられたデータを捕捉するように構成され得る。例えば、1つまたは複数のセンサは、基板プロセスの間プロセスチャンバ314、316、318内の環境の温度、圧力、ガス濃度などと関連付けられたデータを捕捉するように構成され得る。
ロードロック320もまた、ハウジング308および移送チャンバ310に結合され得る。ロードロック320は、片側における移送チャンバ310およびファクトリインターフェース306とインターフェースをとり、またこれに結合されるように構成され得る。ロードロック320は、いくつかの実施形態において、真空環境(基板は、移送チャンバ310へ、またはそこから移送され得る)から気圧に近い不活性ガス環境(基板は、ファクトリインターフェース306へ、またはそこから移送され得る)へ変化され得る環境的に制御された大気を有し得る。ファクトリインターフェース306は、例えば、機器フロントエンドモジュール(EFEM:Equipment Front End Module)などの任意の好適な収納装置であり得る。ファクトリインターフェース306は、ファクトリインターフェース306の様々なロードポート324にドッキングされる基板キャリア322(例えば、前方開口型統一ポッド(FOUP))から基板302を受容するように構成され得る。ファクトリインターフェースロボット326(点線で示される)は、キャリア(コンテナとも称される)322とロードロック320との間で基板302を移送するように構成され得る。キャリア322は、基板ストレージキャリアまたは交換部品ストレージキャリアであり得る。
製造システム300はまた、製造システム300に関する情報をユーザ(例えば、オペレータ)に提供するように構成されるクライアントデバイス(図示せず)に接続され得る。いくつかの実施形態において、クライアントデバイスは、1つまたは複数のグラフィカルユーザインターフェース(GUI)を介して製造システム300のユーザに情報を提供し得る。例えば、クライアントデバイスは、GUIを介して、プロセスチャンバ314、316、318において実施される堆積プロセスの間、基板302の表面上に堆積される膜のためのターゲット濃度プロファイルに関する情報を提供し得る。クライアントデバイスはまた、本明細書に説明される実施形態に従って、ターゲットプロファイルに対応することが予測される堆積設定のそれぞれのセットを考慮してプロセスレシピに対する修正に関する情報を提供し得る。
製造システム300はまた、システムコントローラ328を含み得る。システムコントローラ328は、例えば、パーソナルコンピュータ、サーバコンピュータ、プログラム可能なロジック制御装置(PLC)、マイクロコントローラなどのコンピューティングデバイスであり得、および/またはこれを含み得る。システムコントローラ328は、マイクロプロセッサ、中央処理装置、または同様のものなど、汎用処理デバイスであり得る1つまたは複数の処理デバイスを含み得る。より詳細には、処理デバイスは、複雑命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、または他の命令セットを実装するプロセッサ、もしくは命令セットの組み合わせを実装するプロセッサであり得る。処理デバイスはまた、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ、または同様のものなど、1つまたは複数の特殊用途処理デバイスであり得る。システムコントローラ328は、データストレージデバイス(例えば、1つまたは複数のディスクドライバおよび/または固体ドライバ)、メインメモリ、スタティックメモリ、ネットワークインターフェース、および/または他の構成要素を含み得る。システムコントローラ328は、本明細書に説明される方法論および/または実施形態のうちの任意の1つまたは複数を実施するために命令を実行し得る。いくつかの実施形態において、システムコントローラ328は、プロセスレシピに従って製造システム300における1つまたは複数の動作を実施するために命令を実行し得る。命令は、メインメモリ、スタティックメモリ、二次ストレージ、および/または処理デバイス(命令の実行中)を含み得るコンピュータ可読記憶媒体に格納され得る。
システムコントローラ328は、製造システム300の様々な部分(例えば、処理チャンバ314、316、318、移送チャンバ310、ロードロック320など)上に、またはその中に含まれるセンサからデータを受信し得る。いくつかの実施形態において、システムコントローラ328によって受信されるデータは、基板302の一部分についてのスペクトルデータおよび/または非スペクトルデータを含み得る。他または同様の実施形態において、システムコントローラ328によって受信されるデータは、先に説明されるように、処理チャンバ314、316、318において基板302を処理することと関連付けられたデータを含み得る。本説明の目的のため、システムコントローラ328は、プロセスチャンバ314、316、318内に含まれるセンサからデータを受信するものとして説明される。しかしながら、システムコントローラ328は、製造システム300の任意の部分からデータを受信し得、本明細書に説明される実施形態に従ってその部分から受信されるデータを使用し得る。例証的な例において、システムコントローラ328は、プロセスチャンバ314、316、318における基板プロセスの前、後、または間にプロセスチャンバ314、316、318のための1つまたは複数のセンサからデータを受信し得る。製造システム300の様々な部分のセンサから受信されるデータは、データストア350に格納され得る。データストア350は、システムコントローラ328内の構成要素として含まれ得るか、またはシステムコントローラ328とは別個の構成要素であり得る。いくつかの実施形態において、データストア350は、図1に関して説明されるデータストア140であり得る。
図4は、本開示の態様による、プロセスチャンバ400の断面概略側面図である。いくつかの実施形態において、プロセスチャンバ400は、図3に関して説明されるプロセスチャンバ314、316、318に対応し得る。プロセスチャンバ400は、腐食性プラズマ環境が提供されるプロセスのために使用され得る。例えば、プロセスチャンバ400は、プラズマエッチャまたはプラズマエッチングリアクタなどのためのチャンバであり得る。別の例において、プロセスチャンバは、先に説明されるように、堆積プロセスのためのチャンバであり得る。1つの実施形態において、プロセスチャンバ400は、チャンバ本体402、および内部体積406を取り囲むシャワーヘッド430を含む。シャワーヘッド430は、シャワーヘッドベースおよびシャワーヘッドガス分配プレートを含み得る。代替的に、シャワーヘッド430は、いくつかの実施形態において、蓋およびノズルによって、または他の実施形態において、複数の扇形シャワーヘッド区画およびプラズマ生成装置によって取って代わられ得る。チャンバ本体402は、アルミニウム、ステンレス鋼、またはチタン(Ti)などの他の好適な材料から製作され得る。チャンバ本体402は、一般的には、側壁408および底部410を含む。排気口426が、チャンバ本体402内に画定され得、内部体積406をポンプシステム428に結合し得る。ポンプシステム428は、プロセスチャンバ400の内部体積406の圧力を真空化および調整するために利用される1つまたは複数のポンプおよびスロットルバルブを含み得る。
シャワーヘッド430は、チャンバ本体402の側壁408上に支持され得る。シャワーヘッド420(または蓋)は、プロセスチャンバ400の内部体積406へのアクセスを可能にするように開かれ得、閉じている間はプロセスチャンバ400の密閉を提供し得る。ガスパネル458は、シャワーヘッド430または蓋およびノズルを通じて(例えば、シャワーヘッドまたは蓋およびノズルの開孔を通じて)内部体積406へプロセスおよび/または洗浄ガスを提供するために、プロセスチャンバ400に結合され得る。例えば、ガスパネル458は、基板302の表面上に堆積される膜451の材料の前駆体を提供し得る。いくつかの実施形態において、前駆体は、ケイ素ベースの前駆体またはホウ素ベースの前駆体を含み得る。シャワーヘッド430は、ガス分配プレート(GDP)を含み得、GDP全体にわたって複数のガスデリバリ孔432(チャネルとも称される)を有し得る。基板支持アセンブリ448が、シャワーヘッド430の下にプロセスチャンバ400の内部体積406に配設される。基板支持アセンブリ448は、処理中(例えば、堆積プロセス中)基板302を保持する。
いくつかの実施形態において、プロセスチャンバ400は、濃度検出構成要素460を装備し得る。濃度検出構成要素460は、プロセスチャンバ314、316、318内の材料を検出するように構成される1つまたは複数のセンサ(例えば、音響センサ、光学センサ、エリプソメトリセンサなど)を含み得る。例えば、濃度検出構成要素460の1つまたは複数のセンサは、プロセスチャンバ314、316、318におけるプロセス(例えば、堆積プロセス)の間、材料の、例えば、光学シグネチャ、音響シグネチャなどの、シグネチャ(すなわち、プラズマによって放出される光子のセットの波長)を検出するように構成され得る。濃度検出構成要素460は、基板302の表面上に堆積される膜451の1つまたは複数の材料によって放出される光子の様々な波長の振幅を測定し得る。他または同様の実施形態において、濃度検出構成要素460は、基板302の表面上に堆積される膜451の単一の材料によって放出される光子の特定の波長を検出するように構成されるデバイスであり得る。濃度検出構成要素460は、基板302の表面上に堆積される膜451の1つまたは複数の材料によって放出される光子の波長を検出および測定し得る一方で、濃度検出構成要素460はまた、プロセスチャンバ400内の各材料(例えば、プロセスチャンバ400の壁に堆積されるコーティングなど)によって放出される光子の波長を検出および測定し得るということに留意されたい。
いくつかの実施形態において、濃度検出構成要素460は、プロセスチャンバ400の外側へ変位され得る。そのような実施形態において、濃度検出構成要素460は、プロセスチャンバ400の外側からプロセスチャンバ400内の材料と関連付けられたシグネチャ(例えば、光学シグネチャ、音響シグネチャなど)を検出するように構成され得る。いくつかの実施形態において、濃度検出構成要素460は、チャンバ本体402の一部分に埋め込まれた透明な部分462(例えば、窓)を通じて光学シグネチャを検出するように構成される光検出構成要素(例えば、発光分光法(OES)デバイス)であり得る。例えば、光検出構成要素は、側壁408、ライナ416、底部410、および/またはシャワーヘッド430内に埋め込まれた窓462を通じて光学シグネチャを検出するように構成され得る。プロセスチャンバ400内の光子は、窓462を通じて、光ファイバケーブルなどの光子送信ケーブル464を通って光検出構成要素に送信され得る。他または同様の実施形態において、濃度検出構成要素460の1つまたは複数の構成要素は、プロセスチャンバ400の内側に変位され得る。いくつかの実装形態において、プロセスチャンバ400内で実施されるプロセスは、真空環境で実施され得る。そのようなものとして、真空環境内で動作するように構成される濃度検出構成要素460の1つまたは複数の構成要素は、プロセスチャンバ400の内側に変位され得る。
いくつかの実施形態において、濃度検出構成要素460は、図3のシステムコントローラ328などのシステムコントローラに動作可能に結合され得る。濃度検出構成要素460は、基板302の表面上に膜451を堆積させるためにプロセスチャンバ400において実施される堆積プロセスの間、検出されたシグネチャに関するデータをシステムコントローラに送信し得る。いくつかの実施形態において、システムコントローラは、プロセスチャンバ400において実施される堆積プロセスの間、濃度検出構成要素460から受信される検出したシグネチャに関するデータに基づいて、膜451についての濃度勾配データを生成し得る。例えば、堆積プロセスの間、膜451の様々な層が基板302の表面上に形成される。堆積プロセスの間の1つまたは複数の時間インスタンスにおいて、濃度検出構成要素460は、堆積膜451内の特定の材料(例えば、ホウ素ベースの材料、ケイ素ベースの材料など)についての検出したシグネチャに関するデータを送信し得る。受信したシグネチャに基づいて、システムコントローラは、堆積プロセスの特定のインスタンスにおいて膜内の特定の材料の濃度を決定し得る。システムコントローラは、堆積プロセスの各インスタンスにおいて特定の材料の各々決定された濃度に基づいて、膜451のための濃度勾配を生成し得る。
いくつかの実施形態において、処理チャンバ400は、プロセスチャンバ400において実施されるプロセスの間、その場(in-situ)計測値を生成するように構成される計測学機器(図示せず)を含み得る。計測学機器は、システムコントローラ(例えば、先に説明されるようなシステムコントローラ328)に動作可能に結合され得る。いくつかの実施形態において、計測学機器は、堆積プロセスの特定のインスタンスの間、膜451についての計測値(例えば、厚さ)を生成するように構成され得る。システムコントローラは、計測学機器からの受信した計測値に基づいて、膜451のための濃度プロファイルを生成し得る。例えば、システムコントローラは、堆積プロセスの特定のインスタンスにおける膜内の特定の材料の決定された濃度を、堆積プロセスの同じまたは同様のインスタンスの間に生成される計測値と関連付けることによって濃度プロファイルを生成し得る。他または同様の実施形態において、処理チャンバ400は、計測学機器を含まない。そのような実施形態において、システムコントローラは、プロセスチャンバ400における堆積プロセスの完了後、膜451についての1つまたは複数の計測値を受信し得る。システムコントローラは、1つまたは複数の計測値に基づいて堆積率を決定し得、また決定された濃度勾配および堆積プロセスの決定された堆積率に基づいて膜451のための濃度プロファイルを関連付けおよび生成し得る。
図5は、本開示の態様による、機械学習を使用して堆積膜のための濃度プロファイルを制御するための方法500のフローチャートである。方法500は、ハードウェア(回路、専用ロジックなど)、ソフトウェア(汎用コンピュータシステムまたは専用マシン上のランであるなど)、ファームウェア、またはそれらの何らかの組み合わせを含み得る処理ロジックによって実施される。いくつかの実施形態において、方法500は、図1の予測サーバ112および学習済み機械学習モデル190を使用して実施され得る。他または同様の実施形態において、図5の1つまたは複数のブロックは、図1に描写されない1つまたは複数の他のマシンによって実施され得る。
ブロック510において、処理ロジックは、任意選択的に、基板の表面上に堆積される膜について、膜のターゲット厚さ、膜の特定の材料のためのターゲット初期濃度、および膜内の材料のためのターゲット最終濃度を示すものを受信する。いくつかの実施形態において、処理ロジックはまた、膜の近位層と遠位層との間の様々な層内での特定の材料の濃度についてのターゲット変化率(例えば、線形変化、非線形変化など)を示すものを受信し得る。いくつかの実施形態において、処理ロジックは、製造システムに結合されるクライアントデバイスから、ターゲット厚さ、ターゲット初期濃度、ターゲット最終濃度、および/またはターゲット変化率を示すものを受信し得る。ブロック512において、処理ロジックは、任意選択的に、ターゲット厚さ、ターゲット初期濃度、およびターゲット最終濃度に基づいて膜のためのターゲット濃度プロファイルを決定する。いくつかの実施形態において、処理ロジックはまた、特定の材料のための濃度のターゲット変化率に基づいてターゲット濃度プロファイルを決定し得る。膜のためのターゲット濃度プロファイルを決定することについてのさらなる詳細は、図6A~図7Cに関して提供される。
ブロック514において、処理ロジックは、ターゲット濃度プロファイルを、学習済み機械学習モデルへの入力として提供する。いくつかの実施形態において、処理ロジックはまた、堆積プロセスと関連付けられた1つまたは複数のプロセス制約を、学習済み機械学習モデルへの入力として提供する。ブロック516において、処理ロジックは、機械学習モデルの出力を獲得する。
ブロック518において、処理ロジックは、堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが基板上に堆積される膜のためのターゲット濃度プロファイルに対応する信頼のレベルを示すものを、1つまたは複数の出力から決定する。堆積プロセス設定の各セットは、少なくとも、プロセスチャンバのための温度設定、プロセスチャンバのための圧力設定、基板の表面上に堆積される膜の1つまたは複数の材料の前駆体(例えば、ケイ素含有前駆体、ホウ素含有前駆体など)のための流量設定(例えば、初期流量、最終流量、ランピングレートなど)を含み得る。例えば、1つまたは複数のホウ素およびケイ素含有層を堆積するためのプロセスの間、堆積プロセス設定のセットは、少なくとも、プロセスチャンバのための温度設定および/または圧力設定、堆積プロセスの各インスタンスの間の1つまたは複数のホウ素およびケイ素含有層のホウ素ベースの前駆体および/またはケイ素ベースの前駆体のための流量設定を含み得る。いくつかの実施形態において、堆積プロセス設定の各セットは、基板の表面上に堆積される膜のための特定の濃度プロファイルと関連付けられる。処理ロジックは、堆積プロセス設定のそれぞれのセットと関連付けられた特定のプロファイルと基板の表面上に堆積される膜のためのターゲット濃度プロファイルとの差が差分しきい値を下回ることを決定することによって、堆積プロセス設定のそれぞれのセットがターゲット濃度に対応することを決定し得る。
ブロック520において、処理ロジックは、堆積プロセス設定のそれぞれのセットの各々についての信頼基準のレベルが満足されるかどうかを決定する。処理ロジックは、信頼のレベルが信頼値のしきい値レベルを超えるという決定に応答して、堆積プロセス設定のそれぞれのセットの信頼のレベルが、信頼基準のレベルを満足することを決定し得る。いくつかの実施形態において、堆積プロセス設定の複数のセットについての信頼のレベルが、信頼値のしきい値レベルを超え得る。そのような実施形態において、処理ロジックは、信頼基準のレベルが、信頼値のしきい値レベルを超える最も高い信頼のレベルを有する堆積プロセス設定の特定のセットについて満足されることを決定し得る。信頼基準のレベルが堆積設定のそれぞれのセットのいずれについても満足されないことを決定することに応答して、方法500は終了する。信頼基準のレベルが堆積プロセス設定の1つまたは複数のセットのうちの少なくとも1つについて満足されることを決定することに応答して、処理ロジックは、信頼基準のレベルを満足する堆積プロセス設定のそれぞれのセットを識別する。
ブロック522において、処理ロジックは、堆積プロセス設定のそれぞれのセットに従って堆積プロセスの1つまたは複数の動作を実施する。いくつかの実施形態において、基板のための堆積プロセスは、堆積プロセス設定の初期セットと関連付けられ得る。処理ロジックは、堆積プロセス設定のそれぞれのセットのうちの1つまたは複数に対応するように初期プロセス設定のうちの1つまたは複数を修正し、堆積プロセス設定の修正されたセットに従って堆積プロセスを実施し得る。いくつかの実施形態において、処理ロジックは、堆積プロセス設定のそれぞれのセット内の1つまたは複数の堆積設定に対応するように1つまたは複数の初期堆積設定を修正する旨の要求を、製造システムに接続されるクライアントデバイス(例えば、クライアントデバイス120)に送信し得る。要求に従って堆積設定の初期セットを修正する旨のクライアントデバイスからの命令を受信することに応答して、処理ロジックは、先に説明されるように、堆積設定の初期セットを修正し得る。
図6A~図6Cは、基板の表面上に特定の濃度プロファイルを有する例となる膜を堆積させることを例証する。図6Aは、基板302の表面上に堆積される膜620を例証する。膜620は、基板上に形成される一連の層(例えば、層620A、620B、620C、620D)を含む。層620Aは、基板302の表面上に直接形成される材料の第1の層である(近位層と称される)。層620Dは、堆積プロセスの最後に膜のために形成される最終層である(遠位層と称される)。層620Bおよび620Cは、近位層620Aと遠位層620Dとの間に形成される材料の層である。図6Bは、膜620の厚さに基づいた、膜620内の特定の材料(例えば、ホウ素、ケイ素)の濃度を示すグラフである。膜620の各層620A-Dは、膜620の特定の厚さに対応する。例えば、近位層620Aは、膜620の厚さ1に対応し、層620Bは、厚さ2に対応し、層620Cは、厚さ3に対応し、遠位層620Dは、厚さ4に対応する。図6Aおよび図6Bに例証されるように、特定の材料の濃度は、膜620の厚さと共に線形に増加し、近位層620Aにおいては、遠位層620Dに存在するよりも特定の材料のより低い濃度が存在することを示す。
いくつかの実施形態において、膜620の濃度プロファイルは、基板302の表面上に堆積される膜のためのターゲット濃度プロファイルであり得る。先に説明されるように、製造システムのユーザ(例えば、オペレータ)は、膜620のためのターゲット濃度プロファイルと関連付けられたデータを、クライアントデバイスを介して提供し得る。例えば、ユーザは、膜620のターゲット厚さ(例えば、厚さ4)を示すもの、膜620内の特定の材料の初期濃度を示すもの(例えば、近位層620A内の特定の材料の濃度)、および膜620内の特定の材料のための最終濃度(例えば、遠位層620D内の特定の材料の濃度)を提供し得る。製造システムの処理デバイスは、ターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供し得る。先に説明されるように、処理デバイスは、学習済み機械学習モデルの1つまたは複数の出力を獲得し得、この1つまたは複数の出力から、信頼基準を満足する信頼の可能性を有する堆積設定のセットを識別し得る。
図6Cは、膜620のためのターゲット濃度プロファイルについての堆積設定の例となるセットを例証するグラフを描写する。いくつかの実施形態において、図6Cに例証される堆積設定のセットは、先に説明されるように、信頼基準のレベルを満足する堆積設定のセットであり得る。ターゲット濃度プロファイルを有する膜を堆積させるための堆積プロセスは、時間T0で開始し、時間TNで終了し得る。図6Cに例証されるように、膜620の特定の材料の前駆体の流量は、膜620のためのターゲット濃度プロファイルを達成するために、堆積プロセスの長さの間(例えば、T0~TN)非線形の速度で増加することになる。グラフは、堆積プロセスの間の特定のインスタンスについて、ターゲット濃度プロファイルに対応する濃度プロファイルを有する膜を堆積させるために堆積プロセス中に適用されるターゲット材料の前駆体(例えば、ホウ素ベースの前駆体、ケイ素ベースの前駆体など)のための流量設定を例証する。例えば、グラフは、ターゲット濃度プロファイルに対応する濃度プロファイルを有する膜を堆積させるために、前駆体の初期流量が流量FR1であり得ることを示す。時間T0と時間T1との間では、前駆体の流量は、第1のランピングレートで流量FR1から流量FR2へ増加し得る。時間T1と時間T2との間では、前駆体の流量は、第2のランピングレートで流量FR2から流量FR3へ増加し得る、というように続く。処理デバイスは、図6Cに例証される設定に従って基板302の表面上に膜620を堆積させるように堆積プロセスを実施して、膜620のためのターゲット濃度プロファイルを達成し得る。
図7は、本開示の1つまたは複数の態様に従って動作する例証的なコンピュータシステム700のブロック図を描写する。代替の実施形態において、マシンは、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネット、またはインターネット内の他のマシンに接続(例えば、ネットワーク接続)され得る。マシンは、クライアント-サーバネットワーク環境内のサーバもしくはクライアントマシンの立場で、またはピアツーピア(もしくは分散)ネットワーク環境内のピアマシンとして、動作し得る。マシンは、パーソナルコンピュータ(PC)、タブレットコンピュータ、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話、ウェブ家電、サーバ、ネットワークルータ、スイッチもしくはブリッジ、またはそのマシンによってとられる行動を指定する命令のセット(連続的またはその他)を実行する能力がある任意のマシンであり得る。さらに、単一のマシンのみが例証されるが、「マシン」という用語は、本明細書内で論じられる方法論のうちの任意の1つまたは複数を実施するために命令のセット(または複数のセット)を個々にまたはまとめて実行するマシン(例えば、コンピュータ)の任意の集合も含むと見なされるものとする。実施形態において、コンピューティングデバイス700は、図3のシステムコントローラ328、またはコンピュータシステムアーキテクチャ100の別の処理デバイスに対応し得る。
例となるコンピューティングデバイス700は、処理デバイス702、メインメモリ704(例えば、リードオンリメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)同期DRAM(SDRAM)など)、スタティックメモリ706(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、および二次メモリ(例えば、データストレージデバイス728)を含み、これらはバス708を介して互いと通信する。
処理デバイス702は、マイクロプロセッサ、中央処理装置、または同様のものなど、1つまたは複数の汎用プロセッサを表し得る。より詳細には、処理デバイス702は、複雑命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、他の命令セットを実装するプロセッサ、または命令セットの組み合わせを実装するプロセッサであり得る。処理デバイス702はまた、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ、または同様のものなど、1つまたは複数の特殊用途処理デバイスであり得る。処理デバイス802はまた、システムオンチップ(SoC)、プログラム可能なロジック制御装置(PLC)、または他のタイプの処理デバイスであり得るか、またはこれを含み得る。処理デバイス702は、本明細書内で論じられる動作およびステップを実施するための処理ロジックを実行するように構成される。
コンピューティングデバイス700は、ネットワーク764と通信するためのネットワークインターフェースデバイス722をさらに含み得る。コンピューティングデバイス800はまた、ビデオディスプレイ装置710(例えば、液晶ディスプレイ(LCD)または陰極線管(CRT))、英数字入力デバイス712(例えば、キーボード)、カーソル制御デバイス714(例えば、マウス)、および信号生成デバイス720(例えば、スピーカ)を含み得る。
データストレージデバイス728は、本明細書に説明される方法論または機能のうちの任意の1つまたは複数を具現化する命令726の1つまたは複数のセットが格納されるマシン可読記憶媒体(またはより詳細には、非一時的コンピュータ可読記憶媒体)724を含み得る。非一時的記憶媒体は、キャリア波以外の記憶媒体を指す。命令726はまた、メインメモリ704内、および/またはコンピュータデバイス700によるその実行中は処理デバイス702内に、完全に、または少なくとも部分的に存在し得、メインメモリ704および処理デバイス702もまた、コンピュータ可読記憶媒体を構成する。
コンピュータ可読記憶媒体724はまた、モデル190、およびモデル190を訓練するために使用されるデータを格納するために使用され得る。コンピュータ可読記憶媒体724はまた、モデル190を呼び出す方法を含むソフトウェアライブラリを格納し得る。コンピュータ可読記憶媒体724は、例となる実施形態において、単一の媒体として示されるが、「コンピュータ可読記憶媒体」という用語は、命令の1つまたは複数のセットを格納する単一の媒体または複数の媒体(例えば、集中または分散型データベース、ならびに/または関連キャッシュおよびサーバ)を含むと見なされるべきである。「コンピュータ可読記憶媒体」という用語はまた、マシンによる実行のための命令のセットをエンコードおよび格納する能力がある、ならびに本開示の方法論のうちの任意の1つまたは複数をマシンに実施させる、任意の媒体を含むと見なされるものとする。したがって、「コンピュータ可読記憶媒体」という用語は、限定されるものではないが、固体メモリ、ならびに光学および磁気媒体を含むと見なされるものとする。
先の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、特定のシステム、構成要素、方法などの例など、多数の特定の詳細を明記する。しかしながら、本開示の少なくともいくつかの実施形態は、これらの特定の詳細なしに実践され得るということは当業者には明らかである。他の場合において、周知の構成要素または方法は、本開示を不必要に不明瞭にすることを回避するために、詳細には説明されないか、または、単純なブロック図形式で提示される。故に、明記される特定の詳細は、単に例示にすぎない。特定の実装形態は、これらの例示的な詳細とは異なり得、依然として本開示の範囲内であることが企図され得る。
本明細書全体にわたって「1つの実施形態」または「ある実施形態」への言及は、その実施形態と関連して説明される特定の特徴、構造、または特性が、少なくとも1つの実施形態に含まれることを意味する。故に、本明細書全体にわたる様々な場所における「1つの実施形態」または「ある実施形態」という表現の出現は、必ずしもすべて同じ実施形態に言及しているわけではない。加えて、「または」という用語は、排他的な「または」よりもむしろ、包含的な「または」を意味することが意図される。「約」または「およそ」という用語が本明細書内で使用されるとき、これは、提示される公称値が10%内の精度であることを意味することが意図される。
本明細書内の方法の動作は、特定の順序で示され説明されるが、各方法の動作の順序は、特定の動作が逆の順序で実施され得、その結果として、特定の動作が、他の動作と、少なくとも部分的に同時に実施され得るように、変更され得る。別の実施形態において、命令または別個の動作のサブ動作は、断続および/または交互様式であり得る。
上記説明は、例証的であり限定的ではないということが理解される。多くの他の実施形態は、上記説明を読んで理解する際に当業者には明白である。したがって、本開示の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が権利を与えられる等価物の全範囲と共に決定されるべきである。

Claims (20)

  1. 製造システムのプロセスチャンバにおける基板のための堆積プロセスの間、前記基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供することと、
    前記学習済み機械学習モデルの1つまたは複数の出力を獲得することと、
    堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが前記基板上に堆積される前記膜のための前記ターゲット濃度プロファイルに対応する信頼のレベルを示すものを、前記1つまたは複数の出力から決定することと、
    信頼基準のレベルを満足する信頼のレベルを有する前記堆積プロセス設定のそれぞれのセットを識別することに応答して、前記堆積プロセス設定のそれぞれのセットに従って前記堆積プロセスの1つまたは複数の動作を実施することと、を含む方法。
  2. 前記基板の前記表面上に堆積される前記膜のターゲット厚さを示すもの、前記膜の特定の材料のためのターゲット初期濃度を示すもの、および前記膜の前記特定の材料のためのターゲット最終濃度を示すものを受信することと、
    前記ターゲット厚さ、前記ターゲット初期濃度、および前記ターゲット最終濃度に基づいて、前記膜のための前記ターゲット濃度プロファイルを決定することと、をさらに含む、請求項1に記載の方法。
  3. 前記堆積プロセスと関連付けられた1つまたは複数のプロセス制約のセットを、前記学習済み機械学習モデルへの追加入力として提供することをさらに含む、請求項1に記載の方法。
  4. 堆積プロセス設定の各セットは、前記基板の前記表面上に堆積される前記膜のための濃度プロファイルと関連付けられ、前記堆積プロセス設定のそれぞれのセットと関連付けられた前記濃度プロファイルと前記ターゲット濃度プロファイルとの差が差分しきい値を下回るという決定に応答して、前記堆積プロセス設定のそれぞれのセットが、前記ターゲット濃度に対応する、請求項1に記載の方法。
  5. 前記基板のための前記堆積プロセスは、堆積プロセス設定の初期セットと関連付けられ、前記堆積プロセス設定のそれぞれのセットに従って前記堆積プロセスの1つまたは複数の動作を実施することは、前記堆積プロセス設定のそれぞれのセットのうちの1つまたは複数に対応するように前記堆積プロセス設定の初期セットのうちの1つまたは複数を修正することを含む、請求項1に記載の方法。
  6. 前記堆積プロセス設定のそれぞれのセットのうちの前記1つまたは複数に対応するように前記堆積プロセス設定の初期セットのうちの前記1つまたは複数を修正する旨の要求を、前記製造システムに接続されるクライアントデバイスに送信することと、
    前記堆積プロセス設定のそれぞれのセットのうちの1つまたは複数に対応するように前記堆積プロセス設定の初期セットのうちの前記1つまたは複数を修正する旨の命令を、前記クライアントデバイスから受信することと、をさらに含み、前記堆積プロセス設定の初期セットのうちの前記1つまたは複数は、前記受信した命令に従って更新される、請求項5に記載の方法。
  7. 前記堆積プロセス設定のそれぞれのセットは、前記プロセスチャンバのための温度設定、前記プロセスチャンバのための圧力設定、または前記基板の前記表面上に堆積される前記膜の1つもしくは複数の材料の前駆体のための流量設定のうちの少なくとも1つを含む、請求項1に記載の方法。
  8. 前記1つまたは複数の材料の前記前駆体は、ケイ素含有前駆体またはホウ素含有前駆体のうちの少なくとも一方を含む、請求項7に記載の方法。
  9. 前記堆積プロセス設定のそれぞれのセットの前記信頼のレベルは、前記信頼のレベルが信頼値のしきい値レベルを超えるという決定に応答して、前記信頼基準のレベルを満足する、請求項1に記載の方法。
  10. システムであって、
    メモリと、
    前記メモリに結合される処理デバイスと、を備え、前記処理デバイスは、製造システムにおいて現在の基板に対して実施される堆積プロセスのための1つまたは複数の堆積設定を予測するために、機械学習モデルを訓練するための動作を実施することになり、前記動作は、
    前記機械学習モデルのための第1の訓練データを生成することであって、前記第1の訓練データは、前記製造システムにおいて前の基板に対して以前に実施された前の堆積プロセスのための1つまたは複数の前の堆積設定と関連付けられた履歴データを含み、前記前の堆積プロセスは、前の基板の表面上に前の膜を堆積させることを含む、第1の訓練データを生成することと、
    前記機械学習モデルのための第2の訓練データを生成することであって、前記第2の訓練データは、前記前の基板の前記表面上に堆積された前記前の膜のための過去の濃度プロファイルと関連付けられる、第2の訓練データを生成することと、
    前記機械学習モデルを訓練して、前記現在の基板に対して実施される前記堆積プロセスについて、前記堆積プロセスのためのどの堆積設定のセットが、現在の基板の表面上に堆積される膜のためのターゲット濃度プロファイルに対応するかを予測するために、前記第1の訓練データおよび前記第2の訓練データを提供することと、を含む、システム。
  11. 前記前の堆積プロセスのための前記1つまたは複数の前の堆積設定は、前記前の堆積プロセスのための前の温度設定、前記前の堆積プロセスのための前の圧力設定、または前記前の基板の前記表面上に堆積された前記前の膜の1つまたは複数の材料の前駆体のための前の流量設定のうちの少なくとも1つを含む、請求項10に記載のシステム。
  12. 前記1つまたは複数の材料の前記前駆体は、ケイ素含有前駆体またはホウ素含有前駆体のうちの少なくとも一方を含む、請求項11に記載のシステム。
  13. 前記前の膜のための前記過去の濃度プロファイルは、前記前の膜と関連付けられた過去の計測値および前記前の膜と関連付けられた過去の濃度に対応する、請求項10に記載のシステム。
  14. 前記現在の基板のための前記堆積プロセスおよび前記前の基板のための前記前の堆積プロセスは、前記製造システムの特定のプロセスチャンバにおいて各々実施される、請求項10に記載のシステム。
  15. 前記現在の基板のための前記堆積プロセスは、前記製造システムの第1のプロセスチャンバにおいて実施され、前記現在の基板のための前記前の堆積プロセスは、前記製造システムの第2のプロセスチャンバにおいて実施される、請求項10に記載のシステム。
  16. 命令を含む非一時的コンピュータ可読記憶媒体であって、前記命令は、処理デバイスによって実行されるとき、前記処理デバイスに、
    製造システムのプロセスチャンバにおける基板のための堆積プロセスの間、前記基板の表面上に堆積される膜のためのターゲット濃度プロファイルと関連付けられたデータを、学習済み機械学習モデルへの入力として提供することと、
    前記学習済み機械学習モデルの1つまたは複数の出力を獲得することと、
    堆積プロセス設定の1つまたは複数のセットを識別するプロセスレシピデータ、および堆積プロセス設定の各セットについて、堆積プロセス設定のそれぞれのセットが前記基板上に堆積される前記膜のための前記ターゲット濃度プロファイルに対応する信頼のレベルを示すものを、前記1つまたは複数の出力から決定することと、
    信頼基準のレベルを満足する信頼のレベルを有する前記堆積プロセス設定のそれぞれのセットを識別することに応答して、前記堆積プロセス設定のそれぞれのセットに従って前記堆積プロセスの1つまたは複数の動作を実施することと、を行わせる、非一時的コンピュータ可読記憶媒体。
  17. 前記処理デバイスはさらに
    前記基板の前記表面上に堆積される前記膜のためのターゲット厚さを示すもの、前記膜の特定の材料のためのターゲット初期濃度を示すもの、および前記膜の前記特定の材料のためのターゲット最終濃度を示すものを受信することと、
    前記ターゲット厚さ、前記ターゲット初期濃度、および前記ターゲット最終濃度に基づいて前記膜のための前記ターゲット濃度プロファイルを決定することと、を行うことになる、請求項16に記載の非一時的コンピュータ可読記憶媒体。
  18. 前記処理デバイスはさらに
    前記堆積プロセスと関連付けられた1つまたは複数のプロセス制約のセットを、前記学習済み機械学習モデルへの追加入力として提供することを行うことになる、請求項16に記載の非一時的コンピュータ可読記憶媒体。
  19. 堆積プロセス設定の各セットは、前記基板の前記表面上に堆積される前記膜のための濃度プロファイルと関連付けられ、前記堆積プロセス設定のそれぞれのセットと関連付けられた前記濃度プロファイルと前記ターゲット濃度プロファイルとの差が差分しきい値を下回るという決定に応答して、前記堆積プロセス設定のそれぞれのセットが、前記ターゲット濃度に対応する、請求項16に記載の非一時的コンピュータ可読記憶媒体。
  20. 前記基板のための前記堆積プロセスは、堆積プロセス設定の初期セットと関連付けられ、前記堆積プロセス設定のそれぞれのセットに従って前記堆積プロセスの1つまたは複数の動作を実施するために、前記処理デバイスは、前記堆積プロセス設定のそれぞれのセットのうちの1つまたは複数に対応するように前記堆積プロセス設定の初期セットのうちの1つまたは複数を修正することになる、請求項16に記載の非一時的コンピュータ可読記憶媒体。
JP2023553255A 2021-03-03 2022-02-18 機械学習を使用した堆積膜のための濃度プロファイルの制御 Pending JP2024510410A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/191,026 US11532525B2 (en) 2021-03-03 2021-03-03 Controlling concentration profiles for deposited films using machine learning
US17/191,026 2021-03-03
PCT/US2022/017055 WO2022187001A1 (en) 2021-03-03 2022-02-18 Controlling concentration profiles for deposited films using machine learning

Publications (1)

Publication Number Publication Date
JP2024510410A true JP2024510410A (ja) 2024-03-07

Family

ID=83116357

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023553255A Pending JP2024510410A (ja) 2021-03-03 2022-02-18 機械学習を使用した堆積膜のための濃度プロファイルの制御

Country Status (7)

Country Link
US (1) US11532525B2 (ja)
EP (1) EP4301897A1 (ja)
JP (1) JP2024510410A (ja)
KR (1) KR20230151016A (ja)
CN (1) CN116917542A (ja)
TW (1) TW202240024A (ja)
WO (1) WO2022187001A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862057A (en) * 1996-09-06 1999-01-19 Applied Materials, Inc. Method and apparatus for tuning a process recipe to target dopant concentrations in a doped layer
US6159758A (en) * 1999-07-09 2000-12-12 Lucent Technologies Inc. Method of improving laser yield for target wavelengths in epitaxial InGaAsP lasers based upon the thermal conductivity of the InP substrate
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
DE102007030052B4 (de) * 2007-06-29 2015-10-01 Advanced Micro Devices, Inc. Automatische Abscheideprofilzielsteuerung
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
WO2018055724A1 (ja) * 2016-09-23 2018-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10541122B2 (en) 2017-06-13 2020-01-21 Mks Instruments, Inc. Robust ion source
JP7141892B2 (ja) 2018-09-03 2022-09-26 株式会社プレテック エッチング装置及びエッチング方法
US10705514B2 (en) 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US20220205105A1 (en) * 2019-02-15 2022-06-30 Lam Research Corporation Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes
EP3994526A1 (en) 2019-07-03 2022-05-11 ASML Netherlands B.V. Method for applying a deposition model in a semiconductor manufacturing process
US11989495B2 (en) * 2020-12-31 2024-05-21 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology

Also Published As

Publication number Publication date
US11532525B2 (en) 2022-12-20
EP4301897A1 (en) 2024-01-10
CN116917542A (zh) 2023-10-20
US20220285232A1 (en) 2022-09-08
WO2022187001A1 (en) 2022-09-09
KR20230151016A (ko) 2023-10-31
TW202240024A (zh) 2022-10-16

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
US11586160B2 (en) Reducing substrate surface scratching using machine learning
JP2024510410A (ja) 機械学習を使用した堆積膜のための濃度プロファイルの制御
US20230118964A1 (en) Controlling concentration profiles for deposited films using machine learning
JP2024510568A (ja) プロセスチャンバ健康状態モニタリングおよび仮想モデルを使用した診断のためのシステムおよび方法
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230350394A1 (en) Run-to-run control at a manufacturing system using machine learning
US20230062206A1 (en) Determining substrate profile properties using machine learning
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20240069537A1 (en) Substrate placement optimization using substrate measurements
TW202334767A (zh) 用於製程配方最佳化的方法及機制

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231101