TW202245091A - 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法 - Google Patents

用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法 Download PDF

Info

Publication number
TW202245091A
TW202245091A TW111107945A TW111107945A TW202245091A TW 202245091 A TW202245091 A TW 202245091A TW 111107945 A TW111107945 A TW 111107945A TW 111107945 A TW111107945 A TW 111107945A TW 202245091 A TW202245091 A TW 202245091A
Authority
TW
Taiwan
Prior art keywords
data
subsystem
processing chamber
machine learning
learning model
Prior art date
Application number
TW111107945A
Other languages
English (en)
Inventor
德莫特 坎特維爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202245091A publication Critical patent/TW202245091A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24147Distances to closest patterns, e.g. nearest neighbour classification
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24133Distances to prototypes
    • G06F18/24137Distances to cluster centroïds
    • G06F18/2414Smoothing the distance, e.g. radial basis function networks [RBFN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/243Classification techniques relating to the number of classes
    • G06F18/2433Single-class perspective, e.g. one-against-all classification; Novelty detection; Outlier detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/02Knowledge representation; Symbolic representation
    • G06N5/022Knowledge engineering; Knowledge acquisition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Software Systems (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Medical Informatics (AREA)
  • Computational Linguistics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

方法包括以下步驟:藉由處理器獲得複數個感測器值,該複數個感測器值與根據配方在處理腔室中執行以在基板的表面上沉積膜的沉積製程相關聯。方法進一步包括以下步驟:將機器學習模型應用到複數個感測器值,機器學習模型係基於處理腔室的子系統的歷史感測器資料及與用於沉積膜的配方相關聯的任務資料而經訓練的。方法進一步包括以下步驟:產生機器學習模型的輸出,其中輸出指示子系統的健康狀況。

Description

用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
本申請案涉及電氣部件;更具體來說,本申請案涉及使用虛擬模型監測處理腔室的健康狀況並提供診斷。
可藉由使用製造設備來執行一或多個製造製程來生產產品。例如,半導體製造設備可用於藉由半導體製造製程生產半導體元件(例如,基板和晶圓等)。製造設備可在基板表面沉積多層薄膜,且可進行蝕刻處理以在沉積薄膜中形成複雜圖案。例如,製造設備可執行化學氣相沉積(CVD)製程以在基板上沉積替代層。感測器可用於在製造過程期間決定製造設備的製造參數,而計量設備可用於決定由製造設備所生產的產品的特性資料,如基板上的層的總厚度。一般來說,製造設備可監控各個感測器以偵測沉積處理期間的問題。然而,監測單個感測器並不能提供對製造設備之不同子系統的整體健康狀況的指示,這可能會使惡化條件未被偵測到,從而導致大量的停機和維修時間。因此,需要一種能夠在製造過程期間產生指示每個子系統的整體系統健康狀況的指標的系統。
以下是本申請案的簡化概述,以便提供對本申請案的一些態樣的基本理解。[發明內容]不是本申請案內容的廣泛概述。[發明內容]既不旨在識別本申請案的關鍵或必要要素,也不旨在描述本申請案的特定實施方式的任何範圍或請求項的任何範圍。[發明內容]的唯一目的是以簡化形式呈現本申請案的一些概念,作為稍後呈現的[實施方式]的前奏。
在本申請案的態樣中,方法包括以下步驟:藉由處理器獲得與在處理腔室中執行以在基板的表面上沉積膜的沉積處理相關聯的感測器資料。感測器資料包括與處理腔室的子系統相關聯的感測器值。方法進一步包括以下步驟:獲得與沉積膜的配方相關聯的任務資料。方法進一步包括以下步驟:使用基於感測器資料和任務資料的訓練集來訓練機器學習模型。訓練機器學習模型以產生指示子系統的預期感測器值的預測資料。
在本申請案的另一態樣中,方法包括以下步驟:藉由處理器獲得與在處理腔室中執行以在基板的表面上沉積膜的沉積處理相關聯的複數個感測器值。方法進一步包括以下步驟:將機器學習模型應用於複數個感測器值,機器學習模型基於處理腔室的子系統的歷史感測器資料和與沉積膜的配方相關聯的任務資料進行訓練。方法進一步包括以下步驟:產生機器學習模型的輸出,其中輸出指示子系統的健康狀況。
在本申請案的另一態樣中,系統包括記憶體及處理裝置,此處理裝置可操作地耦合到記憶體裝置以執行操作,此等操作包括以下操作:藉由處理器獲得與在處理腔室中執行以在基板的表面上沉積膜的沉積處理相關聯的複數個感測器值。執行操作進一步包括以下操作:將機器學習模型應用於複數個感測器值,機器學習模型基於處理腔室的子系統的歷史感測器資料和與沉積膜的配方相關聯的任務資料進行訓練。執行操作進一步包括以下操作:產生機器學習模型的輸出,其中輸出指示子系統的健康狀況。
本文描述的是針對用於監測處理腔室的健康狀況和使用虛擬模型提供診斷的系統和方法的技術。在製造系統的處理腔室處執行沉積製程(例如,沉積(CVD)製程、原子層沉積(ALD)製程等)期間,可將膜沉積在基板的表面上。例如,在CVD製程中,將基板暴露於一種或多種前驅物,一種或多種前驅物在基板表面上反應以產生所需的沉積物。膜可包括在沉積製程期間形成的一層或多層材料,且每一層可包括特定的厚度梯度(例如,沿沉積膜的層的厚度變化)。例如,第一層可直接形成在基板的表面上(稱為膜的近端層或近端)且具有第一厚度。在基板表面形成第一層後,可在第一層上形成具有第二厚度的第二層。此過程一直持續,直到完成沉積製程並形成膜的最終層(稱為膜的遠端層或遠端)為止。膜可包括不同材料的交替層。例如,膜可包括交替的氧化物層和氮化物層(氧化物-氮化物-氧化物-氮化物疊層或ONON疊層)、交替的氧化物和多晶矽層(氧化物-多晶矽-氧化物-多晶矽疊層或OPOP疊層)等。接著,可對膜進行(例如)蝕刻製程以在基板表面上形成圖案、對膜進行(例如)化學機械拋光(CMP)製程以使膜的表面平滑,或對膜進行製造成品基板所需的任何其它製程。
處理腔室可具有在每個基板製造製程(例如,沉積製程、蝕刻製程及拋光製程等)期間操作的多個子系統。子系統可表徵為與處理腔室的操作參數相關的一組感測器。操作參數可以是溫度、流速及壓力等。在示例中,壓力子系統可表徵為一或多個感測器,其測量氣體流量、腔室壓力、控制閥角度、前級管道(泵之間的真空管道)壓力及泵速度等。因此,處理腔室可包括壓力子系統、流動子系統及溫度子系統等。每個子系統都可能出現退化並偏離最佳效能條件。例如,壓力子系統可能由於泵問題和控制閥問題等中的一或多個問題而產生減壓。
現有系統使用單個感測器值的極限檢查,來偵測處理腔室中的故障。例如,現有系統可監控感測器值是否低於或超過預定閾值(例如,溫度感測器超過預定溫度閾值)。然而,低於或超過預定閾值的感測器值並不表示處理腔室子系統的整體健康狀況,處理腔室子系統的整體健康狀況係取決於子系統的每個感測器和組件。子系統的健康狀況可表徵為與子系統的預期行為(預期感測器值)相比的子系統的當前行為(當前感測器值)。因此,現有系統不能有效地監測處理腔室的每個子系統的健康狀況。此外,現有系統無法有效地提供對「不健康」子系統(當前行為超過與預期行為相關的閾值的子系統和多個感測器正在經歷故障的子系統等)的診斷。
本申請案的態樣和實施方式藉由訓練能夠監測和指示處理腔室的每個子系統的健康狀況並提供診斷的機器學習模型,來解決現有技術的這些和其他缺點。在一些實施例中,本申請案的系統獲得與在處理腔室中執行以在基板表面上沉積膜的先前沉積處理相關聯的感測器資料。感測器資料可包括與處理腔室的子系統相關聯的感測器值。接著,系統獲得與用於沉積膜的配方相關聯的任務資料,並將任務資料映射到感測器資料以產生訓練集。系統可使用訓練集訓練機器學習模型,以產生指示子系統的預期感測器值的預測資料。
在一些實施例中,系統將機器學習模型應用於當前感測器值,以產生指示子系統的健康狀況的輸出。在一些實施例中,輸出是純量值,其指示處理腔室子系統的預期行為與處理腔室子系統的實際行為之間的差異。在一些實施例中,系統使用變換函數,將輸出轉換為預定義範圍內的代表值。代表值提供使用者友善的子系統健康狀況的表示。在一些實施例中,機器學習模型產生純量值的向量化版本,其指示與處理腔室子系統相關聯的故障模式。接著,系統可將故障模式與已知故障模式庫進行比較,以決定子系統所經歷的故障類型。在一些實施例中,系統執行改正動作,以基於故障模式調整沉積製程配方的一或多個參數(例如,處理腔室的溫度設置、處理腔室的壓力設置、包括在沉積在基板表面上的膜中的材料前驅物的流速設置,及諸如此類)。
本申請案的態樣導致顯著減少在製造基板期間偵測不健康的處理腔室子系統所需的時間及改進能量消耗等的技術優勢。本申請案亦可導致產生診斷資料和執行改正動作以避免不一致、異常的產品和計劃外的使用者時間。
圖1描繪根據本申請案的態樣的示例性的電腦系統架構100。在一些實施例中,可包括電腦系統架構100以作為用於處理基板的製造系統(如圖3的製造系統300)的一部分。電腦系統架構100包括客戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如,產生預測資料、提供模型適配及使用知識庫等)和資料存儲140。預測伺服器112可以是預測系統110的一部分。預測系統110可進一步包括伺服器機器170和180。製造設備124可包括感測器126,感測器126經配置為捕獲用於在製造系統處經處理的基板的資料。在一些實施例中,製造設備124和感測器126可以是感測器系統的一部分,感測器系統包括感測器伺服器(例如,製造設施處的現場服務伺服器(FSS))和感測器辨識符讀取器(例如,用於感測器系統的前開式晶圓傳送盒(FOUP)射頻識別(RFID)讀取器)。在一些實施例中,計量設備128可以是計量系統的一部分,計量系統包括計量伺服器(例如,計量資料庫和計量文件夾等)及計量辨識符讀取器(例如,用於計量系統的FOUP RFID讀取器)。
製造設備124可按照配方或在一段時間內執行運行來生產如電子設備的產品。製造設備124可包括處理腔室,如關於圖4描述的處理腔室400。製造設備124可在處理腔室處對基板(例如,晶圓等)進行處理。基板製程的示例包括在基板表面上沉積一層或多層膜的沉積製程及在基板表面上形成圖案的蝕刻製程等。製造設備124可根據製程執行每個製程配方。製程配方定義在製程期間要對基板執行的一組特定操作,且製程配方可包括與每個操作相關的一或多個設置。例如,沉積處理配方可包括處理腔室的溫度設置、處理腔室的壓力設置及包括在經沉積在基板表面上的膜中的材料的前驅物的流速設置等。
在一些實施例中,製造設備124包括感測器126,感測器126經配置為產生與在製造系統100處處理的基板相關聯的資料。例如,處理腔室可包括一或多個感測器,一或多個感測器經配置為產生與在執行處理(例如,沉積製程)之前、期間及/或之後的基板相關聯的光譜或非光譜資料。在一些實施例中,由感測器126產生的光譜資料可指示經沉積在基板表面上的一種或多種材料的濃度。經配置為產生與基板相關聯的光譜資料的感測器126可包括反射感測器、橢圓感測器、熱光譜感測器及電容感測器等。經配置為產生與基板相關聯的非光譜資料的感測器126可包括溫度感測器、壓力感測器、流速感測器及電壓感測器等。圖3及圖4提供關於製造設備124的進一步細節。
在一些實施例中,感測器126提供與製造設備124相關聯(例如,與藉由製造設備124生產的相應產品(如晶圓)相關聯)的感測器資料(感測器值、特徵、追蹤資料)。製造設備124可按照配方或在一段時間內執行運行以生產產品。在一段時間內接收的感測器資料(例如,對應於配方或運行的至少一部分)可稱為隨時間從不同感測器126接收的追蹤資料(例如,歷史追蹤資料及當前追蹤資料等)。感測器資料可包括溫度(例如加熱器溫度)、間距(SP)、壓力、高頻射頻(HFRF)、靜電卡盤電壓(ESC)、電流、材料流量、功率、電壓等中的一或多者的值。感測器資料可與如硬體參數的製造參數相關聯或指示此等製造參數,此等硬體參數如製造設備124的設置或組件(例如,尺寸及類型等)或製造設備124的處理參數。可在製造設備124執行製造處理時提供感測器資料(例如,處理產品時的設備讀數)。每個基板的感測器資料可能不同。
計量設備128可提供與由製造設備124處理的基板相關聯的計量資料。計量資料可包括膜特性資料(例如,晶圓空間膜特性)、尺寸(例如,厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等的值。在一些實施例中,計量資料可進一步包括一或多個表面輪廓特性資料(例如,蝕刻速率、蝕刻速率均勻性、包括在基板表面上的一或多個特徵的臨界尺寸、基板表面上的臨界尺寸均勻性和邊緣放置誤差等)的值。計量資料可以是成品或半成品。每種基板的計量資料可不同。可使用例如反射測量技術、橢偏技術和TEM技術等來產生計量資料。
在一些實施例中,可包括計量設備128作為製造設備124的一部分。例如,可將計量設備128包括在處理腔室內部或耦合到處理腔室,並將計量設備128配置為在將基板保留在處理腔室中的同時,在製程(例如,沉積製程和蝕刻製程等)之前、期間及/或之後產生基板的計量資料。在這種情況下,可將計量設備128可稱為原位計量設備。在另一個示例中,計量設備128可經耦合到製造設備124的另一個站。例如,計量設備可經耦合到如圖3的傳送腔室310的轉移腔室、如裝載閘320的裝載閘,或如工廠介面306的工廠介面。在此情況下,可將計量設備128稱為整合計量設備。在其他或類似實施例中,計量設備128不耦合到製造設備124的站。在此情況下,可將計量設備128稱為在線計量設備或外部計量設備。在一些實施例中,整合計量設備及/或在線計量設備經配置為在製程之前及/或之後產生基板的計量資料。
客戶端裝置120可包括計算裝置,如個人電腦(PC)、膝上型電腦、行動電話、智慧型手機、平板電腦、輕省筆記型電腦、網路連接的電視(「智慧型TV」)、網路連接的媒體播放器(例如,藍光播放器)、機上盒、過頂內容(OTT)串流媒體裝置及操作盒等。在一些實施例中,可從客戶端裝置120接收計量資料。客戶端裝置120可顯示圖形使用者介面 (GUI),其中GUI讓使用者能夠為在製造系統中處理的基板提供計量測量值作為輸入。客戶端裝置120可包括改正動作組件122。改正動作組件122可(例如,經由客戶端裝置120顯示的圖形使用者界面(GUI))接收與製造設備124相關聯的指示的使用者輸入。在一些實施例中,改正動作組件122將指示傳送到預測系統110、接收來自預測系統110的輸出(例如,預測資料)、基於輸出決定改正動作,並導致執行改正動作。在一些實施例中,改正動作組件122從預測系統110接收改正動作的指示,且使改正動作被實施。每個客戶端裝置120可包括允許使用者產生、查看或編輯資料(例如,與製造設備124相關聯的指示及與製造設備124相關聯的改正動作等)中的一者或多者的作業系統。
資料存儲140可以是記憶體(例如,隨機存取記憶體)、驅動器(例如,硬碟驅動器和快閃驅動器)、資料庫系統或另一類型的能夠存儲資料的組件或裝置。資料存儲140可包括可跨越多個計算裝置(例如,多個伺服器電腦)的多個存儲組件(例如,多個驅動器或多個資料庫)。資料存儲140可儲存與在製造設備124處處理基板相關聯的資料。例如,資料存儲140可儲存由製造設備124處的感測器126在基板製程之前、期間或之後收集的資料(稱為製程資料)。製程資料可以指歷史製程資料(例如,為在製造系統處處理的先前基板產生的製程資料)及/或當前製程資料(例如,為在製造系統處處理的當前基板產生的製程資料)。資料存儲亦可存儲與在製造設備124處處理的基板的一部分相關聯的光譜資料或非光譜資料。光譜資料可包括歷史光譜資料及/或當前光譜資料。
資料存儲140亦可存儲與在製造系統處處理的一或多個基板相關聯的情境資料。情境資料可包括配方名稱、配方步驟編號、預防性維護指示符和操作員等。情境資料可指歷史情境資料(例如,與為在先前基板執行的先前製程相關聯的情境資料)及/或當前製程資料(例如,與當前製程或要為先前基板執行的未來製程相關聯的情境資料)。情境資料可進一步包括辨識與處理腔室的特定子系統相關聯的感測器。
資料存儲140亦可存儲任務資料。任務資料可包括要在沉積製程期間對基板執行的一組或多組操作,且可包括與每個操作相關聯的一或多個設置。例如,沉積製程的任務資料可包括處理腔室的溫度設置、處理腔室的壓力設置和經沉積在基板上的膜材料的前驅物的流速設置等。在另一個示例中,任務資料可包括控制用於流量值的定義壓力點的壓力。任務資料可指歷史任務資料(例如,與對先前基板執行的先前製程相關聯的任務資料)及/或當前任務資料(例如,與當前製程或要為基板執行的未來製程相關聯的任務資料)。
在一些實施例中,資料存儲140可經配置為存儲製造系統的使用者不可存取的資料。例如,製造系統的使用者(例如,操作員)不能存取針對在製造系統處處理的基板而獲得的製程資料、光譜資料和情境資料等。在一些實施例中,存儲在資料存儲140處的所有資料都不能由製造系統的使用者存取。在其他或類似的實施例中,存儲在資料存儲140的資料的一部分可能不能由使用者存取,而存儲在資料存儲140的另一部分資料可由使用者存取。在一些實施例中,可使用使用者未知的加密機制來加密存儲在資料存儲140處的資料的一或多個部分(例如,使用私有加密密鑰對資料進行加密)。在其他或類似的實施例中,資料存儲140可包括多個資料存儲,其中使用者不可存取的資料經存儲在一或多個第一資料存儲中,且使用者可存取的資料經存儲在一或多個第二資料存儲中。
在一些實施例中,資料存儲140可經配置為存儲與已知故障模式相關聯的資料。故障模式可以是與一或多個問題或故障(其與處理腔室子系統相關聯)相關聯的向量值。在一些實施例中,故障模式可與改正動作相關聯。例如,故障模式可包括參數調整步驟以糾正由故障模式指示的問題或故障。將在下文中的圖7中更詳細地解釋故障模式。
在一些實施例中,預測系統110包括預測伺服器112、伺服器機器170和伺服器機器180。預測伺服器112、伺服器機器170和伺服器機器180可各自包括一或多個計算裝置,如機架式伺服器,路由器電腦、伺服器電腦、個人電腦、大型電腦、膝上型電腦、平板電腦、桌上型電腦、圖形處理單元 (GPU)、加速器專用積體電路 (ASIC)(例如,張量處理單元(TPU))等。
伺服器機器170包括訓練集產生器172,訓練集產生器172能夠產生訓練資料集(例如,一組資料輸入和一組目標輸出)以訓練、驗證及/或測試機器學習模型190。機器學習模型190可以是任何能夠從資料中學習的演算法模型。下文參考圖2詳細描述資料集產生器172的一些操作。在一些實施例中,資料集產生器172可將訓練資料劃分為訓練集、驗證集和測試集。在一些實施例中,預測系統110產生多組訓練資料。
伺服器機器180可包括訓練引擎182、驗證引擎184、選擇引擎185及/或測試引擎186。引擎可以指硬體(例如,電路、專用邏輯、可程式化邏輯、微碼及處理裝置等)、軟體(如在處理裝置、通用電腦系統或專用機器上運行的指令)、韌體、微代碼或上述的組合。訓練引擎182可以能夠訓練一或多個機器學習模型190。機器學習模型190可指代由訓練引擎182使用訓練資料(在本文中亦稱為訓練集)創建的模型工件,模型工件中包括訓練輸入和相應的目標輸出(用於相應訓練輸入的正確答案)。訓練引擎182可在訓練資料中找到將訓練輸入映射到目標輸出(要預測的答案)的模式,並提供捕捉這些模式的機器學習模型190。機器學習模型190可使用統計建模、支持向量機(SVM)、徑向基函數(RBF)、聚類、監督機器學習、半監督機器學習、無監督機器學習、K-最近鄰算法(k-NN)、線性迴歸、隨機森林、神經網路(例如人工神經網路)等中的一者或多者。
驗證引擎 184 能夠使用來自訓練集產生器 172 的驗證集的相應特徵集來驗證機器學習模型 190。驗證引擎 184 可基於驗證集的相應特徵集來決定機器學習模型190的準確度。驗證引擎184可丟棄具有不滿足閾值準確度的準確度的經過訓練的機器學習模型190。在一些實施例中,選擇引擎185能夠選擇具有滿足閾值準確度的準確度的經過訓練的機器學習模型190。在一些實施例中,選擇引擎185能夠選擇在經過訓練的機器學習模型190中具有最高準確度的經過訓練的機器學習模型190。
測試引擎186能夠使用來自資料集產生器172的測試集的相應特徵集,來測試經過訓練的機器學習模型190。例如,可使用測試集的第一組特徵來測試使用訓練集的第一組特徵所訓練的第一經過訓練的機器學習模型190。測試引擎186可基於測試集,來決定在所有經過訓練的機器學習模型中具有最高準確度的經過訓練的機器學習模型190。
如下文詳細描述地,預測伺服器112包括預測組件114,預測組件114能夠提供指示處理腔室的每個子系統的預期行為的資料,並在當前感測器上運行經過訓練的機器學習模型190資料輸入以獲得一或多個輸出。預測伺服器112可進一步提供指示處理腔室子系統的健康狀況和診斷的資料。這將在下文中更詳細地解釋。
客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料存儲140、伺服器機器170和伺服器機器180可藉由網路130彼此耦合。在一些實施例中,網路130是向客戶端裝置120提供對預測伺服器112、資料存儲140和其他公共可用計算裝置的存取的公共網路。在一些實施例中,網路130是向客戶端裝置120提供對製造設備124、計量設備128、資料存儲140和其他私有可用計算裝置的存取的專用網路。網路130可包括一或多個廣域網路(WAN)、局部網路(LAN)、有線網路(例如,乙太網路)、無線網路(例如,802.11網路或Wi-Fi網路)、蜂巢式網路(例如,長期演進 (LTE) 網路)、路由器、集線器、交換機、伺服器電腦、雲計算網路及/或上述的組合。
應當注意,在一些其他實施方式中,伺服器機器170和180和預測伺服器112的功能可由較少數量的機器提供。例如,在一些實施例中,可將伺服器機器170和180整合到單個機器中,而在一些其他或類似實施例中,可將伺服器機器170和180和預測伺服器112整合到單個機器中。
一般來說,在一個實施方式中描述為由伺服器機器170、伺服器機器180及/或預測伺服器112執行的功能也可在客戶端裝置120上執行。此外,歸屬於特定組件的功能可以是由不同的或多個一起運行的組件執行。
在實施例中,可將「使用者」表示為單個個體。然而,本申請案的其他實施例涵蓋「使用者」,其是由多個使用者及/或自動化源控制的實體。例如,作為一組管理員聯合的一組個人使用者可被視為「使用者」。
圖2是根據本申請案的態樣的用於訓練機器學習模型的方法200的流程圖。方法200由處理邏輯執行,處理邏輯可包括硬體(電路系統和專用邏輯等)、軟體(如在通用電腦系統或專用機器上的運行)、韌體或上述硬體、軟體和韌體的某種組合。在一種實施方式中,可由電腦系統執行方法200,電腦系統如為圖1的電腦系統架構100。在其他或類似的實施方式中,可由圖中未描繪的一或多個其他機器執行方法200的一或多個操作。在一些態樣中,可由伺服器機器170、伺服器機器180及/或預測伺服器112執行方法200的一或多個操作。
為了簡單解釋,這些方法被描繪和描述為一系列動作。然而,根據本申請案的動作可用各種順序及/或同時發生,且可與本文未呈現和描述的其他動作一起發生。此外,並非所有圖示的動作都可執行以實施根據所揭露標的的方法。此外,所屬技術領域中具有通常知識者將暸解和理解,這些方法可替代地藉由狀態圖或事件表示為一系列相互關聯的狀態。此外,應當理解,本說明書中所揭露的方法能夠存儲在製品上,以促進將這些方法運輸和轉移到計算裝置。如本文所使用,術語製品旨在涵蓋可從任何電腦可讀取裝置或存儲媒體存取的電腦程式。
在方框 210處,處理邏輯將訓練集 T 初始化為空集 (例如,{})。
在方框212處,處理邏輯獲得與經執行以在先前基板的表面上沉積一層或多層膜的先前沉積製程相關聯的感測器資料(例如,感測器值、特徵和軌跡資料)。感測器資料可進一步與處理腔室的子系統相關聯。子系統可表徵為與處理腔室的操作參數相關聯的一組感測器。操作參數可以是溫度、流速和壓力等。例如,壓力子系統可表徵為一或多個測量氣體流量、腔室壓力、控制閥角度、前級管道(泵之間的真空管道)壓力和泵速等的感測器。每個處理腔室可包括多個不同的子系統,如壓力子系統、流量子系統和溫度子系統等。
在一些實施例中,與沉積製程相關聯的感測器資料是與一或多個先前沉積設置(其用於先前在製造系統處對先前基板執行的先前沉積製程)相關聯的歷史資料。例如,歷史資料可以是經存儲在資料存儲140處的與先前沉積製程相關聯的歷史情境資料。在一些實施例中,一或多個先前沉積設置可包括先前沉積製程的先前溫度設置、先前沉積設置的先前壓力設置、經沉積在先前基板表面上的先前膜的一種或多種材料的前驅物的先前流速設置,或與沉積製程相關聯的任何其他設置中的至少一者。流速設置可指前驅物在先前沉積製程的初始實例的流速設置(稱為初始流速設置)、前驅物在先前沉積製程的最終實例的流速設置(稱為最終流速設置),或沉積製程期間前驅物流速的斜坡速率。在一個示例中,先前膜的前驅物可包括含硼前驅物或含矽前驅物。在一些實施例中,感測器資料亦可與在先前基板上執行的先前蝕刻製程或在處理腔室中執行的任何其他製程相關聯。
在方框214處,處理邏輯獲得與經沉積在先前基板的表面上的膜的配方相關聯的任務資料。例如,任務資料可以是經沉積在基板上的膜材料的前驅物所需的溫度設置、壓力設置和流速設置等。任務資料可包括經沉積在先前基板的表面上的先前膜的歷史任務資料。在一些實施例中,先前膜的歷史任務資料可對應於與先前膜的配方相關聯的歷史任務值。根據先前描述的實施例,處理邏輯可從資料存儲140獲得任務資料。
在方框216處,處理邏輯基於所獲得的感測器資料(其與為先前基板執行的先前沉積製程相關聯),產生第一訓練資料。在方框218處,處理邏輯基於任務資料(其與經沉積在先前基板表面上的膜的配方相關聯),產生第二訓練資料。
在方框220處,處理邏輯產生第一訓練資料和第二訓練資料之間的映射。映射是指第一訓練資料(其包括或基於用於在先前基板執行的先前沉積製程的資料)和第二訓練資料(其包括或基於與經沉積在先前基板的表面上的膜的配方相關聯的任務資料),其中第一訓練資料與第二訓練資料相關聯(或第一訓練資料映射到第二訓練資料)。在方框224處,處理邏輯將映射添加到訓練集T。
在方框226處,處理邏輯決定訓練集T是否包括足夠量的訓練資料來訓練機器學習模型。需要說明的是,在一些實施方式中,可簡單地根據訓練集中映射的數量來決定訓練集T的充分性,而在其他一些實施方式中,可根據一或多個除了輸入/輸出映射的數量之外(或代替輸入/輸出映射的數量)的其他標準(例如,訓練示例的多樣性度量等),來決定訓練集T的充分性。回應於決定訓練集不包括足夠量的訓練資料來訓練機器學習模型,方法200返回方框212。回應於決定訓練集T包括足夠量的訓練資料來訓練機器學習模型,方法200繼續到方框228。
在方框228處,處理邏輯提供訓練集T以訓練機器學習模型。在一種實施方式中,將訓練集T提供給伺服器機器180的訓練引擎182以執行訓練。例如,在神經網路的情況下,將給定輸入/輸出映射的輸入值輸入到神經網路,且將輸入/輸出映射的輸出值存儲在神經網路的輸出節點中。接著,根據學習演算法(例如,反向傳播等)調整神經網路中的連接權重,並對訓練集 T 中的其他輸入/輸出映射重複此過程。
在一些實施例中,處理邏輯可執行異常值偵測方法,以在訓練機器學習模型之前從訓練集T中去除異常。離群值偵測方法可包括辨識與大多數訓練資料顯著不同的值的技術。這些值可由錯誤和雜訊等產生。
作為說明性示例,機器學習模型190可使用k-NN演算法,使用訓練集T來產生處理腔室子系統的預測資料(例如,在理想或接近理想操作參數下的預期行為)。具體來說,藉由k-NN演算法,處理邏輯可決定由(多個)訓練集定義的多維空間的決策邊界。在美國專利號 9,910,430 中描述了 k-NN 演算法的一個示例,此專利的全部內容以引用的方式併入本文中。接著,可使用決策邊界將處理腔室子系統的任何當前(實際或測量)行為感測器資料與預期行為值進行比較,以產生預測資料。
在方框230處,處理邏輯對經過訓練的機器學習模型執行校準處理。在一些實施例中,處理邏輯可基於預測行為和當前行為之間的值的差異,將處理腔室子系統的預期行為與處理腔室子系統的當前行為進行比較。例如,處理邏輯可分別地將與壓力子系統、流量子系統或溫度子系統的預測資料相關聯的一或多個值與和壓力子系統、流量子系統或溫度子系統的當前量測行為相關聯的一或多個值進行比較。在方框230之後,機器學習模型可用於產生與處理腔室子系統的預期行為相關聯的一或多個值。可將與預期行為相關聯的一或多個值與處理腔室子系統的當前(實際)行為進行比較以產生預測資料。預測資料可包括指示處理腔室子系統的健康狀況的資料。這將在下文的圖5中更詳細地解釋。
在一些實施例中,製造系統可包括多於一個的處理腔室。例如,圖3的示例製造系統300示出多個處理腔室314、316、318。應當注意,在一些實施例中,為訓練機器學習模型而獲得的資料和收集以作為機器學習模型的輸入提供的資料可與製造系統的相同處理腔室相關聯。在其他或類似實施例中,為訓練機器學習模型而獲得的資料和收集以作為機器學習模型的輸入的資料可與製造系統的不同處理腔室相關聯。在其他或類似的實施例中,為訓練機器學習模型而獲得的資料可與第一製造系統的處理腔室相關聯,且收集以作為機器學習模型的輸入提供的資料可與第二製造系統的處理腔室相關聯。
圖3是根據本申請案的態樣的示例性的製造系統300的俯視示意圖。製造系統300可在基板302上執行一或多個製程。基板302可以是任何合適的剛性的、固定尺寸的平面製品,例如適用於在其上製作電子元件或電路組件的含矽圓盤或晶圓、圖案化的晶圓和玻璃板等。
製造系統300可包括處理工具304和經耦合到處理工具304的工廠介面306。處理工具304可包括其中具有傳送腔室310的外殼308。傳送腔室310可包括一或多個處理腔室(也稱為多個處理腔室)314、316、318,其經設置在其周圍並與其耦合。處理腔室314、316、318可藉由如狹縫閥等的相應端口耦合到傳送腔室310。傳送腔室310亦可包括傳送腔室機器人312,傳送腔室機器人312經配置為在處理腔室314、316、318、裝載閘320等之間傳送基板302。傳送腔室機器人312可包括一或多個臂,其中每個臂包括一或多個在每條臂的末端的端效器。端效器可經配置為處理特定物體,如晶圓。
處理腔室314、316、318可經調適成在基板302上執行任意數量的製程。相同或不同的基板製程可發生在每個處理腔室314、316、318中。基板製程可包括原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物去除等。可在其中的基板上進行其他製程。處理腔室314、316、318可各自包含一或多個感測器,其經配置以在基板處理之前、之後或期間捕獲基板302的資料。例如,一或多個感測器可經配置為在基板處理期間捕獲基板302的一部分的光譜資料及/或非光譜資料。在其他或類似的實施例中,一或多個感測器可經配置為在基板處理之前、之後或期間捕獲與處理腔室314、316、318內的環境相關聯的資料。例如,一或多個感測器可經配置為在基板製程期間捕獲與處理腔室314、316、318內的環境的溫度、壓力、氣體濃度等相關的資料。
裝載閘 320 亦可耦接到外殼 308 和轉移腔室 310。裝載閘 320 可經配置為在一側上與轉移腔室 310互接並耦接,並與工廠介面 306 互接並耦接。在一些實施例中,裝載閘 320 可具有環境控制的大氣,其可從真空環境(其中基板可轉移到傳送腔室310和從傳送腔室310轉移)改變到大氣壓或接近大氣壓的惰性氣體環境(其中基板可轉移到工廠介面306和從工廠介面306轉移)。工廠介面306 可以是任何合適的外殼,例如設備前端模組(EFEM)。工廠介面 306 可經配置為從停靠在工廠介面 306 的各個裝載端口 324 處的基板載體 322 (例如,前開式晶圓傳送盒 (FOUP)) 接收基板 302。工廠介面機器人 326 (以虛線顯示) 可經配置為在載體(也稱為容器)322和裝載閘320之間傳送基板302。載體322可以是基板存儲載體或替換部件存儲載體。
製造系統300進一步亦可連接到客戶端裝置(未示出),客戶端裝置經配置為向使用者(例如,操作員)提供關於製造系統300的資訊。在一些實施例中,客戶端裝置可藉由一或多個圖形使用者介面(GUI)向製造系統300的使用者提供資訊。例如,客戶端裝置可藉由GUI提供關於在處理腔室314、316、318處執行的沉積處理期間要沉積在基板302的表面上的膜的目標厚度分佈的資訊。根據本文描述的實施例,客戶端裝置亦可提供關於考慮到經預測為對應於目標輪廓的相應沉積設置組合對製程配方的修改的資訊。
製造系統300亦可包括系統控制器328。系統控制器328可以是及/或包括如個人電腦、伺服器電腦、可程式化邏輯控制器(PLC)和微控制器等的計算裝置。系統控制器328可包括一或多個處理裝置,其可以是通用處理裝置,如微處理器和中央處理單元等。更具體來說,處理設備可以是複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集的處理器或實施指令集組合的多個處理器。處理裝置亦可為一或多個專用處理裝置,如專用積體電路(ASIC)、現場可程式化閘陣列(FPGA)、數位信號處理器(DSP)和網路處理器等。系統控制器328可包括資料存儲裝置(例如,一或多個磁盤驅動器及/或固態驅動器)、主記憶體、靜態記憶體、網路介面及/或其他組件。系統控制器328可執行指令以執行本文所述的任何一種或多種方法及/或實施例。在一些實施例中,系統控制器328可執行指令,以根據製程配方在製造系統300處執行一或多個操作。指令可經存儲在電腦可讀取存儲媒體上,此電腦可讀取存儲媒體可包括主記憶體、靜態記憶體、輔助記憶體及/或處理裝置(在指令執行期間)。
系統控制器328可從包括在製造系統300的各個部分(例如,處理腔室314、316、318、傳送腔室310和裝載閘320等)上或內的感測器接收資料。在一些實施例中,系統控制器328接收的資料可包括基板302的一部分的光譜資料及/或非光譜資料。在其他或類似的實施例中,系統控制器328接收的資料可包括如前所述之與在處理腔室314、316、318處處理基板302相關聯的資料。出於本描述的目的,系統控制器328經描述為從包括在處理腔室314、316、318內的感測器接收資料。然而,根據本文所述的實施例,系統控制器328可從製造系統300的任何部分接收資料,且可使用從此部分接收的資料。在說明性示例中,系統控制器328可在處理腔室314、316、318的基板處理之前、之後或期間,從用於處理腔室314、316、318的一或多個感測器接收資料。可將從製造系統300的部分的各種感測器接收的資料存儲在資料存儲350中。資料存儲350可作為組件經包括在系統控制器328內,或可為與系統控制器328分離的組件。在一些實施例中,資料存儲350可以是根據圖1描述的資料存儲140。
圖4是根據本申請案的實施例的處理腔室400的橫截面示意性側視圖。在一些實施例中,處理腔室400可對應於參照圖3描述的處理腔室314、316、318。處理腔室400可用於提供腐蝕性電漿環境的製程。例如,處理腔室400可以是用於電漿蝕刻器或電漿蝕刻反應器等的腔室。在另一個示例中,處理腔室可以是用於沉積製程的腔室,如前所述。在一個實施例中,處理腔室400包括腔室主體402和包圍內部容積406的噴淋頭430。噴淋頭430可包括噴淋頭底座和噴淋頭氣體分配板。或者,在一些實施例中,噴淋頭430可由蓋子和噴嘴替換,或在其他實施例中由多個餅形噴淋頭隔間和電漿發生單元替換。腔室主體402可由鋁、不銹鋼或其他合適的材料如鈦(Ti)製成。腔體402通常包括側壁408和底部410。可將排氣口426限定在腔室主體402中,且可將內部容積406耦接到泵系統428。泵系統428可包括一或多個用於排空和調節處理腔室400的內部容積406的壓力的泵和節流閥。
可將噴淋頭 430支撐在腔室主體 402 的側壁 408 上。可打開噴淋頭 430 (或蓋子)以允許進入處理腔室 400 的內部容積 406,且可在關閉時為處理腔室 400提供密封。氣體面板458可耦接到處理腔室400以藉由噴淋頭430或蓋子和噴嘴(例如,藉由噴淋頭或蓋子和噴嘴的孔)向內部容積406提供處理及/或清潔氣體。例如。氣體面板458可為沉積在基板302的表面上的膜451的材料提供前驅物。在一些實施例中,前驅物可包括基於矽的前驅物或基於硼的前驅物。噴淋頭430可包括氣體分配板(GDP),且可具有遍及GDP的多個氣體輸送孔432(也稱為通道)。基板支撐組件448經設置在噴淋頭430下方的處理腔室400的內部容積406中。基板支撐組件448在處理期間(例如,在沉積製程期間)保持基板302。
在一些實施例中,處理腔室400可包括計量設備(未示出),計量設備經配置為在處理腔室400處執行的處理期間產生原位計量測量。計量設備可操作地耦合到系統控制器(例如,系統控制器328,如前所述)。在一些實施例中,計量設備可經配置為在沉積製程的特定實例期間產生膜451的計量測量值(例如,厚度)。系統控制器可基於從計量設備接收的計量測量值產生膜451的厚度分佈。在其他或類似實施例中,處理腔室400不包括計量設備。在這樣的實施例中,系統控制器可在處理腔室400處完成沉積製程之後,接收膜451的一或多個計量測量值。系統控制器可基於一或多個計量測量值決定沉積速率,且可基於決定的濃度梯度和決定的沉積製程的沉積速關聯產生膜451的厚度分佈。
圖5是根據本申請案的態樣的使用機器學習模型決定處理腔室子系統健康度量的方法500的流程圖。方法500由處理邏輯來執行,處理邏輯可包括硬體(電路系統和專用邏輯等)、軟體(如在通用電腦系統或專用機器上的運行)、韌體或上述硬體、軟體和韌體的某種組合。在一個實施方式中,方法500可由如圖1的電腦系統架構100的電腦系統執行。在其他或類似的實施方式中,可由圖中未描繪的一或多個其他機器執行方法500的一或多個操作。在一些態樣中,可由伺服器機器170、伺服器機器180及/或預測伺服器112執行方法500的一或多個操作。
在方框510處,處理邏輯獲得與在處理腔室中執行的操作相關聯的感測器資料。在一些實施例中,操作可包括在處理腔室中執行以在基板表面上沉積一層或多層膜的沉積製程、在基板表面上的一層或多層膜上執行的蝕刻製程,或在處理腔室中執行的任何其他製程。可根據配方進行操作。感測器資料可包括溫度(例如,加熱器溫度)、間距、壓力、高頻射頻、靜電卡盤的電壓、電流、材料流量、功率、電壓等中的一或多者的一或多個值。感測器資料可與製造參數相關聯或指示製造參數,製造參數如硬體參數或製造設備124的製程參數,硬體參數如製造設備124的設置或組件(例如,尺寸、類型等)。
在方框512處,處理邏輯將機器學習模型(例如模型190)應用於獲得的感測器資料。機器學習模型可用於產生與處理腔室子系統的預期行為相關聯的一或多個值。例如,機器學習模型可使用k-NN演算法來使用訓練集T產生處理腔室子系統的預測行為。在一些實施例中,使用處理腔室系統子系統的歷史感測器資料和與用於執行操作的配方相關聯的任務資料來訓練機器學習模型。
在方框514處,處理邏輯基於感測器資料經由機器學習模型產生輸出。在一些實施例中,輸出可以是指示處理腔室子系統的預期行為與處理腔室子系統的實際行為之間的差異的至少一個純量值。具體來說,純量值可指示與子系統相關聯的一組感測器的實際值與該組感測器的預期值之間的差異。
在方框516處,處理邏輯將輸出轉換為預定義範圍內的代表值。代表值可用於指示處理腔室子系統的健康狀況(例如,與預期行為相比的當前行為)。在一些實施例中,處理邏輯可藉由將線性或非線性變換函數應用於輸出值(例如,純量值)以將輸出值縮放到預定義範圍內來產生代表值。在一些實施例中,變換函數可包括線性函數、logit(log-odds)函數、sigmoid函數和指數函數等。可基於處理腔室子系統的當前行為的期望靈敏度使用特定的變換函數。例如,線性函數可對處理腔室子系統的當前行為與預期行為的每個偏差敏感,而sigmoid函數對處理腔室子系統的當前行為的初始變化不敏感。在一些實施例中,使用者可使用客戶端裝置120來改變靈敏度(例如,應用不同的變換函數)。
圖6是示出根據本申請案的態樣的示例性sigmoid變換的圖表。如圖所示,x軸可表示來自機器學習模型的純量值(例如,輸出)。y軸可代表預定義範圍內的代表值,例如0-1,其中代表值「0」表示處理腔室子系統的實際行為與處理腔室子系統的預期行為相似或相同,而代表值「1」表示處理腔室子系統的當前行為與處理腔室子系統的預期行為顯著偏離(例如,大於預定閾值)。如圖 6 所示,最初增加的 x 值(在 x =-4 之前)會對 y 的值產生相對較小的變化,然後隨著 x 值增加超過 x = -4 時加速,然後隨著 x 值增加超過 x = 4而減速。因此,使用sigmoid變換,預測組件114對當前行為與預期行為的初始偏差不敏感,或者對實際行為已經顯著偏離預期行為時的偏差不敏感。
參考圖5,在方框518處,處理邏輯在客戶端裝置(例如,客戶端裝置120)上顯示代表值。在一些實施例中,不同的代表值可以與不同的健康指示(例如,健康、下降、危急、故障等)相關聯,且健康指示可顯示在客戶端裝置上。基於代表值超過或低於閾值,代表值可與不同的健康指示相關聯。例如,使用圖6 中的圖表作為說明性示例,0和0.01之間的代表值可指示健康的處理腔室子系統、0.01和0.5之間的代表值可指示下降的處理腔室子系統、0.5和0.99之間的代表值可指示關鍵的處理腔室子系統,及0.99 和 1.0 之間的代表值可指示發生故障。所描述的一組健康指示僅僅是示例性的,且可使用任何指示。
圖7是根據本申請案的態樣之使用機器學習模型來決定處理腔室子系統的故障分類的方法700的流程圖。方法700由處理邏輯執行,處理邏輯可包括硬體(電路系統和專用邏輯等)、軟體(例如在通用電腦系統或專用機上運行)、韌體或上述硬體、軟體及韌體的某種組合。在一種實施方式中,可由如為圖1的電腦系統架構100的電腦系統執行方法700。在其他或類似的實施方式中,可由圖中未描繪的一或多個其他機器執行方法700的一或多個操作。在一些態樣中,可由伺服器機器170、伺服器機器180及/或預測伺服器112執行方法600的一或多個操作。
在方框710處,處理邏輯獲得與在處理腔室中執行的操作相關聯的感測器資料。在一些實施例中,操作可包括在處理腔室中執行以在基板表面上沉積一層或多層膜的沉積製程,及在基板表面上的一層或多層膜上執行的蝕刻製程等。可根據配方進行操作。感測器資料可包括溫度(例如加熱器溫度)、間距、壓力、高頻射頻、靜電卡盤電壓、電流、材料流量、功率、電壓等中的一者或多者的值。感測器資料可以是與製造參數相關聯或指示製造參數,如硬體參數或製造設備124的製程參數,硬體參數如製造設備124的設置或組件(例如,尺寸和類型等)。
在方框712處,處理邏輯將機器學習模型(例如模型190)應用於獲得的感測器資料。機器學習模型可用於產生與處理腔室子系統的預期行為相關聯的一或多個值。例如,機器學習模型可使用k-NN演算法來使用訓練集T產生處理腔室子系統的預測行為。在一些實施例中,使用處理腔室子系統的歷史感測器資料和與用於執行操作的配方相關的任務資料,來訓練機器學習模型。
在方框714處,處理邏輯基於感測器資料經由機器學習模型產生輸出。在一些實施例中,輸出可包括由機器學習模型產生的一或多個純量值的向量化版本。例如,輸出可以是至少一個向量值,其指示描述每個感測器對機器學習模型產生的一或多個純量值的貢獻的模式(例如,故障模式)。
在方框716處,處理邏輯決定處理腔室子系統是否正在經歷故障。在一些實施例中,故障可包括機構故障、高壓或低壓、高或低氣流、高溫或低溫等。在一些實施例中,處理邏輯可藉由將輸出與預定閾值進行比較,來決定處理腔室子系統是否正在經歷故障。在一些實施例中,處理邏輯可藉由決定輸出未能匹配預期行為,來決定處理腔室子系統是否正在經歷故障。回應於處理邏輯決定處理腔室子系統沒有經歷故障(例如,輸出的純量值不超過預定閾值),處理邏輯可進行到方塊710。回應於處理邏輯決定處理腔室子系統正在經歷故障(例如,輸出的純量值超過預定閾值),處理邏輯可進行到方框718。
在方框718處,處理邏輯可基於輸出,辨識故障類型。在一些實施例中,處理邏輯可使用分類演算法,如徑向基函數(RBF)網路、神經網路或任何其他基於統計或基於機器學習的模型。在美國專利號 9,852,371 中描述RBF 網路的示例,此專利的全部內容以引用的方式併入本文中。具體來說,處理邏輯可將故障模式與已知故障模式庫進行比較,以基於故障模式與已知故障模式相比的相似性來決定故障類型。在一些實施例中,預測系統110可產生分類演算法。在一些實施例中,分類演算法可使用例如反饋機制來學習新的故障模式。在一些實施例中,分類演算法亦可產生信賴度值。信賴度值可指示預測的信賴度。可由處理邏輯基於故障模式與已知故障模式的相似性來產生信賴度值。
在方框720處,處理邏輯可基於辨識出的故障來執行改正動作。在一些實施例中,改正動作可包括向客戶端裝置120產生所決定問題的警報或指示。在一些實施例中,改正動作可包括處理邏輯,其基於所需的膜特性來調整沉積製程配方的一或多個參數(例如,處理腔室的溫度設置、處理腔室的壓力設置、包括在經沉積在基板表面上的膜中的材料的前驅物的流速設置等)。在一些實施例中,可在沉積製程之前、之中(例如,即時地)或之後調整沉積製程配方。
圖8是示出根據某些實施例的電腦系統800的方框圖。在一些實施例中,電腦系統800可連接(例如,經由如區域網路(LAN)、內部網路、外部網路或網際網路的網路)到其他電腦系統。電腦系統800可在客戶端-伺服器環境中以伺服器或客戶端電腦的身份運行,或在對等或分佈式網路環境中作為對等電腦運行。可由個人電腦 (PC)、平板 PC、機頂盒 (STB)、個人數位助理 (PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換機或橋接器,或任何能夠(順序或其他方式)執行一組指定裝置要採取的行動的指令的裝置,來設置電腦系統 800。此外,術語「電腦」應包括單獨或共同執行一組(或多組)指令以執行本文所述的任何一種或多種方法的任何電腦集合。
在另一態樣中,電腦系統800可包括處理裝置802、揮發性記憶體804(例如,隨機存取記憶體 (RAM))、非揮發性記憶體806(例如,唯讀記憶體(ROM)或電子可擦除可程式化ROM (EEPROM)),及資料存儲裝置816,其可藉由匯流排808相互通訊。
可由一或多個處理器設置處理裝置802,一或多個處理器如為通用處理器(如複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字 (VLIW) 微處理器、實現其他類型指令集的微處理器或實現多種類型指令集的組合的微處理器)或專用處理器(例如,專用積體電路 (ASIC)、字段可程式化閘陣列 (FPGA)、數位信號處理器 (DSP) 或網路處理器)。
電腦系統800可進一步包括網路介面裝置822(例如,耦合到網路874)。電腦系統800亦可包括影片顯示單元810(例如LCD)、字母數字輸入裝置812(例如鍵盤)、光標控制裝置814(例如滑鼠)和信號產生裝置820。
在一些實施方式中,資料存儲裝置816可包括非暫時性電腦可讀取存儲媒體824,在非暫時性電腦可讀取存儲媒體824上可存儲對本文描述的任何一或多個方法或功能進行編碼的指令826,其包括圖1的指令編碼組件(例如,糾正動作組件122和預測組件114等) 並用於實施本文描述的方法。
指令826亦可在由電腦系統800執行指令826期間完全或部分地駐留在揮發性記憶體804及/或處理裝置802內;因此,揮發性記憶體804和處理裝置802亦可構成機器可讀取存儲媒體。
雖然電腦可讀取存儲媒體824在說明性示例中被示為單個媒體,但術語「電腦可讀取存儲媒體」應包括存儲一組或多組可執行指令的單個媒體或多個媒體(例如,集中式或分佈式資料庫,及/或相關聯的快取和伺服器)。術語「電腦可讀取存儲媒體」進一步應包括能夠存儲或編碼一組指令以供電腦執行的任何有形媒體,這組指令使電腦機執行本文描述的任何一或多個方法。術語「電腦可讀取存儲媒體」應包括但不限於固態記憶體、光學媒體和磁學媒體。
本文所述的方法、組件和特徵可由分立的硬體組件來實現,或可整合在如ASICS、FPGA、DSP或類似元件的其他硬體組件的功能中。此外,方法、組件和特徵可由硬體裝置內的韌體模組或功能電路來實施。此外,可在硬體裝置和電腦程式組件的任何組合中或在電腦程式中實現方法、組件和特徵。
除非另有明確說明,否則如「接收」、「執行」、「設置」、「獲得」、「導致」、「存取」、「決定」、「增加」、「使用」和「訓練」等是指由電腦系統執行或實現的動作和處理,這些動作和處理操作並將表示為電腦系統暫存器和記憶體內的物理(電子)量的資料轉換為類似表示為電腦系統記憶體或暫存器或其他此類資訊存儲、傳輸或顯示設備內的物理量的其他資料。此外,本文使用的術語「第一」、「第二」、「第三」和「第四」等意在作為區分不同元素的標籤,且根據此等術語的數字指定可能不具有順序含義。
本文所述的示例亦涉及用於執行本文所述的方法的設備。可專門構造設備以用於執行本文所述的方法,或者設備可包括由存儲在電腦系統中的電腦程式選擇性地程式化的通用電腦系統。這種電腦程序可存儲在電腦可讀有形存儲介質中。
本文描述的方法和說明性示例與任何特定電腦或其他設備沒有固有關聯。可根據本文所述的教導使用各種通用系統,或可證明構造更專門的設備來執行本文描述的方法及/或此等方法各自的功能、常式、子常式或操作中的每一者是方便的。在以上描述中敘述了各種這些系統的結構示例。
以上描述旨在說明性而非限制性的。儘管已參考具體說明性示例和實施方式描述了本申請案,但將認識到,本申請案不限於所描述的示例和實施方式。本申請案的範圍應參照所附申請專利範圍和請求項所享有的等同物的全部範圍來決定。
100:電腦系統架構 110:預測系統 112:預測伺服器 114:預測組件 120:客戶端裝置 122:改正動作組件 124:製造設備 126:感測器 128:計量設備 130:網路 140:資料存儲 170:伺服器機器 172:訓練集產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 185:選擇引擎 186:測試引擎 190:機器學習模型 200:方法 210~230:方框 300:製造系統 302:基板 304:處理工具 306:工廠介面 308:外殼 310:傳送腔室 312:傳送腔室機器人 314:處理腔室 316:處理腔室 318:處理腔室 320:裝載閘 322:基板載體 324:裝載端口 326:工廠介面機器人 328:系統控制器 350:資料存儲 400:處理腔室 402:腔室主體 406:內部容積 408:側壁 410:底部 426:排氣口 428:泵系統 430:噴淋頭 432:氣體輸送孔 448:基板支撐組件 451:膜 458:氣體面板 500:方法 510~518:方框 700:方法 710~720:方框 800:電腦系統 802:處理裝置 804:揮發性記憶體 806:非揮發性記憶體 808:匯流排 810:影片顯示單元 812:字母數字輸入裝置 814:光標控制裝置 816:資料存儲裝置 820:信號產生裝置 822:網路介面裝置 824:電腦可讀取存儲媒體 826:指令 874:網路
以示例方式圖示本申請案,而不是由附圖中的圖式對本申請案進行限制。
圖1是示出根據某些實施例的示例性系統架構的方塊圖。
圖2是根據某些實施例的用於訓練機器學習模型的方法的流程圖。
圖3是根據某些實施例的示例性製造系統的俯視示意圖。
圖4是根據某些實施例的示例性製造系統的示例性處理腔室的橫截面示意性側視圖。
圖5是根據某些實施例的使用機器學習模型來決定處理腔室子系統健康度量的方法的流程圖。
圖6是示出根據某些實施例的示例性sigmoid變換的圖表。
圖7是根據某些實施例之使用機器學習模型來決定處理腔室子系統的故障分類的方法的流程圖。
圖8是示出根據某些實施例的電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:電腦系統架構
110:預測系統
112:預測伺服器
114:預測組件
120:客戶端裝置
122:改正動作組件
124:製造設備
126:感測器
128:計量設備
130:網路
140:資料存儲
170:伺服器機器
172:訓練集產生器
180:伺服器機器
182:訓練引擎
184:驗證引擎
185:選擇引擎
186:測試引擎
190:機器學習模型

Claims (20)

  1. 一種方法,包括以下步驟: 藉由一處理器獲得與根據一配方在一處理腔室中執行以在一基板的一表面上沉積膜的一沉積製程相關聯的複數個感測器值; 將一機器學習模型應用於該複數個感測器值,該機器學習模型係基於該處理腔室的一子系統的歷史感測器資料及與用於沉積該膜的該配方相關聯的任務資料而經訓練的;及 產生該機器學習模型的一輸出,其中該輸出指示該子系統的一健康狀況。
  2. 如請求項1所述的方法,其中該輸出包括一純量值,該純量值指示與該子系統相關聯的一組感測器的測量值與該組感測器的預期值之間的一差異。
  3. 如請求項1所述的方法,進一步包括以下步驟: 使用一變換函數將該輸出轉換為一預定義範圍內的一代表值。
  4. 如請求項3所述的方法,其中該變換函數包括一線性函數、一logit函數、一sigmoid函數或一指數函數中的至少一者。
  5. 如請求項1所述的方法,其中該輸出包括指示一故障模式的一向量值。
  6. 如請求項5所述的方法,進一步包括以下步驟: 基於該故障模式,使用一分類演算法決定該子系統經歷的一故障類型。
  7. 如請求項6所述的方法,其中該分類演算法將該故障模式與一已知故障模式庫進行比較。
  8. 如請求項6所述的方法,其中該分類演算法包括徑向基函數(RBF)網路或神經網路。
  9. 如請求項5所述的方法,進一步包括以下步驟: 基於該故障模式,執行一改正動作。
  10. 一種系統,包括: 一記憶體;及 一處理裝置,其可操作地耦合到該記憶體裝置以執行操作,該等操作包括以下操作: 獲得與根據一配方在一處理腔室中執行以在一基板的一表面上沉積膜的一沉積製程相關聯的複數個感測器值; 將一機器學習模型應用於該複數個感測器值,該機器學習模型係基於該處理腔室的一子系統的歷史感測器資料及與用於沉積該膜的該配方相關聯的任務資料而經訓練的;及 產生該機器學習模型的一輸出,其中該輸出指示該子系統的一健康狀況。
  11. 如請求項10所述的系統,其中該輸出包括一純量值,該純量值指示與該子系統相關聯的一組感測器的測量值與該組感測器的預期值之間的一差異。
  12. 如請求項10所述的系統,其中該處理裝置將執行進一步的操作,該等進一步的操作包括以下操作: 使用一變換函數將該輸出轉換為一預定義範圍內的一代表值。
  13. 如請求項10所述的系統,其中該輸出包括指示一故障模式的一向量值。
  14. 如請求項13所述的系統,其中該處理裝置將執行進一步的操作,該等進一步的操作包括以下操作: 基於該故障模式,使用一分類演算法決定該子系統經歷的一故障類型。
  15. 如請求項13所述的系統,其中該處理裝置將執行進一步的操作,該等進一步的操作包括以下操作: 基於該故障模式,執行一改正動作。
  16. 一種方法,包括以下步驟: 藉由一處理器獲得與在一處理腔室中執行以在一基板的一表面上沉積膜的一沉積製程相關聯的感測器資料,其中該感測器資料包括與該處理腔室的一子系統相關聯的感測器值; 獲得與用於沉積該膜的一配方相關聯的任務資料; 使用基於該感測器資料和該任務資料的一訓練集訓練一機器學習模型,其中訓練該機器學習模型以產生指示該子系統的預期感測器值的預測資料。
  17. 如請求項16所述的方法,進一步包括以下步驟: 執行一離群值偵測技術,以從該訓練集中刪除一或多個異常。
  18. 如請求項16所述的方法,其中該機器學習模型包括一k最近鄰(k-NN)演算法。
  19. 如請求項16所述的方法,其中該子系統包括一組感測器,其用於監測該處理腔室的一操作參數。
  20. 如請求項19所述的方法,其中該操作參數包括與該處理腔室相關聯的一壓力、與該處理腔室相關聯的一流速或與該處理腔室相關聯的一溫度。
TW111107945A 2021-03-04 2022-03-04 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法 TW202245091A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/192,355 US20220284342A1 (en) 2021-03-04 2021-03-04 Systems and methods for process chamber health monitoring and diagnostics using virtual model
US17/192,355 2021-03-04

Publications (1)

Publication Number Publication Date
TW202245091A true TW202245091A (zh) 2022-11-16

Family

ID=83117299

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107945A TW202245091A (zh) 2021-03-04 2022-03-04 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法

Country Status (7)

Country Link
US (1) US20220284342A1 (zh)
EP (1) EP4302322A1 (zh)
JP (1) JP2024510568A (zh)
KR (1) KR20230150368A (zh)
CN (1) CN116964722A (zh)
TW (1) TW202245091A (zh)
WO (1) WO2022186987A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220334569A1 (en) * 2021-04-14 2022-10-20 Applied Materials, Inc. Eco-efficiency (sustainability) dashboard for semiconductor manufacturing
US20230061513A1 (en) * 2021-08-27 2023-03-02 Applied Materials, Inc. Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5416443B2 (ja) * 2009-03-19 2014-02-12 大日本スクリーン製造株式会社 故障予知システムおよび故障予知方法
KR20120064427A (ko) * 2010-12-09 2012-06-19 삼성전자주식회사 반도체 공정 산포의 제어 방법
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
CN108470699B (zh) * 2018-03-29 2019-12-06 新沂市瓦窑工业园区有限公司 一种半导体制造设备和工艺的智能控制系统
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control

Also Published As

Publication number Publication date
US20220284342A1 (en) 2022-09-08
WO2022186987A1 (en) 2022-09-09
JP2024510568A (ja) 2024-03-08
CN116964722A (zh) 2023-10-27
KR20230150368A (ko) 2023-10-30
EP4302322A1 (en) 2024-01-10

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
TW202245091A (zh) 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
JP2023535126A (ja) 機械学習を使用した基板プロセスのドリフトの検出及び補正
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
US20230061513A1 (en) Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
TW202414132A (zh) 用於防止機器學習模型性能的波動的方法和機制
TW202334767A (zh) 用於製程配方最佳化的方法及機制
CN117916871A (zh) 用于将传感器耦合至传输腔室机器人的方法和机构