JPH07130812A - 半導体ウエハ加工プロセス評価システム - Google Patents

半導体ウエハ加工プロセス評価システム

Info

Publication number
JPH07130812A
JPH07130812A JP6100113A JP10011394A JPH07130812A JP H07130812 A JPH07130812 A JP H07130812A JP 6100113 A JP6100113 A JP 6100113A JP 10011394 A JP10011394 A JP 10011394A JP H07130812 A JPH07130812 A JP H07130812A
Authority
JP
Japan
Prior art keywords
wafer
semiconductor wafer
location
etch rate
locations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6100113A
Other languages
English (en)
Other versions
JP3892913B2 (ja
Inventor
Jerry A Stefani
エイ.ステファニ ジェリー
Stephanie W Butler
ダブリュ.バトラー ステファニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH07130812A publication Critical patent/JPH07130812A/ja
Application granted granted Critical
Publication of JP3892913B2 publication Critical patent/JP3892913B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

(57)【要約】 【目的】 半導体ウエハ加工プロセスの均一性を監視
し、評価し、更に制御するためのシステム(60)およ
び方法を得る。 【構成】 その場楕円偏光法(20)を統計的モデル化
手法とともに使用することによって、半導体ウエハ(4
0)表面にわたる空間的なエッチ速度パターンをプロセ
ス条件の関数として推測する。平均エッチ速度の推測値
を、選ばれた楕円偏光計評価場所(44)での平均エッ
チ速度測定値と、統計的実験に基づく各場所(44およ
び48)で個別的な空間エッチ速度モデルとを使用し
て、ウエハ表面(42)上のその他の場所(46および
48)での平均エッチ速度が推測できる。本方法は、加
工プロセス中のウエハ(40)上の選ばれた場所(4
4)においてその場測定できる、酸化、ドーピング、お
よび任意のその他のプロセスと一緒に使用することがで
きる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は集積回路に関するもので
あり、更に詳細には半導体ウエハ加工プロセスの均一性
の監視および評価に関する。
【0002】
【従来の技術】半導体ウエハ上へ集積回路を作製するた
めに各種のプロセスおよび手続きが用いられる。それら
のプロセスの例としては、シリコン基板上へ二酸化シリ
コン(SiO2 )膜を形成するためのシリコンの酸化
と、一般に酸化物膜上へ放射線に敏感な材料(しばし
ば”フォトレジスト”あるいは”レジスト”と呼ばれ
る)を配置することを含むマイクロリソグラフィが含ま
れる。集積回路の特徴的な構造は、しばしば材料の堆
積、酸化、または下層材料の除去(エッチング)のいず
れかによってウエハ上へ形成される。典型的な場合、レ
ジストは、フォトリソグラフィ、電子ビームリソグラフ
ィ、またはX線リソグラフィのようなリソグラフィ技術
によってレジスト上に配置されたマスクを通して放射線
を照射される。レジストは選ばれた化学的な系に依存し
て、マスクの露出エリアで化学的な反応を起こす。
【0003】半導体ウエハ加工に関連する一般的なその
他のプロセスには、膜中への制御された不純物の拡散が
含まれる。イオン打ち込みもまた、膜中へ制御された不
純物導入のために使用されるプロセスである。その他の
加工プロセスとしては、スパッタリングによる物理的気
相からの薄膜の堆積と、蒸着による物理的気相からの薄
膜の堆積が含まれる。
【0004】ウエハ加工プロセスおよび手続きの順序
は、半導体ウエハの型と、半導体ウエハ上へ作製される
集積回路の所望の動作特性とに依存して選択される。加
工プロセスはしばしば数回繰り返し適用されて、半導体
ウエハ上へ作製すべき最新の超LSIに関連する多重層
が作り上げられる。
【0005】エッチングはシリコン基板を有する半導体
ウエハ上へ数多くの集積回路を作製する場合に共通的に
用いられるプロセスである。エッチングは、シリコン基
板からの材料除去、および/またはシリコン基板表面上
に予め堆積されている薄膜層の選ばれたものからの材料
除去を含む。ウエハ表面の一部を保護するためのマスク
が使用される場合には、エッチングの目標はマスクによ
って覆われていない材料を除去することである。エッチ
ングプロセスの主たる目的は、保護マスクによって定め
られるパターンを下層材料上へ正確に転写することであ
る。
【0006】半導体ウエハ上の膜厚を測定するために、
楕円偏光法のような各種の方法が用いられる。楕円偏光
法はまた、光学的屈折率を測定できる。楕円偏光法はし
ばしば、各種の型の誘電体膜の屈折率を測定するために
用いられ、また、二酸化シリコン上のフォトレジストや
二酸化シリコン上の多結晶シリコンのような多重層膜構
造の厚さを決定するためにも用いられる。膜厚を決定す
るために用いられるその他の方法としては、光干渉法、
容量法および/または酸化物膜の色チャートを利用する
方法が含まれる。
【0007】
【発明の概要】本発明に従えば、半導体ウエハ加工にお
いて使用されている従来の監視および評価システムに付
随する欠点や問題点が本質的に低減化あるいは解消され
る。本発明は1枚の半導体ウエハ上の選ばれた場所にお
ける加工プロセスの監視を可能にし、その半導体ウエハ
上の他の場所における加工プロセスの結果を信頼性高く
推測することを可能にする。
【0008】本発明は1枚の半導体ウエハ上の選ばれた
場所における加工プロセスのその場監視を可能にし、そ
の半導体ウエハ上の他の場所における加工プロセスの結
果を前記選ばれた場所において得られた情報とプロセス
条件または制御因子の関数として信頼性高く推測するこ
とを可能にする。これによって、プロセス条件または制
御因子の詳細な指定と適正なモデル化とによって、全ウ
エハ表面にわたっての加工プロセスの実時間評価が可能
になる。
【0009】本発明の1つの面に従えば、統計的なモデ
ル化手法をその場楕円偏光法と組み合わせることによっ
て、半導体単一ウエハの表面にわたっての空間的なエッ
チ速度パターンの実時間評価を前記ウエハのプラズマエ
ッチ加工プロセス中の選ばれたプロセス条件の関数とし
て提供することができる。ウエハの表面にわたっての他
の場所におけるエッチ速度の実時間評価が可能になるこ
とによって、同じ反応炉中で同じプロセスを用いて加工
されるウエハ間の変動は、処理間の制御を強化すること
によって本質的に低減できる。
【0010】本発明の重要な技術的特徴は、多結晶シリ
コンの選択的エッチングの間の表面損傷が低減されるこ
とと、好ましい垂直な断面が達成できることである。本
発明は進歩したプロセス制御を提供し、バルクのエッチ
ングプロセス中の半導体ウエハ表面にわたっての多結晶
シリコン層の均一化を促進する。本発明は、バルクのエ
ッチプロセスの終了後の、多結晶シリコン層の最適で均
一な厚さを達成するための情報を提供する。本発明はま
た、ウエハとウエハとの間でのエッチングの均一性を制
御する。
【0011】本発明の別の重要な技術的特徴として、加
工プロセス中に半導体表面の1つの場所を監視している
センサーから情報を得て、その情報を用いて前記半導体
ウエハ表面の任意の他の場所におけるプロセス結果の実
時間評価提供することが含まれる。センサーによって提
供される情報は、その半導体ウエハの表面の別の場所に
おける推測値がそのウエハ表面にわたって所望の均一度
レベルに達した時点でその加工プロセスを制御および/
または停止させるために用いることができる。
【0012】本発明およびそれの特長をより完全に理解
するために、以下の図面を参照した詳細な説明を引用す
る。
【0013】
【実施例】本発明の好適実施例と本発明の特長とについ
ては図1ないし図6を参照することによって最も良く理
解できる。各図面において対応する部品に対しては同じ
参照符号が付されている。
【0014】半導体ウエハ上へ集積回路を作製するため
には、酸化、拡散、イオン打ち込み、CVD、およびエ
ッチングなどの各種のプロセスが用いられる。更に、干
渉計、赤外分光計、レーザー干渉計および楕円偏光計の
ような各種の型のその場センサーが用いられ、各々のセ
ンサーの型に対して適切な選ばれた加工プロセスの監視
を行う。
【0015】半導体ウエハ40のバルクエッチング、多
結晶シリコンプラズマゲートエッチングを監視および評
価するために楕円偏光計20を使用することに関して本
発明を説明することにする。半導体ウエハ40は好まし
くはシリコンのような半導体材料単結晶から切り出され
た薄いスライスである。しかし、本発明は他の型の半導
体材料にも適用することができ、シリコンに限定される
ことはない。
【0016】図1に最も良く示されているように、シリ
コン基板を有し、1または複数膜の層を有する半導体ウ
エハ40が反応炉または試薬チェンバー28内の石英ピ
ン26上に設置される。楕円偏光計20はレーザー光源
22と分析器24とを含んでいる。試薬チェンバー28
は、ウエハ40の表面42上の選ばれた場所44におけ
る1層または複数の膜層の厚さを楕円偏光計20が測定
できるように2個またはそれ以上の光学的窓(図示され
ていない)を含むことが好ましい。試薬チェンバー28
は内側チェンバー(図示されていない)および外側チェ
ンバーの両方を含むことができる。
【0017】楕円偏光計20は光が半導体ウエハ40の
表面42上の薄膜層を通して反射および透過する時の偏
光の変化を測定する。楕円偏光計20は多結晶シリコン
層の屈折率および厚さを0.5秒毎に計算できるように
設計されているのが好ましい。
【0018】図2に最も良く示されているように、半導
体ウエハ40の表面42は半導体ウエハ40の表面42
上に集積回路(図示されていない)を作製するために可
能な場所またはダイとして約67個の区分に分割されて
いる。ウエハ40上に集積回路を作製するために各種の
リソグラフィプロセスが使用される。そのような集積回
路は表面42上のそれぞれのダイ上の一連の層中に構築
されるのが一般的である。集積回路または集積回路部分
はエッチングや酸化のようなプロセスによって各々のダ
イ上に作製できる。
【0019】楕円偏光計20は好ましくはダイ44上の
多結晶シリコン膜層(図示されていない)の厚さを測定
するように位置合わせして配置される。ダイ44はま
た、それの座標(5,6)によって、あるいは楕円偏光
計評価場所として参照される。
【0020】楕円偏光計20のような現在利用できる楕
円偏光法システムは一般に比較的小さいサンプリングエ
リア上の膜厚を測定できるのみである。ダイ44のよう
なその場サンプリングする場所は、半導体ウエハ表面上
のその他の場所にある膜層の厚さをオンラインで観察す
る能力を提供することはできない。従って、従来の利用
できる楕円偏光計法は、半導体ウエハ40の全表面42
にわたって、エッチングや酸化のような加工プロセスの
その場監視および評価を許容できない。
【0021】この同じ制限が集積回路を作製する加工プ
ロセスのその場監視のために使用される数多くのその他
のセンサーにも当てはまる。センサーはウエハ表面上の
1つのエリアのみに関する情報を提供するに限られる。
【0022】本発明はダイ46(座標位置4,3)やダ
イ48(座標位置7,9)のようなその他の場所におけ
るエッチング等の選ばれた加工プロセスの実時間評価を
提供できる。この評価は、楕円偏光計評価場所またはダ
イ44において測定されたエッチ速度の関数としてウエ
ハ40の表面上の各々の場所を統計的にモデル化するこ
とによって得られる。後に詳細に説明するように、各々
の場所に対する空間的なモデルはセンサーによって監視
されている加工プロセスに関連する選ばれたプロセス条
件または制御因子を含むことが好ましい。
【0023】表面42上の薄膜を均一にエッチングする
ことは作製プロセスによって完成する製品の収率を高め
るために重要である。均一なエッチングなしではウエハ
40のある場所には多すぎる材料が残され、また他の場
所では所望の膜層を通り越して材料がエッチされてしま
い、下層の除去まで進んでしまう可能性がある。本発明
の成功のために重要な要求は、ダイ44において楕円偏
光計20によって測定されたエッチ速度に基づいて、ウ
エハ表面42の他の場所での平均エッチ速度のモデルを
開発することである。表面42上の他の場所に対するモ
デルを開発することによって、プラズマエッチプロセス
の均一性のより良い評価が行われる。ウエハ表面42上
の複数個の場所におけるエッチ速度がモデル化された後
に、ウエハ表面42にわたっての均一なエッチ速度の分
布、またはウエハ表面42にわたっての均一な残存厚さ
のいずれかを実現するためのモデルの最適化が行われ
る。
【0024】その場楕円偏光法を用いて半導体ウエハ4
0のような単一ウエハのプラズマエッチングのための統
計的モデリングを開発し、プラズマエッチングプロセス
に関連するプロセス条件と、楕円偏光計評価場所での平
均エッチ速度との関数として、ウエハ40の表面42に
わたる各場所におけるエッチ速度の実時間推測を行っ
た。加工プロセスをプロセス条件または制御因子の関数
として説明する統計的モデルは、最小2乗回帰を用いて
測定可能な値にフィットさせるために次のような経験的
表現を使用する:
【数1】 Yは測定可能な応答、Xi はプロセス条件または制御因
子である。
【0025】ダイ46やダイ48のようないろんな場所
における空間的エッチ速度モデルを生成するために、統
計的に設計された実験が使用された。ウエハ40の中央
付近のダイ44においてその場平均エッチ速度情報が楕
円偏光計20によって収集された。ダイ46および44
を含む12個の付加的場所における平均のエッチ速度が
オフラインの分光測定法によって測定された。12個の
その他の場所は図2に影を付けた四角で示してある。
【0026】プロセス条件または制御因子と楕円偏光計
20によって測定された平均エッチ速度とを独立変数と
して用い、その他の場所における平均エッチ速度を与え
る経験的な公式を導き出すために応答曲面方法論(re
sponse surface methodolog
y)が用いられた。3つのプロセス条件または制御因子
として図4に示されたような回転可能な中心合成設計
(roratablecentral composi
te design)が選ばれて、その他の場所におけ
る平均エッチ速度のモデルを開発するために使用され
た。バルクプラズマエッチプロセスのための選ばれた制
御因子はRF電力、反応炉28中の全圧、および反応物
(HCl+HBr)の全流量である。統計的な信頼性を
保証するために、20枚の別々の半導体ウエハ40が測
定された。評価対象のプラズマエッチ速度および反応炉
28に関しては、RF電力の範囲は300ないし500
ワット、全圧は200ないし400mTorrの間で変
化し、また全流量は75ないし175SCCMの間で変
化した。
【0027】応答曲面方法論および最小2乗回帰による
多項式を用いたこの経験的モデリングの結果、半導体ウ
エハ40の表面42上のその他の場所における平均エッ
チ速度を表現する次のような関数が導出された:
【数2】 MER=平均エッチ速度 Xi =選ばれたプロセス因子 Ci =経験的に導出される定数
【0028】半導体ウエハ40の表面42にわたっての
エッチ速度の均一性は、表面42上の選ばれた場所にお
ける平均エッチ速度の分布から推測される。図5に示さ
れたような制御システム60を用いて、半導体ウエハ4
0上のバルクエッチプロセスの均一性を監視、評価、お
よび制御することができる。表面42上のその他の場所
における平均エッチ速度に関する経験的なモデルはデー
タ蓄積ユニット62中に設定されよう。場所44におい
て膜層の厚さを測定するためにセンサー64が使用さ
れ、この情報はデータ蓄積ユニット62へ供給される。
図5に示されたシステム60を制御する目的で、楕円偏
光計20はセンサー64として機能することもできる。
既に述べたように、本発明には各種のその場センサーが
使用されてよい結果を得ている。
【0029】表面42上のその他の場所に関する平均エ
ッチ速度モデル(第1の関数)に加えて、計算器/制御
器66はまた楕円偏光計20によって測定された層厚の
値を楕円偏光計評価場所44における平均エッチ速度へ
変換するための第2の関数を含む。従って計算器/制御
器66は、楕円偏光計評価場所44における平均エッチ
速度と、RF電力、全圧、および反応物の全流量のよう
な選ばれた制御因子の値とに基き、第1の関数を使用し
て、ウエハ40の表面42上のその他の場所における平
均エッチ速度を導出する。第2の関数に類似した第3の
関数を用いて、その他の場所における平均エッチ速度を
その他の場所における膜層の厚さへ変換することもでき
る。
【0030】計算器/制御器66は出力インターフェー
スユニット68へ信号を供給するために用いることがで
きる。出力インターフェースユニット68は、表面42
上のその他の場所における加工プロセスで所望の結果を
得るために制御因子またはプロセス条件を変更すべきこ
とを指示するために使用できる。計算器/制御器66は
また、楕円偏光計20へ帰還信号を供給するためにも使
用できる。
【0031】図6は、半導体ウエハ40の表面42上の
バルクエッチ速度を制御するために本発明を使用した結
果を示している。楕円偏光計評価場所44における膜層
の厚さを監視し、選ばれたプロセス条件、RF電力、全
圧、および反応物の全流量を制御することによって、表
面42にわたって+/−300Åの均一な厚さが実現さ
れる。図6に示された特定例に関して、バルクエッチン
グプロセスは楕円偏光計20が楕円偏光計評価場所44
において500Åの厚さを示すところで停止される。表
面42上のその他の場所における膜層の厚さはオフライ
ンの分光測定法によって測定され、それぞれのダイに数
値で示されている。
【0032】本発明の結果として、表面42上のその他
の場所に対する適切な経験的モデル(第1の関数)を備
える制御システム60は、選ばれた加工プロセス中の反
応炉28に対する処理毎のプロセス制御を強化するため
に使用できる。制御システム60は、そのウエハ表面上
の1つの選ばれた場所における加工プロセスの結果を測
定するその場センサー64によって提供される情報とプ
ロセス条件または制御因子とに基づいて、選ばれた加工
プロセスに対する終了点を提示するために使用できる。
データ蓄積ユニット62および計算器/制御器66は共
同して、第1の関数(表面42上のその他の場所に対す
る経験的モデル)を使用してセンサー64からのデータ
を表面42上のその他の場所において推測される加工プ
ロセスの結果へ変換する。
【0033】本発明は、半導体ウエハ40上へ集積回路
を作製中の反応炉28およびそれに付随する支援システ
ム(図示されていない)の動作を評価および監視するこ
とを可能にする。出力インターフェースユニット68に
よって供給される情報は、その他の場所における推測値
が所望の結果を示していない場合に、操作者が監視およ
び評価されている加工プロセスに関する制御因子を調節
することを可能にする。制御システム60は、表面42
上のその他の場所における加工プロセスに関する所望の
目標値に合致するように制御因子を調節することを許容
する。このように、ウエハ毎の処理において反応炉28
中での加工プロセスの均一化が促進される。
【0034】選ばれた制御因子と場所44における膜厚
の実時間監視によって、エッチングプロセスは、表面4
2上のその他の場所での平均エッチ速度の推測値が所望
の量の材料が除去されたことを示す時点で停止させるこ
とができる。あるいは別のやり方として、エッチングプ
ロセスは、表面42上のその他の場所において膜厚推測
値が所望の値に到達した時点で停止させることができ
る。
【0035】本発明はまた、均一でない膜厚を有する半
導体ウエハおよび/または反応炉中で独特の反応性パタ
ーンを有する反応炉を受け入れるための経験的なモデル
を開発することを許容する。例えば、半導体ウエハ40
の上半分の上の膜が下半分の上の膜よりも厚いかも知れ
ない。また、反応炉28は表面42の中心部で増大した
反応性を有し、表面42の端部付近でより低い反応性を
有するブルズアイ(bull’s eye)効果を作り
出すこともある。特定の反応炉28および/または特定
の型のウエハ40に対して1組の経験的なモデルを開発
することによって、制御因子は、このような半導体ウエ
ハ40の、および/または反応炉28の特性の初期条件
の変動にも拘わらず、均一な厚さの場所を生成する加工
プロセスを保証するように選ぶことができる。
【0036】本発明は多結晶シリコン薄膜のプラズマエ
ッチングを例にとって説明してきた。本方法および手続
きはその他の膜のエッチングに対しても適用できる可能
性を有している。更に、本発明は膜の堆積または酸化に
対しても使用できる。この場合には堆積速度を、プロセ
ス条件と楕円偏光計44によるその場測定された平均堆
積速度との関数としてモデル化することが行われよう。
【0037】本発明はエッチ速度の1点監視に対するそ
の場楕円偏光法に関して説明してきた。しかし、本モデ
ル化手法は実時間データを供給する任意のセンサーとと
もに利用される用途に適用できる。例えば、本方法と同
じ方法および手続きは、その場レーザー回折画像システ
ム(図示されていない)を用いた多結晶シリコンエッチ
ング中における線幅の減少をモデル化するのにも適用で
きる。
【0038】上述の説明は、本発明を多結晶シリコン膜
のエッチングとその場楕円偏光法とに関して説明するも
のであった。当業者には、本発明がその他の半導体ウエ
ハ加工プロセスおよびその場センサーに対しても使用で
きるものであることが容易に理解されよう。そのような
加工プロセスの例としては、熱酸化、制御された不純物
またはドーパントの拡散、イオン打ち込み、CVD、リ
ソグラフィ、およびドライエッチングが含まれるが、そ
れらに限定されるものではない。そのようなその場セン
サーの例としては、干渉計、赤外分光計、およびレーザ
ー干渉計が含まれるが、それらに限定されるものではな
い。本発明はシリコンおよびシリコンをベースとする膜
に関連して説明してきた。当業者には、本発明によって
開示される監視および評価システムがガリウム砒素やゲ
ルマニウムのようなその他の半導体材料においても利用
できるものであることが容易に理解されよう。本発明か
ら得られる監視および評価の手法を採用することによっ
て、任意の半導体材料または加工プロセスが利益を得る
であろう。
【0039】経験に基づくモデル化に加えて、物理に基
づいたモデル化を用いてウエハ40の表面42にわたっ
ての選ばれた加工プロセスを評価するための第1の関数
を開発することもできる。
【0040】本発明およびそれの特長について詳細に説
明してきたが、請求の範囲に定義された本発明の範囲か
ら外れることなしに各種の変更、置換、および修正が成
し得ることは理解されたい。
【0041】以上の説明に関して更に以下の項を開示す
る。 (1)半導体ウエハの表面上に集積回路を作製する間に
選ばれたプロセスが施されている前記ウエハをその場評
価するためのシステムであって:前記選ばれたプロセス
を実施中の前記ウエハ表面上の1つの場所において、前
記選ばれたプロセスの結果を監視するためのセンサー、
前記センサーにつながれて、前記ウエハ表面上の前記1
つの場所におけるウエハの状態を表す前記センサーから
のデータ出力を蓄積するように動作するデータ蓄積シス
テム、および前記1つの場所において測定されたデータ
を、前記ウエハ表面上のその他の場所において推測され
る前記選ばれたプロセスの結果を示すデータへ変換する
ための第1の関数を使用するように動作する計算システ
ム、を含むその場評価システム。
【0042】(2)第1項によって定義される半導体ウ
エハ評価システムであって、そこにおいて前記第1の関
数が次のように表記される式:
【数3】 MER=平均エッチ速度 Xi =選ばれたプロセス因子 Ci =経験的に導出される定数 を含んでいる評価システム。
【0043】(3)第1項によって定義される半導体ウ
エハ評価システムであって、更に、前記ウエハ表面上の
前記その他の場所において推測される前記選ばれたプロ
セスの結果に基づいて、所望の終了点に到達した時点で
前記選ばれたプロセスを停止させるための制御システ
ム、を含む評価システム。
【0044】(4)半導体ウエハ(40)上へ集積回路
を作製する場合に使用するための、半導体ウエハ加工プ
ロセスの均一性を監視し、評価し、更に制御するための
システム(60)および方法が提供される。その場楕円
偏光法(20)を統計的モデル化手法とともに使用する
ことによって、半導体ウエハ(40)にわたっての空間
的なエッチ速度パターンがプロセス条件の関数として推
測できる。平均エッチ速度の推測値は、選ばれた楕円偏
光計評価場所(44)において測定された平均エッチ速
度と、統計的に設計された実験に基づいて各々の場所
(44および48)に対して開発された個別的な空間エ
ッチ速度モデルとを使用して、半導体ウエハ表面(4
2)上のその他の場所(46および48)に対して計算
することができる。その他の場所(46および48)に
おいて推測される平均エッチ速度もまた加工プロセス条
件の関数である。均一性を評価する本方法は、加工プロ
セス中に半導体ウエハ(40)上の選ばれた場所(4
4)においてその場測定できる、酸化、ドーピング、お
よび任意のその他のプロセスのような加工プロセスと一
緒に使用することができる。
【0045】
【注意】米国政府は本発明に関して支払い済みのライセ
ンスを設定し、限定された状況において空軍ライト研究
所およびDARPAマイクロエレクトロニクス技術事務
所によって裁定された契約第F33615−88−C−
5448の条項によって提示されるような妥当な条項の
もとで他者に対してライセンス供与することを特許所有
者に対して要求する権利を有する。
【図面の簡単な説明】
【図1】本発明に従って、1枚の半導体ウエハ上の集積
回路の作製中に、選ばれたプロセスを監視および評価す
るために用いられる楕円偏光法システムと反応炉の部分
模式図。
【図2】半導体ウエハ表面の選ばれた測定場所とダイと
を示す模式図。
【図3】図2の半導体ウエハ表面上の選ばれた1つの場
所における平均エッチ速度をそのウエハ表面の楕円偏光
計評価場所で測定された平均エッチ速度と対応させて示
すグラフ。
【図4】図3のグラフによって示されたような実験デー
タを用いて、ウエハ表面の複数の選ばれた場所における
平均エッチ速度に関する経験的なモデルを導くために使
用される応答曲面方法論を表す模式図。
【図5】本発明において使用されるセンサー、データ蓄
積ユニット、および計算器/制御器の機能的ブロック
図。
【図6】本発明に従って図2の半導体ウエハをエッチン
グした結果としてウエハ表面の複数の選ばれた場所に得
られた厚さを示す模式図。
【符号の説明】
20 楕円偏光計 22 レーザー光源 24 分析器 26 石英ピン 28 反応炉 40 半導体ウエハ 42 表面 44 選ばれた場所 46、48 ダイ 60 制御システム 62 データ蓄積ユニット 64 センサー 66 計算器/制御器 68 出力インターフェースユニット

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 半導体ウエハの表面上に集積回路を作製
    する間に選ばれたプロセスが施されている前記ウエハを
    その場評価するためのシステムであって:前記選ばれた
    プロセスを実施中の前記ウエハ表面上の1つの場所にお
    いて、前記選ばれたプロセスの結果を監視するためのセ
    ンサー、 前記センサーにつながれて、前記ウエハ表面上の前記1
    つの場所におけるウエハの状態を表す前記センサーから
    のデータ出力を蓄積するように動作するデータ蓄積シス
    テム、および前記1つの場所において測定されたデータ
    を、前記ウエハ表面上のその他の場所において推測され
    る前記選ばれたプロセスの結果を示すデータへ変換する
    ための第1の関数を使用するように動作する計算システ
    ム、 を含むその場評価システム。
JP10011394A 1993-05-13 1994-05-13 半導体ウエハ加工プロセス評価システム Expired - Fee Related JP3892913B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US061983 1993-05-13
US08/061,983 US5399229A (en) 1993-05-13 1993-05-13 System and method for monitoring and evaluating semiconductor wafer fabrication

Publications (2)

Publication Number Publication Date
JPH07130812A true JPH07130812A (ja) 1995-05-19
JP3892913B2 JP3892913B2 (ja) 2007-03-14

Family

ID=22039482

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10011394A Expired - Fee Related JP3892913B2 (ja) 1993-05-13 1994-05-13 半導体ウエハ加工プロセス評価システム

Country Status (6)

Country Link
US (1) US5399229A (ja)
EP (1) EP0631304B1 (ja)
JP (1) JP3892913B2 (ja)
KR (1) KR100299635B1 (ja)
DE (1) DE69432203T8 (ja)
TW (1) TW264564B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
CN107615469A (zh) * 2015-05-27 2018-01-19 信越半导体株式会社 半导体晶圆的评价方法
DE102017218098A1 (de) 2016-12-12 2018-06-14 Mitsubishi Electric Corporation Verfahren zur Herstellung einer Halbleitervorrichtung

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5546312A (en) * 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5552327A (en) * 1994-08-26 1996-09-03 North Carolina State University Methods for monitoring and controlling deposition and etching using p-polarized reflectance spectroscopy
US5483568A (en) * 1994-11-03 1996-01-09 Kabushiki Kaisha Toshiba Pad condition and polishing rate monitor using fluorescence
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
FR2737806B1 (fr) * 1995-08-11 1997-09-12 Soc D Production Et De Rech Ap Dispositif et procede de traitement de surface par laser
US5835221A (en) * 1995-10-16 1998-11-10 Lucent Technologies Inc. Process for fabricating a device using polarized light to determine film thickness
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US6649075B1 (en) 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
JPH10125753A (ja) * 1996-09-02 1998-05-15 Murata Mfg Co Ltd 半導体のキャリア濃度測定方法、半導体デバイス製造方法及び半導体ウエハ
US6278809B1 (en) 1997-05-30 2001-08-21 Ion Optics, Inc. Fiber optic reflectance apparatus for in situ characterization of thin films
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6151119A (en) * 1997-12-19 2000-11-21 Advanced Micro Devices Apparatus and method for determining depth profile characteristics of a dopant material in a semiconductor device
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6850874B1 (en) 1998-04-17 2005-02-01 United Technologies Corporation Method and apparatus for predicting a characteristic of a product attribute formed by a machining process using a model of the process
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
GB9822690D0 (en) 1998-10-19 1998-12-09 Secr Defence Layer processing
US6162735A (en) * 1999-03-26 2000-12-19 Infineon Technologies North America Corp. In-situ method for preparing and highlighting of defects for failure analysis
US6615164B1 (en) * 1999-04-15 2003-09-02 Synopsys Inc. Method and apparatus for representing integrated circuit device characteristics using polynomial equations
US6268226B1 (en) * 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
JP4421054B2 (ja) * 2000-03-01 2010-02-24 Okiセミコンダクタ宮城株式会社 半導体薄膜の表面形状測定方法
JP4437611B2 (ja) * 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6519045B2 (en) 2001-01-31 2003-02-11 Rudolph Technologies, Inc. Method and apparatus for measuring very thin dielectric film thickness and creating a stable measurement environment
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US20030066816A1 (en) * 2001-09-17 2003-04-10 Schultz Gary A. Uniform patterning for deep reactive ion etching
US20040110314A1 (en) * 2002-12-05 2004-06-10 Ravi Kramadhati V. Silicon-on-insulator devices and methods for fabricating the same
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
JP2005015885A (ja) * 2003-06-27 2005-01-20 Ebara Corp 基板処理方法及び装置
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
JP2007040930A (ja) * 2005-08-05 2007-02-15 Ebara Corp 膜厚測定方法及び基板処理装置
US7632542B2 (en) * 2005-10-26 2009-12-15 University Of Maryland Method for controlling uniformity of thin films fabricated in processing systems
DE102006033083B4 (de) * 2006-07-14 2014-01-09 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Reinigung von Substratoberflächen
US8135485B2 (en) * 2007-09-28 2012-03-13 Lam Research Corporation Offset correction techniques for positioning substrates within a processing chamber
US7972969B2 (en) * 2008-03-06 2011-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for thinning a substrate
US7482180B1 (en) 2008-04-29 2009-01-27 International Business Machines Corporation Method for determining the impact of layer thicknesses on laminate warpage
DE102008021557B4 (de) * 2008-04-30 2011-07-28 Globalfoundries Inc. Verfahren zum Überwachen einer vorhergesagten Produktqualitätsverteilung
BR112015029784A2 (pt) 2013-07-09 2017-07-25 Halliburton Energy Services Inc sistema, ferramenta de medição e método
BR112015028910A2 (pt) 2013-07-09 2017-07-25 Halliburton Energy Services Inc elementos computacionais integrados com filtros espectrais lateralmente distribuídos
MX359927B (es) 2013-12-24 2018-10-16 Halliburton Energy Services Inc Fabricacion de capas criticas de elementos computacionales integrados.
WO2015099706A1 (en) 2013-12-24 2015-07-02 Halliburton Energy Services, Inc. Adjusting fabrication of integrated computational elements
BR112016011057A8 (pt) 2013-12-24 2020-04-22 Halliburton Energy Services Inc método e sistema
US10914863B2 (en) 2013-12-24 2021-02-09 Halliburton Energy Services, Inc. Real-time monitoring of fabrication of integrated computational elements
EP3063682A1 (en) 2013-12-30 2016-09-07 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
US9371577B2 (en) 2013-12-31 2016-06-21 Halliburton Energy Services, Inc. Fabrication of integrated computational elements using substrate support shaped to match spatial profile of deposition plume
MX359196B (es) 2014-02-14 2018-09-19 Halliburton Energy Services Inc Espectroscopía in situ para el monitoreo de la fabricación de elementos computacionales integrados.
BR112016016251B1 (pt) 2014-03-21 2020-11-17 Halliburton Energy Services, Inc ferramenta de análise óptica e sistema de perfilagem do poço
MX2016015788A (es) 2014-06-13 2017-04-25 Halliburton Energy Services Inc Elemento computacional integrado con multiples superficies selectivas de frecuencia.
US9953887B2 (en) * 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0061237B1 (en) * 1981-03-16 1986-04-16 Energy Conversion Devices, Inc. Optical methods for controlling layer thickness
US4571685A (en) * 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
JPH0616475B2 (ja) * 1987-04-03 1994-03-02 三菱電機株式会社 物品の製造システム及び物品の製造方法
US4831549A (en) * 1987-07-28 1989-05-16 Brigham Young University Device and method for correction of robot inaccuracy
US4890239A (en) * 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
DE3901017A1 (de) * 1989-01-14 1990-07-19 Leybold Ag Verfahren und vorrichtung zur ueberwachung des schichtabtrags bei einem trockenaetzprozess
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5200919A (en) * 1990-06-29 1993-04-06 Texas Instruments Incorporated Electrically-erasable, electrically-programmable read-only memory cell with a selectable threshold voltage and methods for its use
JPH04355916A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp ドライエッチング装置
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
US5197018A (en) * 1991-03-26 1993-03-23 Texas Instruments Incorporated Apparatus and method for drill wear prediction
US5220405A (en) * 1991-12-20 1993-06-15 International Business Machines Corporation Interferometer for in situ measurement of thin film thickness changes

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
CN107615469A (zh) * 2015-05-27 2018-01-19 信越半导体株式会社 半导体晶圆的评价方法
CN107615469B (zh) * 2015-05-27 2020-05-12 信越半导体株式会社 半导体晶圆的评价方法
DE102017218098A1 (de) 2016-12-12 2018-06-14 Mitsubishi Electric Corporation Verfahren zur Herstellung einer Halbleitervorrichtung
KR20180067408A (ko) 2016-12-12 2018-06-20 미쓰비시덴키 가부시키가이샤 반도체 장치의 제조 방법
US10186461B2 (en) 2016-12-12 2019-01-22 Mistubishi Electric Corporation Semiconductor device manufacturing method

Also Published As

Publication number Publication date
DE69432203T8 (de) 2004-10-14
TW264564B (ja) 1995-12-01
DE69432203D1 (de) 2003-04-10
KR940027051A (ko) 1994-12-10
JP3892913B2 (ja) 2007-03-14
US5399229A (en) 1995-03-21
KR100299635B1 (ko) 2001-11-30
EP0631304A3 (en) 1995-05-24
EP0631304A2 (en) 1994-12-28
EP0631304B1 (en) 2003-03-05
DE69432203T2 (de) 2004-01-08

Similar Documents

Publication Publication Date Title
JP3892913B2 (ja) 半導体ウエハ加工プロセス評価システム
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
US5835221A (en) Process for fabricating a device using polarized light to determine film thickness
US7042569B2 (en) Overlay alignment metrology using diffraction gratings
US6689519B2 (en) Methods and systems for lithography process control
US6815228B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
US6924088B2 (en) Method and system for realtime CD microloading control
US7085676B2 (en) Feed forward critical dimension control
JPH02303022A (ja) パターン形成方法
JP3854810B2 (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
WO2004015364A1 (en) Method for in-situ monitoring of patterned substrate processing using reflectometry
EP2311079A2 (en) Improved metrology through use of feed forward feed sideways and measurement cell re-use
JP2008153661A (ja) 光計測を用いた半導体製造プロセスのプロセスパラメータの測定方法
US7580129B2 (en) Method and system for improving accuracy of critical dimension metrology
Davies et al. Real-time, in-situ measurement of film thickness and uniformity during plasma ashing of photoresist
Lee-Aquila et al. Real-time control of photoresist thickness uniformity during the bake process
Tay et al. Real-time spatial control of photoresist development rate
US20050136335A1 (en) Patterned microelectronic mask layer formation method employing multiple feed-forward linewidth measurement
Tay et al. Real-time control of photoresist development process
Henck et al. Practical use of an in-line vacuum metrology cluster in a minifactory environment
JP2000091210A (ja) 露光装置、露光量関数設定方法及び露光方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040406

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040412

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050520

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050822

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050902

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061006

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061208

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091215

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101215

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101215

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111215

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121215

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees