KR100938636B1 - 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법 - Google Patents

제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법 Download PDF

Info

Publication number
KR100938636B1
KR100938636B1 KR1020047013587A KR20047013587A KR100938636B1 KR 100938636 B1 KR100938636 B1 KR 100938636B1 KR 1020047013587 A KR1020047013587 A KR 1020047013587A KR 20047013587 A KR20047013587 A KR 20047013587A KR 100938636 B1 KR100938636 B1 KR 100938636B1
Authority
KR
South Korea
Prior art keywords
wafer
photoresist
trim
equipment
profile
Prior art date
Application number
KR1020047013587A
Other languages
English (en)
Other versions
KR20040099292A (ko
Inventor
데이비드 에스. 엘. 무이
히로키 사사노
웨이 리유
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040099292A publication Critical patent/KR20040099292A/ko
Application granted granted Critical
Publication of KR100938636B1 publication Critical patent/KR100938636B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 웨이퍼를 처리하는 방법 및 장치는 웨이퍼가 처리되는 다음 프로세스(예를 들어, 포토레지스트 트림 프로세스)를 조절하기 위해 포토레지스트 마스크 CD 및 프로파일과 관련하여 포워드 정보를 공급함으로써 치수 편차를 감소시킨다. 공정 단계 이후에, 프로세스에 의해 형성된 게이트 CD와 같은 구조물의 치수가 측정되고 이 정보는 치수 변차를 보다 감소시키기 위해 다음 웨이퍼에 대한 프로세스를 조절하는 프로세스 장비에 공급된다. 레지스트 트림 레시피를 선택할 때 포토레지스트 CD 및 편차를 고려함으로써, 포스트-에칭 CD가 프리-에칭 CD 및 프로파일과 분리된다. 프리-에칭 CD에 대한 자동적 보상으로 인해, 포스트-에칭 CD에 대한 매우 엄격한 분포가 달성된다. 소정 실시예에서, CD 및 프로파일 측정, 트림 에칭 공정 및 포스트-에칭 세척은 제어된 환경에서 단일 모듈에서 수행된다. 상기 모듈에 의해 수행되는 모든 이송 및 공정 단계들은 세척 환경에서 수행되어, 단계들간에 오염 가능성 및 웨이퍼가 대기에 노출되는 것을 방지함으로써 수율이 증가된다.

Description

제조 장비에서 포스트 에칭 CD를 반복하기 위한 방법{METHODOLOGY FOR REPEATABLE POST ETCH CD IN A PRODUCTION TOOL}
본 출원은 2002년 3월 1일자로 출원된 미국 가출원 번호 60/361,064호의 우선권을 기초하여 청구된다.
본 발명은 반도체 기판 상에서 수행되는 공정을 모니터링하고 제어하는 방법 및 장치에 관한 것으로, 특히 피쳐(feature)의 공정중(in-process) 검사 동안 수집되는 정보의 피드백 및 피드-포워드(feed-forward)를 통해 반도체 기판 상에 형성되는 피쳐의 최소선폭(critical dimension;CD)을 제어하는 방법 및 장치에 관한 것이다. 특히 본 발명은 서브미크론 설계 피쳐를 갖는 고밀도 반도체 장치를 제조하는 동안 반도체 웨이퍼의 인-라인방식(in-line) 검사에 적용할 수 있다.
초대규모집적화와 관련하여 고밀도 및 성능을 위해 현재 요구되는 사항으로는 서브미크론 피쳐, 증가된 트랜지스터 및 회로 속도와 개선된 신뢰성이 있다. 이러한 요구조건은 장치들이 반도체 웨이퍼의 형태로 있는 동안 장치의 빈번하고 세밀한 검사를 포함하여, 주의깊은 프로세스 모니터링을 요구하는 높은 정밀도 및 균일성을 갖는 장치 피쳐 형성을 요구한다.
정밀한 검사를 요구하는 중요한 프로세스 중 하나로는 리소그래피가 있으며, 여기서 마스크는 반도체 웨이퍼에 회로 패턴을 전사하는데 사용된다. 전형적으로, 이러한 일련의 마스크는 미리조절된 시퀀스에 사용된다. 각각의 포토리소그래피 마스크는 웨이퍼상에 집적되는 회로 부품에 해당하는 기하학적 패턴의 복잡한 세트를 포함한다. 일련의 각각의 마스크는 실리콘 웨이퍼 상에 형성되는 폴리실리콘 또는 금속층과 같은 층상에 미리 코팅되는 감광성층(예를 들어, 포토레지스트층) 상에 해당 패턴을 전사하는데 사용된다. 포토레지스트층 상에 마스크 패턴 전사는 통상적으로 스캐너 또는 스텝퍼와 같은 광학적 노광 장비에 의해 수행되며, 상기 장비는 광 또는 다른 방사선이 마스크를 관통하게 하여 포토레지스트를 노출시킨다. 이후 포토레지스트는 포토레지스트 마스크를 형성하도록 현상되며, 하부 폴리실리콘 또는 금속층이 라인 또는 게이트와 같은 피쳐를 형성하도록 마스크에 따라 선택적으로 에칭된다.
마스크 제조는 공정 및 설계 제한에 의해 예정된 설계 규칙 세트를 수행한다. 이들 설계 규칙은 소자들과 상호접속 라인들 사이의 공간 공차(space tolerance) 및 이들 자체의 라인 폭을 한정하여, 소자들 또는 라인들이 바람직하지 않은 방식으로 서로 중첩되거나 상호작용하지 않게 한다. 설계 규칙 제한에 있어 최소선폭("CD")으로 간주되는 것은 소자 제조시 허용되는 두개 라인 사이의 최소 공간 또는 라인의 최소 폭으로 정의된다. 극초대규모집적화 분야에 대한 CD는 미크론 분률의 차수이다.
CD가 중요한 관련 프로세스로는 "레지스트 트림(resist trim)"이 공지되어 있다. 당업계에서 인식되고 있는 바와 같이, 포토레지스트층 상에 서브-미크론 피 쳐를 노출시키기 위해 광을 사용하는 포토리소그래피는 매우 고가이며 복잡하다. 또한, 원하는 피쳐 라인 폭이 작아짐에 따라, 포토리소그래피 프로세스의 제한은 보다 증가된다. 예를 들어, 50-70 나노미터 라인 폭이 통상적인 것이 되고 있으나, 통상적으로 포토리소그래피 프로세스는 100-120 나노미터 보다 작지 않은 라인 폭을 형성할 수 있다. 따라서, 원하는 것보다 큰 피쳐를 노출시키기 위해 포토리소그래피 장치를 사용하고, 이들의 최소 크기로 노출된 피쳐를 "축소(shrink)"시키기 위해 레지스트 트림이라 공지된 프로세스에 의해 상기 노출을 수행하는 기술이 개발되었다. 포토레지스트 트림은 플라즈마 에칭 단계를 이용함으로써 리소그래피 능력을 확장시켜 인쇄된 포토레지스트 CD를 30퍼센트까지 물리적으로 축소시킬 수 있다. 특히, 과도한 크기가 노출되고 포토레지스트가 현상된 후, 웨이퍼는 에칭 챔버로 이동되며, 특별히 설계된 "레지스트 에칭 단계"는 통상적으로 현상된 레지스트 피쳐의 크기를 축소시키는 이방성 에칭 단계를 수행한다. 이후 실제 피쳐(예를 들어, 폴리실리콘 게이트 또는 금속 라인)가 동일한 또는 상이한 에칭 챔버에서 상이한 에칭 레시피를 이용하여 에칭된다.
설계 규칙이 축소되고 프로세스 윈도우(공정 에러 마진)가 작아짐에 따라, 표면 피쳐의 CD의 검사 및 측정 및 이들 단면 형상("프로파일")에 대한 중요성이 증가되고 있다. 설계 치수로부터 피쳐의 CD 및 프로파일의 편차는 완성된 반도체 장치의 성능에 악영향을 미칠 수 있다. 또한, 피쳐 CD 및 프로파일의 측정은 과다노출(over-exposure)로 인한 포토레지스트 손실 또는 스텝퍼 디포커싱(defocusing)과 같은 공정 문제를 나타낼 수 있다.
CD 편차를 모니터링하고 교정하는 방법 중 하나는, 포토레지스트가 원하는 것보다 큰 피쳐를 형성하도록 노출되고 현상되는 웨이퍼의 랏(lot)으로부터 하나 이상의 테스트 웨이퍼를 선택하고, 최소선폭 전자 주사 현미경(CD-SEM)을 이용하여 테스트 웨이퍼로부터 대표적인 포토레지스트 피쳐 CD를 측정함으로써 수행된다. 다음 웨이퍼는 포토레지스트 에칭 단계 및 게이트 에칭 단계를 통해 처리되고, 에칭된 피쳐의 CD가 측정된다. 초기 및 최종 CD 측정 결과는 이들 CD를 목표값으로 유도하기 위해 랏(lot)에 있는 나머지 웨이퍼에 대한 에칭 레시피를 조절하는데 사용된다. 종래의 CD 모니터링 기술에 따라서, 샘플 웨이퍼의 CD 측정(즉, 웨이퍼상에 형성된 포토레지스트 피쳐를 먼저 측정한 다음 게이트를 측정)은 SEM에서 오프-라인으로 수행되어 웨이퍼 상의 특정 피쳐의 CD는 에칭 레시피가 상기 웨이퍼를 처리하는데 사용되는 것을 결정하는데 사용되지 않는다. 또한, 피쳐 프로파일은 종래 기술에 따른 에칭 레시피를 조절하는 경우 고려되거나 측정되지 않는다. 종래 기술과 관련한 또 다른 정보는, Toprac 등의 USP 5,926,690호에 개시되어 있다.
APC(Advanced Process Control)가 반도체 제조자들에게 광범위하게 사용되기 때문에, 반도체 장비 제조자들은 증가된 압력하에서 통합된 프로세스 제어 솔루션을 제공하고 있다. 피쳐 크기가 130nm 이하로 축소됨에 따라, 매우 엄격한 프로세스 톨러런스에 대한 조건 및 10억 달러 이상의 팩토리로부터 생산성 개선에 대한 조건은 APC에 대한 조건이 되고 있다.
생산성 또는 수율을 크게 감소시키지 않고 신속하고 바람직한 CD 및 프로파일의 식별 및 교정을 위해 비용면에서 효율적인 간단한 방식이 요구된다. 또한, 레지스트 트림 및 에칭 동작을 정확하게 수행하기 위한 확실하고 효과적인 장치 및 방법이 요구된다.
본 발명의 장점은 웨이퍼를 공정중에 검사하는 동안 수집된 정보를 이용함으로써, 생산성 또는 수율을 감소시키지 않고 반도체 웨이퍼 상에 형성된 피쳐의 CD 및 프로파일 편차를 감소시키는 능력에 있다.
본 발명에 따라, 상기 및 또 다른 장점은 반도체 웨이퍼를 처리하는 장치 부품에 의해 달성되며, 상기 장치는 전도성층상에 형성된 패턴화된 포토레지스트 마스크와 같이, 웨이퍼상에 있는 하부층 상에 형성된 패턴화된층 상의 패턴의 측벽 프로파일 및 CD를 측정하는 측정 장비; 제 1 세트의 프로세스 파라미터 값을 이용하여 웨이퍼 상에서 프로세스를 수행하기 위한 에쳐(etcher)와 같은 공정 장비; 및 CD 및 프로파일의 측정치에 기초하여 제 1 세트의 프로세스 파라미터값(예를 들어, 에칭 레시피)을 선택하도록 구성된 프로세서를 포함한다.
본 발명의 제 1 면은 반도체 웨이퍼를 처리하는 장치에 관한 것으로, 웨이퍼 상에 있는 하부층상에 형성된 패턴화된 층상의 패턴의 측벽 프로파일 및 CD를 측정하는 측정 장비; 제 1 세트의 프로세스 파라미터 값을 이용하여 웨이퍼 상에서 프로세스를 수행하는 공정 장비; CD 및 프로파일의 측정치에 기초하여 제 1 세트의 프로세스 파라미터 값을 선택하도록 구성된 프로세서; 측정 장비와 공정 장비 사이에서 웨이퍼를 이송하는 이송 메커니즘; 및 이송 메커니즘을 밀폐시키고 세척 환경에서 이송 메커니즘, 측정 장비 및 공정 장비 사이로의 연통을 허용하는 챔버를 포함한다.
본 발명의 또다른 장점은 이하 상세한 설명으로부터 이해될 수 있으며, 본 발명의 실시예는 본 발명을 수행하는 데 있어 최상의 모드로 고려되는 것을 간단히 도시한 것이다. 본 발명은 또 다른 다양한 실시예를 구현할 수 있으며, 그의 상세한 설명은 본 발명을 이탈하지 않는 한 다양한 방식으로 변형될 수 있다. 따라서, 도면 및 상세한 설명은 설명을 위한 것으로, 본 발명을 제한하기 위한 것은 아니다.
첨부된 도면을 참조하며, 동일한 도면 부호를 갖는 부재들은 동일한 부재를 나타낸다.
도 1은 본 발명의 실시예에 따른 장치의 블럭도;
도 2는 본 발명의 실시예에 따른 공정 흐름도;
도 3은 본 발명의 실시예에 따른 방법의 순차적 단계를 나타내는 순서도;
도 4A-4D는 본 발명의 실시예를 사용하는 트림 공정 특성의 그래프;
도 5A-5B는 본 발명의 실시예를 사용하는 웨이퍼의 처리 결과를 나타내는 그래프;
도 6A-6E는 본 발명의 실시예에 따른 공정 모듈을 개략적으로 나타내는 도면;
도 7은 본 발명에 따른 방법의 순차적 단계를 나타내는 순서도.
본 발명은 프리-에칭(pre-etch) CD 및 프로파일을 검출하기 위해 모든 웨이퍼를 검사하고, 레지스트 트림 시간 및/또는 에칭 파라미터와 같은 프로세스 파라미터를 검출하기 위해 상기 검사 결과를 이용하는 광학적 CD(OCD) 방법을 이용한다. 이 방법에서, 본 발명은 최종 CD 및 프로파일 치수를 정확하게 한다. 본 발명은 검사된 웨이퍼가 거치는 다음 공정(예를 들어, 포토레지스트 트림 공정)을 조절하기 위해 포토레지스트 마스크 CD 및 프로파일과 관련된 정보를 피드 포워드시킴으로써 CD 편차를 감소시켜 CD 제어 문제를 해결한다. 본 발명의 소정 실시예에서, 프리-에칭 CD 및 프로파일 측정, 에칭, 세척 및 포스트-에칭(post-etch) CD 측정은 완전히 제어된 환경 조건하에서 수행된다. 에칭, 세척 및 메인프레임 및/또는 팩토리 인터페이스상에 측정 장비를 제공함으로써, 웨이퍼는 카세트에 복귀하기 전에 에칭되고, 세척되고 검사될 수 있어, 공정 시간 및 비용을 감소시킨다.
본 발명에 의해 사용되는 OCD 방법론적 기술은 APC 인에이블러(enabler)로 현재의 SEM-기재 시스템이 부적절해지고 있는 CD 측정 분야에서 새로운 기술로 활용된다. 예를 들어, 공칭 입사 분광 OCD 계측 시스템은 인-라인 비파괴 SEM으로는 가능하지 않은 상세한 라인 프로파일을 제공한다. OCD 기술의 속도 및 콤팩트한 크기는 본 발명에 따른 측정 시스템이 어플라이드 머티리얼스의 DPSII 에칭 시스템과 같은 프로세스 장비에 완전히 통합될 수 있게 한다. APC 소프트웨어와의 조합이 이루어지는 경우, 이는 웨이퍼-투-웨이퍼 폐회로 제어를 위한 완벽한 피드-포워드 솔루션을 제공한다.
본 발명의 완벽한 피드포워드 솔루션으로부터 유용한 공정 단계의 예로는 도 입되는(incoming) 포토레지스트(PR) 치수에 민감한 에칭 공정이 있다. CD 제어는 최종 게이트 CD에 의해 장치 속도가 결정되는 게이트 에칭에 있어 특히 중요하다. 여기서, 도입되는 레지스트 마스크 CD에서의 편차는 최종 에칭된 CD에서의 비례 편차를 형성한다. 에칭에 앞서 도입되는 레지스트 CD의 측정은 에칭 프로세스의 리소그래피로 인한 편차를 보상하게 한다.
본 발명의 방법에 따라, 전도성층과 같이 하부층은 웨이퍼 상에 형성되며, "포토 셀(photo cell)"에서 리소그래피 프로세스에 의해(예를 들어, 포토레지스트 현상을 수반하는 스텝퍼에서의 노출) 포토레지스트 마스크와 같이 패턴화된 층이 하부층 상에 형성된다. 마스크 상의 패턴은 광학 검사 장비와 같은 측정 단일화 유니트를 사용하여 검사되어 그의 CD 및 프로파일을 검출한다. 다음 웨이퍼는 종래의 에칭 챔버로 이송되며, 측정된 포토레지스트 CD 및 프로파일은 프로세서에 의해 에쳐의 절대적인 에칭 균일성 성능 및 트림 곡선의 비선형성을 고려하여, 포토레지스트 트림 레시피(예를 들어 트림 시간)를 조절하도록 사용된다.
이후 하부층은 마스크로서 트림처리된(trimmed) 포토레지스트 패턴을 사용하여 에칭된다. 에칭 후에, 웨이퍼는 습식 에칭 단계를 수반하는 애시(ash) 포토레지스트 스트립에 의해 선택적으로 세척되고, 측정 단일화 유니트(integrated metrology unit)로 다시 이송되어, 에칭 프로세스에 의해 형성된 피쳐의 CD, 프로파일 및 깊이가 측정되어 원하는 치수와 비교된다. 이러한 정보는 다음 웨이퍼가 에칭되는 경우 트림 레시피의 조절에 의해 프로세서로 다시 제공된다(예를 들어, 에칭 프로세스 드리프트 또는 포토 셀 문제를 보상한다).
레지스트 트림 레시피가 선택되는 경우 포토레지스트 CD 및 프로파일 편차를 고려함으로써, 본 발명은 프리-에칭 CD 및 프로파일로부터 포스트-에칭 CD와 분리된다. 도입되는 레지스트 CD를 측정하고 트림 시간을 조절함으로써, 에칭 프로세스는 연속으로 웨이퍼 상에서 리소그래피에서 편차를 보상할 수 있다. 리소그래피 단계로부터 도입되는 레지스트 CD의 자동 보상으로, 포스트-에칭 CD의 상당히 엄격한 분포가 본 발명에 의해 달성될 수 있으며, 최종 CD 균일성은 에칭 장비의 생산성에 영향을 미치지 않는 현실적인 사항(spefication)이 된다.
본 발명의 실시예는 도 1에 도시된 것처럼, 공정 라인(300)에서 검사 장비를 사용하여 수행되며, 상기 공정 라인(300)은 예를 들어 USP 5,963,329호에 개시된 광학 이미저 또는 캘리포니아 Nanometrics of Milpitas로부터 시판되는 나노 OCD 9000와 같은 광학 검사 장비와 같은 측정 장비(310)를 포함한다. 광학적 측정 장비(310)는 스캐터로메드리(scatterometry) 또는 리프렉토메드리(reflectometry) 기술을 이용할 수 있다. 검사 장비로서 스캐터로메드리의 사용은 Raymond에 의해 2000년 겨울 Microlithography World 에 "Angle-resolved scatterometry for semiconductor manufacturing"로 개시되었다. 검사 장비로서 리프렉토메드리의 사용은 Lee에 의해 Characterization and Metrology for ULSI Technology:1998 International Conference (The Amereican Institute of Physics 1998)에 "Analysis of Reflectometry and Ellipsometry Data from Patterned Structures"로 개시되었다.
공정 라인(300)은 공개된 분석을 전기적으로 수행하는 프로세서(320) 및 프로세서(320)의 분석 결과를 표시하기 위한 모니터(330)를 더 포함한다. 프로세서(320)는 반도체 메모리와 같은 메모리 장치(340), 및 통상적으로 프로세스 정보를 저장하는데 사용되는 "제조 실행 시스템(MES)"로 공지된 컴퓨터 소프트웨어-실행 데이터베이스 시스템(350)과 통신할 수 있다. 또한, 프로세서(320)는 앞서 개시된 포토 셀(360)과 에쳐(370)와 통신할 수 있다.
본 발명의 실시예는 도 1-3의 참조로 보다 상세히 설명된다. 도 2의 프로세스 흐름도를 참조로, 유전체 에쳐에 의해 처리되는 웨이퍼(W)는 증착 공정에 의해 폴리실리콘층과 같은 전도성층(210)이 형성된 기판(200)을 포함한다. 패턴(P)을 갖는 패턴화된 포토레지스트층(250)(즉, 포토셀(360)에서 형성된 포토레지스트 마스크)이 전도성층(210) 상에 형성된다. 무반사 코팅(ARC)층(미도시)이 전도성층(210)과 포토레지스트층(250) 사이에 포토리소그래피 프로세스를 보조하도록 종래의 방식으로 형성될 수 있다. 선택적으로, 실리콘 질화물층(미도시)은 포토레지스트층(250)을 사용하는 에칭에 의해 "하드 마스크"를 형성하도록 패턴화되는 전도성층(210) 상에 형성될 수 있다. 패턴(P)은 도 2에서 CD0로서 간주되는 초기 CD를 갖는다. 도 3의 순서도에 도시된 것처럼, 웨이퍼(W)는 단계(3000)에서 포토 셀(360)로부터 측정 장비(310)로 이동되며, 여기서 패턴(P)의 CD 및 프로파일이 선택적으로 측정된다. 전형적으로 CD 및 프로파일 측정은 웨이퍼(W) 상의 몇개 위치(즉, 패턴(P))에서 얻을 수 있다. 측정 개수는 에칭 프로세스 생산량 요구조건에 의해 궁극적으로 제한되며, 프로세스의 완성기 및 이후(past) 성능에 의해 영향을 받는다. 일반적으로, 프로세스 완성되지 않고, 다수의 측정치가 제공되어야 한다. 전형적으로, 예를 들어 웨이퍼 상부, 좌측, 하부, 우측 및 중심부의 약 5개 샘플 측정치가 제공된다. 측정된 피쳐의 CD 및 프로파일은 본 방법의 순차적 단계에 사용되기 전에 평균화될 수 있다.
측정 장비(310)는 종래의 광학 검사 기술을 이용하여 트렌치 등과 같이, 포토레지스트층(250)상의 소정 패턴의 CD 및 프로파일을 직접 측정할 수 있다. 예를 들어, 엄밀한 결합파 해석(RCWA;rigorous coupled wave analysis)이 수행될 수 있으며, 여기서 주어진 파형에 해당하는 CD는 광학 검사 장비내의 프로세서에 의한 계산에 의해 유추될 수 있다. RCWA는 Chateau에 의해 Journal of the Optical Society of America vol.11, No.4(1994년 4월) "Algorithm for the rigorous couple-wave analysis of grating diffraction" 및 Moharam에 의해 Journal of the Optical Society of America vol.12, No.3(1995년 5월)의 "Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings:enhanced transmittance matrix approach"에 개시되었다.
측정된 CD 및 프로파일은 CD 및 프로파일 각도 측정 및 에쳐(370)의 특성을 고려하여 얻은 식에 의해, 웨이퍼(W)에 대한 에칭 프로세스 파라미터(즉, 트림 레시피)를 검출하기 위한 단계(3100)에서 프로세서(320)에 의해 사용된다. 이러한 식을 이용하는 프로세서(320)에 의해 조절될 수 있는 각각의 프로세스 파라미터로는 에칭 파워, 에칭 시간, 에칭 가스 흐름 속도 및 압력, 자계 세기 및 자계 프로파일이 포함된다.
교정된 최종 CD 및 프로파일을 얻기 위해, 포토레지스트 트림 및 하부층 에칭 레시피는 각각의 웨이퍼에 대해서 조절될 수 있다. 예를 들어, 최종 CD는 대체로 트림 레시피에 의해 영향을 받으며, 최종 프로파일은 대체로 에칭 레시피에 의해 영향을 받는다. 측정된 프리-에칭 CD 및 프로파일, 트림과 에칭 레시피, 및 최종 CD와 프로파일 사이의 관계식은 제조 개시 이전에 실험적으로 결정된다. 일련의 실험은 프리-에칭 CD 및 프로파일을 먼저 검출하고, 트림 및 에칭 프로세스를 수행하고 결과를 맵핑함으로써 수행된다. 예를 들어, 트림 시간 및 에칭 레시피를 변화시키는 일련의 실험은 최상의 결과를 얻고, 각각의 트림 및 에칭 레시피가 최종 결과에 어떻게 영향을 받는지를 검출하도록 수행될 수 있다. 실험 결과는 트림 및 에칭 파라미터를 계산하기 위해 제조 동안 프로세스를 사용하는 알고리즘 또는 식으로 표현될 수 있다.
본 발명의 일 실시예에서, 포토레지스트 트림 시간은 트림 레시피의 다른 파라미터 및 하부층에 대한 에칭 레시피가 일정하게 유지되는 동안 조절된다. 본 발명의 실시예에서, 통합된 OCD/에칭 프로세스가 수행되기 이전에, 트림 시간을 계산하기 위한 "트림 곡선"을 검출해야 한다. 이는 실험계획법(DOE; design of experiment)을 수행하는 단계를 수반하며, 여기서 일련의 웨이퍼는 상이한 트림 시간으로 에칭되어, 트림 에칭 레시피의 레스트(rest)를 일정하게 유지한다. 도 4A는 트림 곡선의 예를 나타낸다. 트림처리된 양(프리-에칭 및 포스트-에칭 CD간의 차이)은 트림 시간 및 포토레지스트(PR) 측벽 각도의 함수이다. 트림처리된 양 대 PR 측벽 각도(SWA)의 관계는 도 4B에 도시된다. 에쳐 및 프리-에칭 비균일성으로 인한 웨이퍼의 불균일성의 복잡성을 방지하기 위해, 동일한 조건하에서 에칭된 일련의 웨이퍼의 동일한 다이로부터 데이터가 얻어진다. 도 4B에서 알수 있듯이, 트림처리된 양은 SWA에 따라 증가한다. 이러한 특성은 직관적으로 정확하며, 이는 재진입(re-entrant) 프로파일(SWA>90°)에 에칭 프로세스에서 CD 손실로 유도되기 때문이다.
주어진 타겟 포스트-에칭 CD에 대한 트림 시간 검출은 도 4C에 도시된 것처럼 SWA의 함수로서 도시된 도 4A의 트림 곡선을 이용하여 도시된다. 트림 시간 및 측벽 각도에 따른 트림 양의 관계는 도 4C에 도시된 두개의 식으로부터 단일 수학식으로 조합될 수 있다. 모든 응답이 도 4C에 도시된 것처럼 시간에 따라 선형인 것으로 가정하면, CD에서의 변화("△CD")는 하기 선형식으로 표현될 수 있다.
(1) △CD = R(A)t + S(A)
여기서, t는 트림 시간이고 R(A) 및 S(A)는 하기 식 4와 식 5에 의해 주어딘다. 트림 곡선이 검출되는 두개의 트림 시간을 t1 및 t2로 간주하면, t2가 t1 보다 크고, 측벽 각도가 A로 간주되면,
(2) △CDt2 = R(A)t2 + S(A) =p2A +q2
(3) △CDt1 = R(A)t1 + S(A) =p1A +q1
p 및 q는 공지된 선형 최량 적합 분석으로부터 얻어지는 정수(이러한 정순느 도 4C에 식으로 도시된다)이다.
식 (2)와 식(3)은 하기에서 처럼 R(A) 및 S(A)에 대해 계산될 수 있다.
(4) R(A) = ((p2-p1)A + q2-q1)/(t2-t1)
(5) S(A) = ((p1t2-p2t1)A + q1t2-q 2t1)/(t2-t1)
식(4)와 식(5)는 주어진 프리-에칭 CD 및 SWA에 대해 목표 포스트-에칭 CD를 달성하기 위해 요구되는 트림 시간(t)를 검출하는 식을 형성하기 위해 식(1)으로 대체될 수 있다. 이 식은 단계(3100)에서 프로세서(320)에 의해 사용된다.
본 발명의 또다른 실시예에서, 본 방법은 도 4D에 도시된 것처럼 트림 시간 대 포토레지스트 트림처리된 양이 비선형이라는 사실을 고려한다. 따라서, 본 발명은 보다 정확한 포토레지스트 트리밍이 가능하다.
본 발명의 방법의 CD 제어를 테스트하기 위해, 일련의 웨이퍼가 130±1nm의 타겟 최종 CD로 처리된다. 프리-에칭 CD의 평균은 8.36nm의 전체 범위(최대-최소)에 대해 162.6nm이다. 9-포인트 측정이 각각의 웨이퍼 상에서 수행되며, 각각의 웨이퍼에 대한 CD 및 PR SWA 평균은 에쳐에 피드포워드된다. 전체 프로세스 시퀀스에서의 변화는 단지 트림 시간이며, 이는 레시피에 저장된 트림 곡선 정보에 기초하여 자동적으로 계산된다. 도 5A는 최종 포스트-에칭 CD 분포가 프리-에칭 분포로부터 상당히 감소된 것을 나타내는 결과를 도시한다. 전체 범위 웨이퍼의 평균 프리-에칭 CD는 8.36nm로부터 1.61nm의 포스트-에칭 값으로 감소된다. 또한 엄격한 제어는 130.1nm의 최종 포스트-에칭 CD를 달성하여, 130±1nm의 목표 범위를 충족시킨다.
이러한 결과를 얻기 위해서는 매우 안정한 에쳐를 갖는 것이 바람직하며, 이 는 전형적으로 전체 시스템은 폐회로 제어에서 동작하지 않기 때문이다. 다른말로, 최상의 공지된 방법을 이용할 수 있도록 에칭 및 트림 레시피는 일정하게 유지되며, 에칭 챔버는 먼저 특성화되어야 한다. 예를 들어, 도 4A에 도시된 트림 곡선은 도 5A에서 사용되는 웨이퍼가 런(run)되기 전에 이틀 동안 검출된 것이다. 최종 포스트-에칭 CD 결과는 뛰어난 에쳐 안정성을 나타낸다. 하기 논의되는 본 발명의 소정 실시예에서, 최종 CD 및 프로파일 결과는 에칭 단계에서의 드리프트로 인해 트림 곡선(밀 트림 시간)을 조절하기 위해 프로세서(320)로 재공급될 수 있다. 이러한 방식에 있어, 장기간 에칭 챔버 안정성의 결핍이 고려될 수 있다.
본 발명은 반도체 제조 산업에 있어 오늘날 존재하는 게이트 CD 제어 문제에 대한 독특한 솔루션을 제공한다. 상기 문제점은 포토레지스트 CD 및 프로파일을 측정함으로써 해결된다. 측정에 있어 PR 프로파일의 포함은 제 1 시간 동안, PR 측벽 각도("세타 변환(Theta Transformation)")의 공헌에 대한 2차 차수 교정을 가능케한다. 세타 변환의 중요성은 도 5B에 도시되었으며, 세타 변환을 이용하여 얻은 측정된 포스트-에칭 CD는 SWV 교정 없이 CD 교정만으로 얻어진 시뮬레이션된 데이터와 비교된다. 도 5B에 도시된 것처럼, 세타 변환의 사용은 2.72nm 내지 0.62nm로 포스트-에칭 분포를 엄격하게 한다.
다시 도 3을 참조로, 단계(3200)에서 포토레지스트층(250)은 실험적으로 검출된 식을 이용하여 프로세서(320)에 의해 검출된 트림 레시피(즉, 트림 시간)를 이용하여 에칭된다. 결과는 도 2의 좌측에 도시되며, 패턴(P)은 치수 CD1으로 트림 처리된다. 하부층(210)이 단계(3300)에서 전형적으로 동일한 에칭 챔버에서 에칭되어, 구조물(S)(도 2 우측 하단부)이 형성된다. 다음 웨이퍼(W)는 선택적으로 포토레지스트 애시 스트립 챔버(단계(3400) 참조)로 이동되며, 단계(3500)에서 측정 장비(3100)로 다시 이동된다. 구조물(S)의 CD 및 프로파일이 웨이퍼(W) 상의 몇개 위치에서 측정되며, 이러한 위치는 단계(3000)에서 포토레지스트층(250)의 프리-에칭 측정이 이루어지는 곳이다.
포스트-에칭 CD 및 프로파일 정보는 프로세서(320)로 공급되며, 목표 결과와의 변차는 다음 에칭되는 웨이퍼에 대한 트림 및/또는 에칭 레시피를 조절하는데 사용될 수 있다. 예를 들어, 측정된 CD 및 프로파일로부터 그리고 앞서 개시된 DOE 모델링으로부터, 에쳐 프로세스 드리프트가 검출될 수 있다 ; 즉, 에쳐 프로세스 "노화(age)"되거나 에쳐는 그의 프로세스 시간라인상에 있을 수 있다. 에칭 레시피는 다음 웨이퍼에 대해 조절되어, 에칭 결과는 목표한바와 근접해진다. 포스트-에칭 정보는 프로세서(320)로 다시 공급되어 이전 프로세스에서의 문제점을 발견하고 교정한다; 예를 들어, 웨이퍼 배치(batch)상의 포토레지스트가 잘못된 온도에서 베이크처리되는 경우, 그의 트림 속도는 상이하다. 따라서, 먼저 에칭된 웨이퍼가 측정되고 오류가 발견되는 경우, 트림 시간은 나머지 웨이퍼에 대해 프로세서(320)에 의해 보상되도록 조절될 수 있다. 또한, 측정된 치수 변차가 예정된 범위 밖에 있거나, 공정 결과가 하나의 웨이퍼에서 그다음 웨이퍼로 극적으로 변한 경우, 에쳐가 서비스 밖으로 제거될 것(예를 들어, 교체 또는 유지 보수를 위해)을 지시하는 알람이 발생된다.
본 발명의 상기 실시예는 나머지 트림 레시피 및 하부층 에칭 레시피를 일정하게 유지하면서 포토레지스트 트림 시간을 조절하였지만, 본 발명의 또다른 실시예에서는 또다른 트림 파라미터가 각각의 웨이퍼에 대해 가변적이며, 하부 에칭 레시피가 각각의 웨이퍼에 대해 가변할 수 있다. 예를 들어, 에칭 및/또는 트림 레시피는 하나의 웨이퍼로부터 또다른 웨이퍼로의 CD 바이어스 변화를 고립시키기 위해 조밀하게 보상되도록 조절될 수 있다. 이러한 실시예는 트림/에칭 프로세스 파라미터 값을 선택하기 위해 프로세서(320)에 의한 사용을 위해 적절한 식으로 전개되도록 적절한 DOE를 요구한다.
측정된 CD 및 프로파일에 기초한 에칭 레시피를 조절하는 본 발명의 방법은 포토레지스트 트림 프로세스로 제한되지 않는다. 포토레지스트 트림이 수행되지 않는 경우 또한 이용될 수 있다. 포스트-에칭 프로파일은 포토레지스트 프로파일을 따라 좌우되기 때문에, 임의의 에칭된 패턴의 포스트-에칭 프로파일은 본 발명의 방법을 이용하여 측정된 포토레지스트 프로파일 측벽 각도를 기초로 미세하게 조정될 수 있다.
본 발명의 또다른 실시예에서, 반도체 웨이퍼를 처리하는 장치가 제공되며, 여기서 웨이퍼는 웨이퍼 카세트로부터 제거되고, 웨이퍼 상에 형성된 패턴화된 층 상의 패턴의 CD 및 프로파일이 광학 측정 장비를 사용하여 측정된다. 에칭 프로세스와 같은 프로세스가 패턴 CD 및 프로파일 측정에 기초하여 선택된 트림 또는 에칭 레시피와 같은 프로세스 파라미터 값의 세트를 사용하여 웨이퍼 상에서 수행된다. 애시 스트립핑 및 습식 세척과 같은 포스트-에칭 공정이 상기 장치에 의해 선 택적으로 수행되며, 에칭 프로세스에 의해 하부층에 형성된 구조물의 CD 및 프로파일은 웨이퍼가 카세트로 복귀되기 이전에 몇개 위치에서 측정된다. 포스트-에칭 측정은 차후 웨이퍼에 대한 에칭 레시피를 조절하도록 에쳐로 재공급된다. 상기 장치에 의해 수행되는 이송 및 공정 단계 모두는 세척 분위기에서 수행되어, 단계들 사이에 오염 가능성 및 웨이퍼의 대기 노출을 방지함으로써 수율이 증가된다.
본 발명의 상기 실시예들은 증착 모듈에서 증착 균일성 편차 및/또는 포토 셀에서 노광 및 포커스 편차와 같이, 앞서 개시된 장비에서 프로세스 변화를 교정하기 위해 CD 및 프로파일 측정치에 따라 각각의 웨이퍼에 대해 포토레지스트 트림/에칭 레시피의 조절 및 각 웨이퍼에 대한 프리-에칭 CD 및 프로파일 측정치를 제공한다. 또한 본 발명은 에쳐 프로세스 드리프트에 대한 에칭 레시피 조절을 제공한다.
본 발명의 실시예에 따른 반도체 웨이퍼를 처리하는 장치는 도 6A를 참조로 개시된다. 장치는 예를 들어 캘리포니아 산타 클라라의 어플라이드 머터리얼스로부터 시판되는 DSPIITM 폴리실리콘 에칭 챔버와 같은 종래의 에칭 프로세서(902)와 같은 다수의 공정 챔버 및 "로드락"이라 불리는 하나 이상의 이송 챔버(903)를 장착하기 위한, CenturaTM 공정 시스템(캘리포니아 산타클라라의 어플라이드 머터리얼스에서 시판) 과 같은 챔버 또는 "메인프레임"(901)을 포함한다. 본 발명의 일 실시예에서, 메인프레임(901)에는 4개의 에칭 프로세서(902)가 장착된다. 일 실시예에서, 에칭을 위해 3개의 에쳐(902)가 사용되며, 하나는 포스트-에칭 세척을 위해( 즉, 에칭 후 웨이퍼로부터 또다른 잔류물 및 포토레지스트 폴리머를 제거하기 위해) 선택적으로 사용된다. 메인프레임(901)은 그 내부의 진공 환경을 유지할 수 있다. 공정 챔버(902)와 이송 챔버(903) 사이에는 웨이퍼 전송을 위한 로봇(904)이 제공된다.
이송 챔버(903)는 제어된 환경을 유지하는 "미니 환경"으로서 공지된 팩토리 인터페이스(905)에 접속된다. 스캐터로메드리(scatterometry) 또는 리프렉토메드리 기술을 이용하는 광학 측정 장비와 같은 측정 장비(906)가 팩토리 인터페이스(905) 내부에 장착된다. 측정 장비(906)로서 사용될 수 있는 예시적 장비로는 USP 5,963,329호에 개시된 측정 장비를 포함할 수 있는 상기 개시된 측정 장비(310)(도 1 참조)가 있다. 상기 개시된 것처럼 웨이퍼 CD 및 프로파일 측정치에 기초한 에칭 레시피를 에쳐(902)에 제공하기 위한 프로세서(즉, 프로세서(320)에 상응하는 프로세서)는 에쳐(902) 또는 메인프레임(901)의 부품일 수 있다. 하나 이상의 로봇(907), 또는 트랙 로봇이 이송 챔버(903), 측정 장비(906) 및 팩토리 인터페이스(905)에 제거가능하게 부착된 표준 웨이퍼 카세트(908) 사이에 웨이퍼 이송을 위해 팩토리 인터페이스(905) 내부에 장착된다. 메인프레임(901), 이송 챔버(903), 팩토리 인터페이스(905) 및 로봇(904, 907)은 모두 어플라이드 머터리얼스 CenturaTM과 같은 종래의 공정 시스템의 부품일 수 있으며, 세척, 제어된 환경을 유지하면서 서로 연통할 수 있다. 이러한 종래의 공정 시스템은 시스템의 하나의 부품으로부터 또다른 부품으로 웨이퍼를 전송을 포함하여 시스템의 동작을 전기적으로 제어하 는 컴퓨터(미도시)와 같은 프로세서를 더 포함할 수 있다.
본 발명의 실시예에 따른 장치의 동작은 도 7의 순서도를 참조로 설명한다. 다수의 웨이퍼가 하부층상에 포토레지스트 마스크를 형성하도록 상기 설명된 것처럼 포토 셀과 같은 공정 장비에서 처리된 후, 카세트(908) 속으로 장착되고 카세트는 단계(1010)에서 팩토리 인터페이스(905)로 이송된다. 다음 웨이퍼는 카세트(908)로부터 제거되고(unloaded) 로봇(907)에 의해 측정 장비(906)로 이송되고(단계 1020), 포토레지스트 상의 패턴의 CD 및 프로파일이 단계(1030)에서 측정된다. 단계(1040)에서, 웨이퍼에 대한 포토레지스트 트림 레시피가 상기 설명된 바와 같이 CD 및 프로파일 측정치를 기초로 선택된다.
단계(1050)에서, 웨이퍼는 측정 장비(906)로부터 에쳐(902)로 로봇(907)을 이용하여 이송되어, 이송 챔버(903)로 웨이퍼가 이동되고, 로봇(904)을 이용하여 에쳐(902)로 웨이퍼를 이동시킨다. 포토레지스트층은 트림처리되고(단계 1060), 웨이퍼는 동일한 에쳐(902)에서 에칭된다(단계1070). 다음 본 발명의 소정 실시예에서, 웨이퍼는 포토레지스트의 제거를 위해(단계 1090), 종래의 애시 스트립 챔버와 같은 포토레지스트 스트립핑 챔버(902)(단계 1080)로 이송된다. 다음 웨이퍼는 단계(1120)에서 카세트(908) 속에 장착되기 전에 포스트-에칭 CD 및 프로파일 측정을 위해 측정 장비(906)로 재 이송된다(단계 1100 및 단계 1110). 포스트-에칭 CD 및 프로파일 측정치는 프로세서(320)로 보내져, 상기 설명된 바와 같이, 다음 에칭되는 웨이퍼에 대한 트림 곡선 및/또는 에칭 레시피를 교정하는데 사용된다.
도 6B에 도시된 본 발명의 또다른 실시예에서, 팩토리 인터페이스(905a)에 ( 도 6A의 실시예에서 처럼 팩토리 내부 대신에) CD 측정 장비(906a)가 장착된다. 도 6B의 장치는 상기 설명된 바와 같이 도 7의 순서도에 따라 동작한다.
도 6C에 도시된 본 발명의 또다른 실시예에서, 측정 장비(906a)는 팩토리 인터페이스(905a) 보다는 메인프레임(901) 상에 장착된다. 도 6C의 장치는 상기 설명된 바와 같이 도7의 순서도에 따라 동작한다.
도 6D에 도시된 본 발명의 또다른 실시예에서, 팩토리 인터페이스(905b)에는 측정 장비(906a) 및 종래의 습식 에칭 세척 챔버(909)가 장착된다. 습식 세척 챔버(909)는 초음파 변환기(transducer)를 사용하는 단일 웨이퍼 세척 스테이션일 수 있다. 메인프레임(901) 상의 챔버(902)중 하나는 상기 설명된 바와 같이 종래의 애시 스트립 챔버이다. 웨이퍼가 에칭된 후, 웨이퍼는 포토레지스트 제거를 위해 애시 스트립 챔버로 이송되고(도 7의 단계 1080 및 단계 1090), 습식 세척 챔버(909)로 이송되어 단계(1100)에서 측정 장비(906a)로 이송되기 전후에 세척된다.
도 6E에 도시되고 2000년 8월 31일자로 출원된 미국 특허 출원번호 09/945,454호에 개시된 또다른 실시예에서, 메인프레임(901)은 어플라이드 머터리얼스의 CenturaTM이며 팩토리 인터페이스(905c)는 어플라이드 머터리얼스에서 시판되는 LinkTM이다. 팩토리 인터페이스(905c)에는 단일 로봇(907a), 상기 설명된 것처럼 측정 장비(906a), 상기 설명된 것처럼 종래의 습식 세척 챔버(909), 및 종래의 애시 스트립 챔버(910)가 장착된다. 또한, 2개의 애시 스트립 챔버(910)는 2개의 종래의 에쳐(911)와 함께 메인프레임(901)에 장착된다. 선택적으로, 4개의 에 쳐(911)가 애시 스트립 챔버(910) 대신 메인프레인(901)에 장착될 수 있다. 웨이퍼가 에칭된 후에, 웨이퍼는 포토레지스트 제거를 위해 애시 스트림 챔버(910)중 하나로 이송되며(단계 1080 및 단계 1090), 습식 세척 챔버(909)로 이송되어 단계(1100)에서 측정 장비(906a)로 이송되기 전후에 세척된다.
도 6A-6E에 도시된 본 발명의 실시예는 완전히 제어된 환경 조건하에서 프리-에칭 CD, 및 프로파일 측정치, 에칭, 세척, 및 포스트-에칭 CD 측정치를 제공한다. 메인프레임 및/또는 팩토리 인터페이스 상에 에칭, 세척 및 측정 장비를 제공함으로써, 웨이퍼가 카세트로 복귀되기 전에 에칭되고 세척되고 검사되어, 제조 시간 및 비용을 감소시킨다. 또한 도 6A-6D의 실시예는 웨이퍼 마다 실시간 측정 데이터의 피드백 및 피드포워드를 제공함으로써, 수율을 증가시키기 위해 웨이퍼 마다 주문화되는 에칭 공정을 가능케한다. 따라서, 본 발명은 임의의 경우 있어, CD 측정치로부터의 피드백은 웨이퍼마다 보다는 랏-대-랏(lot-to-lot)상에서 이루어지며, 웨이퍼는 측정, 에칭 및 세척 단계 사이에서 웨이퍼가 노출되는 종래 기술의 시스템과 비교하여 제조 비용을 감소시키고 수율을 증가시킨다.
본 발명은 다양한 형태, 특히 약 0.18μ이하의 설계 규칙을 갖는 고밀도 반도체 장치의 제조에 이용될 수 있다.
본 발명은 종래의 물질, 방법 및 장비를 사용함으로써 수행될 수 있다. 따라서, 이러한 물질, 장비 및 방법에 대한 상세한 설명을 본 명세서에서는 개시하지 않는다. 상기 상세한 설명에 있어, 다수의 특정한 설명은 본 발명의 이해를 돕기 위해, 특정 물질, 구조, 화학제, 프로세스 등으로 개시하였다. 그러나, 본 발명은 개시된 특정한 상세한 설명에 의지하지 않고 수행될 수 있다. 또한, 공지된 공정 구조물은 본 발명을 명료하게 하기 위해 상세한 설명에 개시하지 않았다.
본 발명의 다양한 몇가지 예가 도시되고 설명되었다. 본 발명은 다양한 조합 및 조건을 이용할 수 있으며 본 명세서에 개시된 발명의 범주내에서 다양한 변형이 가능하다.

Claims (40)

  1. 반도체 웨이퍼를 처리하는 방법으로서,
    (a) 상기 웨이퍼 상의 하부층 상에 형성된 패턴화된층 상의 패턴의 CD 및 측벽 프로파일을 측정하는 단계;
    (b) 상기 CD 및 프로파일의 측정치를 기초로, 상기 웨이퍼 상에서 수행되는 제 1 프로세스에 대한 제 1 세트의 프로세스 파라미터 값을 선택하는 단계; 및
    (c) 상기 제 1 세트의 프로세스 파라미터 값을 이용하여 공정 장비에 있는 상기 웨이퍼 상에서 상기 제 1 프로세스를 수행하는 단계
    를 포함하는 반도체 웨이퍼 처리 방법.
  2. 제 1 항에 있어서,
    상기 패턴의 CD 및 프로파일을 광학적으로 측정하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  3. 제 1 항에 있어서,
    상기 제 1 프로세스는 상기 하부층내에 구조물을 형성하기 위한 에칭 프로세스인 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  4. 제 1 항에 있어서,
    포토레지스트 패턴을 갖는 포토레지스트 마스크를 포토리소그래피 방식으로 형성함으로써 상기 패턴화된 층을 형성하는 단계를 포함하며, 상기 측정하는 단계는 상기 포토레지스트 패턴의 CD 및 프로파일을 측정하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  5. 제 4 항에 있어서,
    상기 제 1 프로세스는 포토레지스트 트림 프로세스이며, 상기 제 1 세트의 프로세스 파라미터 값은 트림 시간을 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  6. 제 5 항에 있어서,
    상기 포토레지스트 패턴의 측정된 CD 및 프로파일 및 추가적으로 원하는 포스트-트림 포토레지스트 CD를 기초로 상기 트림 시간을 선택하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  7. 제 6 항에 있어서,
    수학식을 전개하는 단계를 포함하며, 상기 트림 시간은 상기 포토레지스트 패턴 CD, 상기 포토레지스트 패턴 프로파일, 및 상기 포토레지스트 패턴 CD와 상기 원하는 포스트-트림 포토레지스트 CD 사이의 차의 함수이며, 상기 포토레지스트 패턴 프로파일은 측벽 각도를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방 법.
  8. 제 6 항에 있어서,
    상기 트림처리된 포토레지스트 패턴을 마스크로 이용하여 상기 하부층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  9. 제 8 항에 있어서,
    상기 공정 장비에 있는 상기 웨이퍼 상에서 상기 트림 프로세스 및 에칭 프로세스를 수행하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  10. 제 8 항에 있어서,
    상기 에칭 프로세스를 수행한 후에 상기 웨이퍼를 세척하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  11. 제 8 항에 있어서,
    상기 에칭 프로세스에 의해 상기 하부층내에 형성된 구조물의 CD를 측정하는 단계, 및 상기 구조물의 CD 측정치를 이용하여 차후 처리되는 웨이퍼에 대한 제 2 세트의 프로세스 파라미터 값을 선택하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  12. 제 3 항에 있어서,
    상기 제 1 프로세스에 의해 상기 하부층내에 형성된 구조물의 CD를 측정하고, 상기 구조물의 CD 측정치를 이용하여 차후 처리되는 웨이퍼에 대한 제 2 세트의 프로세스 파라미터 값을 선택하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  13. 제 8 항에 있어서,
    상기 포토레지스트 패턴의 측정된 CD 및 프로파일을 기초로 상기 에칭 프로세스에 대한 에칭 레시피를 선택하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  14. 제 6 항에 있어서,
    상기 포토레지스트 마스크는 다수의 상기 포토레지스트 패턴을 포함하며, 상기 방법은,
    상기 다수의 포토레지스트 패턴의 CD 및 프로파일을 측정하는 단계;
    상기 CD 및 프로파일 측정치를 평균화시키는 단계; 및
    상기 트림 시간을 선택하기 위해 상기 CD 및 프로파일 측정치의 평균을 이용하는 단계
    를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  15. 제 7 항에 있어서,
    상기 포토레지스트의 트림처리된 양은 시간에 따라 비선형적으로 변하며, 상기 방법은 추가로 상기 비선형 트림을 기초하여 상기 트림 시간을 선택하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  16. 반도체 웨이퍼를 처리하는 장치로서,
    상기 웨이퍼 상에 있는 하부층상에 형성된 패턴화된 층 상에서 패턴의 CD 및 측벽 프로파일을 측정하는 측정 장비;
    제 1 세트의 프로세스 파라미터 값을 이용하여 상기 웨이퍼상에서 프로세스를 수행하는 공정 장비; 및
    상기 CD 및 프로파일의 측정치에 기초하여 상기 제 1 세트의 프로세스 파라미터 값을 선택하도록 구성된 프로세서
    를 포함하는 반도체 웨이퍼 처리 장치.
  17. 제 16 항에 있어서,
    상기 측정 장비는 광학 측정 장비를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  18. 제 17 항에 있어서,
    상기 광학 측정 장비는 스캐터로미트리 또는 리프렉토미트리를 사용하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  19. 제 16 항에 있어서,
    상기 공정 장비는 에쳐(etcher)인 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  20. 제 19 항에 있어서,
    상기 패턴화된 층은 포토레지스트 패턴을 갖는 포토레지스트 마스크이며, 상기 측정 장비는 상기 포토레지스트 패턴의 CD 및 프로파일을 측정하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  21. 제 20 항에 있어서,
    상기 에쳐는 상기 포토레지스트 마스크 상에서 포토레지스트 트림 프로세스를 수행하며, 상기 프로세서에 의해 선택된 제 1 세트의 프로세스 파라미터중 하나는 포토레지스트 트림 시간인 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  22. 제 21 항에 있어서,
    상기 프로세서는 상기 포토레지스트 패턴의 상기 측정된 CD 및 프로파일 및 추가로 원하는 포스트-트림 포토레지스트 CD를 기초로 상기 트림 시간을 선택하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  23. 제 22 항에 있어서,
    상기 프로세서는 수학식을 이용하여 상기 트림 시간을 선택하도록 구성되며, 상기 트림 시간은 상기 포토레지스트 패턴 CD, 상기 포토레지스트 패턴 프로파일, 및 상기 포토레지스트 패턴 CD 및 상기 원하는 포스트-트림 포토레지스트 CD 사이의 차의 함수이며, 상기 포토레지스트 패턴 프로파일은 측벽 각도를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  24. 제 22 항에 있어서,
    상기 에쳐는 상기 트림처리된 포토레지스트 패턴을 마스크로서 이용하여 상기 하부층을 에칭하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  25. 제 24 항에 있어서,
    상기 하부층을 에칭한 후에 상기 웨이퍼로부터의 잔류물을 제거하는 스트립핑 장비를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  26. 제 24 항에 있어서,
    싱기 측정 장비는 상기 에칭 프로세스에 의해 상기 하부층내에 형성된 구조물의 CD를 측정하며, 상기 프로세서는 상기 구조물의 상기 CD 측정치를 이용하여 차후 처리되는 웨이퍼에 대한 제 2 세트의 프로세스 파라미터 값을 선택하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  27. 제 19 항에 있어서,
    상기 에쳐는 상기 하부층내에 구조물을 형성하기 위한 제 1 프로세스를 수행하며, 상기 측정 장비는 상기 하부층내에 형성된 구조물의 CD를 측정하며, 상기 프로세서는 상기 구조물의 CD 측정치를 이용하여 차후 처리되는 웨이퍼에 대한 제 2 세트의 프로세스 파라미터를 선택하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  28. 제 24 항에 있어서,
    상기 프로세서는 상기 포토레지스트 패턴의 측정된 CD 및 프로파일에 기초하여 상기 에칭 프로세스에 대한 에칭 리시피를 선택하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  29. 제 22 항에 있어서,
    상기 포토레지스트 마스크는 다수의 상기 포토레지스트 패턴을 포함하며, 상기 측정 장비는 상기 다수의 포토레지스트 패턴의 CD 및 프로파일을 측정하며, 상기 프로세서는,
    상기 CD 및 프로파일 측정치를 평균화시키고;
    상기 트림 시간을 선택하도록 상기 CD 및 프로파일 측정치 평균을 이용하도록
    구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  30. 제 23 항에 있어서,
    상기 포토레지스트의 트림처리된 양은 시간에 따라 비선형적으로 변하며, 상기 프로세서는 추가로 상기 비선형 트림을 기초하여 상기 트림 시간을 선택하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  31. 반도체 웨이퍼를 처리하는 장치로서,
    상기 웨이퍼 상에 있는 하부층상에 형성된 패턴화된층 상에서 패턴의 CD 및 측벽 프로파일을 측정하기 위한 측정 장비;
    상기 웨이퍼상에서 프로세스를 수행하기 위해 제 1 세트의 프로세스 파라미터 값을 이용하는 공정 장비;
    상기 CD 및 프로파일의 측정치에 기초하여 상기 제 1 세트의 프로세스 파라미터 값을 선택하도록 구성된 프로세서;
    상기 측정 장비 및 상기 공정 장비 사이에서 상기 웨이퍼를 이송시키기 위한 이송 메커니즘; 및
    상기 이송 메커니즘을 밀봉시키며 세척 환경에서 상기 이송 메커니즘, 상기 측정 장비 및 상기 공정 장비 사이를 연통시키는 챔버
    를 포함하는 반도체 웨이퍼 처리 장치.
  32. 제 31 항에 있어서,
    상기 측정 장비는 광학 측정 장비인 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  33. 제 32 항에 있어서,
    상기 측정 장비는 스캐터로미트리 또는 리프렉토미트리를 사용하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  34. 제 31 항에 있어서, 상기 챔버는,
    상기 제 1 공정 장비를 포함하는, 다수의 공정 장비를 장착시키기 위한 메인프레임;
    웨이퍼 카세트를 장착하기 위한 팩토리 인터페이스; 및
    상기 메인 프레임 및 상기 팩토리 인터페이스 사이에서 연통되는 이송 챔버를 포함하며,
    상기 이송 메커니즘은 상기 측정 장비, 상기 이송 챔버 및 상기 웨이퍼 카세트 사이로 상기 웨이퍼를 이송시키는 제 1 로봇, 및 상기 이송 챔버와 상기 공정 장비 사이로 상기 웨이퍼를 이송시키는 제 2 로봇을 포함하며;
    상기 측정 장비는 상기 팩토리 인터페이스 또는 메인프레인 상에 장착되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  35. 제 31 항에 있어서,
    상기 프로세스 장비는 에쳐를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  36. 제 35 항에 있어서, 상기 프로세서는,
    상기 웨이퍼 상에서 상기 프로세스가 수행된 이후 상기 에쳐로부터 상기 측정 장비로 웨이퍼를 이송시키기 위해 상기 이송 메커니즘을 제어하고;
    상기 에쳐에 있는 상기 하부층에 형성된 구조물의 CD를 측정하기 위해 상기 측정 장비를 제어하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  37. 제 34 항에 있어서,
    상기 프로세스 장비는 에쳐를 포함하며, 상기 프로세스는 포토레지스트 트림 프로세스이며, 상기 제 1 프로세스 파라미터 값은 포토레지스트 트림 레시피를 포함하며, 상기 프로세서는 상기 포토레지스트 트림 프로세스가 상기 웨이퍼 상에서 수행된 후 상기 웨이퍼 상에서 에칭 프로세스가 수행되도록 상기 에쳐를 제어하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  38. 제 37 항에 있어서, 상기 프로세서는,
    상기 웨이퍼 상에서 상기 프로세스가 수행된 이후 상기 에쳐로부터 상기 측정 장비로 웨이퍼를 이송시키기 위해 상기 이송 메커니즘을 제어하고;
    상기 에칭 프로세스 동안 상기 하부층에 형성된 구조물의 CD를 측정하기 위해 상기 측정 장비를 제어하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  39. 제 37 항에 있어서,
    상기 웨이퍼로부터 잔류물을 제거하기 위해 상기 메인프레임 또는 팩토리 인터페이스에 장착되는 애싱 스트립 공정 유니트를 더 포함하며, 상기 프로세서는 상기 에칭 프로세스가 상기 웨이퍼 상에서 수행된 후에 상기 애싱 스트립 공정 유니트로 상기 웨이퍼를 이송시키기 위해 상기 이송 메커니즘을 제어하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  40. 제 39 항에 있어서,
    상기 웨이퍼의 세척을 위해 상기 팩토리 인터페이스에 장착되는 세척 챔버를 더 포함하며, 상기 프로세서는 상기 애싱 스트림 공정 유니트에 있는 상기 웨이퍼 상에서 애싱 스트립 프로세스가 수행된 후에 상기 세척 챔버로 상기 웨이퍼를 이송시키기 위해 상기 이송 메커니즘을 제어하도록 구성되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
KR1020047013587A 2002-03-01 2003-02-26 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법 KR100938636B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36106402P 2002-03-01 2002-03-01
US60/361,064 2002-03-01
US10/238,453 2002-09-09
US10/238,453 US6858361B2 (en) 2002-03-01 2002-09-09 Methodology for repeatable post etch CD in a production tool
PCT/US2003/005617 WO2003075342A2 (en) 2002-03-01 2003-02-26 Methodology for repeatable post etch cd in a production tool

Publications (2)

Publication Number Publication Date
KR20040099292A KR20040099292A (ko) 2004-11-26
KR100938636B1 true KR100938636B1 (ko) 2010-01-22

Family

ID=27791406

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047013587A KR100938636B1 (ko) 2002-03-01 2003-02-26 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법

Country Status (5)

Country Link
US (1) US6858361B2 (ko)
KR (1) KR100938636B1 (ko)
AU (1) AU2003219875A1 (ko)
TW (1) TW200305250A (ko)
WO (1) WO2003075342A2 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6895295B1 (en) * 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US6979408B2 (en) * 2002-12-30 2005-12-27 Intel Corporation Method and apparatus for photomask fabrication
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
JP4455936B2 (ja) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
US7018855B2 (en) 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
WO2005106932A1 (ja) * 2004-04-28 2005-11-10 Nikon Corporation 解析方法、露光装置及び露光装置システム
US7349067B2 (en) * 2004-06-21 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US20060065626A1 (en) * 2004-09-27 2006-03-30 Smayling Michael C Detection and feed forward of exposed area to improve plasma etching
US20060205223A1 (en) * 2004-12-30 2006-09-14 Smayling Michael C Line edge roughness reduction compatible with trimming
US7142940B2 (en) * 2005-03-14 2006-11-28 Umci Ltd. Method of processing semiconductor wafer
JP4705816B2 (ja) * 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
US7479436B2 (en) * 2006-01-09 2009-01-20 International Business Machines Corporation Feed forward silicide control scheme based on spacer height controlling preclean time
US7305320B2 (en) 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
KR100818388B1 (ko) * 2006-08-31 2008-04-01 동부일렉트로닉스 주식회사 반도체 소자의 패턴 임계치수 제어 방법
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5254049B2 (ja) * 2008-02-15 2013-08-07 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5065082B2 (ja) * 2008-02-25 2012-10-31 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
CN105428295B (zh) 2009-12-15 2020-08-11 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
US8415238B2 (en) 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
TWI441271B (zh) 2011-01-31 2014-06-11 Ind Tech Res Inst 量測矽通孔結構之系統、方法與電腦可讀取記錄媒體
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
TWI600876B (zh) 2015-11-23 2017-10-01 財團法人工業技術研究院 量測系統
US10727143B2 (en) * 2018-07-24 2020-07-28 Lam Research Corporation Method for controlling core critical dimension variation using flash trim sequence
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN109891566B (zh) * 2019-01-29 2021-01-29 长江存储科技有限责任公司 智能可定制湿法处理系统
CN111211051B (zh) * 2020-01-02 2023-01-06 长江存储科技有限责任公司 台阶刻蚀方法、系统、电子设备及计算机可读存储介质
CN113126427A (zh) * 2021-03-29 2021-07-16 上海华力集成电路制造有限公司 一种调整光刻局部图形尺寸的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020155629A1 (en) * 2000-11-20 2002-10-24 Fairbairn Kevin P. Semiconductor processing module with integrated feedback/feed forward metrology

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020155629A1 (en) * 2000-11-20 2002-10-24 Fairbairn Kevin P. Semiconductor processing module with integrated feedback/feed forward metrology

Also Published As

Publication number Publication date
AU2003219875A8 (en) 2003-09-16
WO2003075342A2 (en) 2003-09-12
US6858361B2 (en) 2005-02-22
TW200305250A (en) 2003-10-16
WO2003075342A3 (en) 2004-01-29
AU2003219875A1 (en) 2003-09-16
US20030165755A1 (en) 2003-09-04
KR20040099292A (ko) 2004-11-26

Similar Documents

Publication Publication Date Title
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
US6924088B2 (en) Method and system for realtime CD microloading control
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
JP7395094B2 (ja) プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
TWI410822B (zh) 先進製程控制方法和系統
US11300887B2 (en) Method to change an etch parameter
US7306746B2 (en) Critical dimension control in a semiconductor fabrication process
US6838010B2 (en) System and method for wafer-based controlled patterning of features with critical dimensions
US7674350B2 (en) Feature dimension control in a manufacturing process
US6235440B1 (en) Method to control gate CD
US6972853B1 (en) Methods of calibrating and controlling stepper exposure processes and tools, and system for accomplishing same
US20220091514A1 (en) Methods and apparatus for controlling a lithographic process
CN100403505C (zh) 生产工具中实现可重复蚀刻后临界尺寸的方法
Chapados Jr Monitoring of submicrometer linewidths using diffraction gratings

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee