DE69432203T2 - Methode zur Kontrolle und Evaluierung einer Halbleiterscheibenfabrikation - Google Patents

Methode zur Kontrolle und Evaluierung einer Halbleiterscheibenfabrikation Download PDF

Info

Publication number
DE69432203T2
DE69432203T2 DE69432203T DE69432203T DE69432203T2 DE 69432203 T2 DE69432203 T2 DE 69432203T2 DE 69432203 T DE69432203 T DE 69432203T DE 69432203 T DE69432203 T DE 69432203T DE 69432203 T2 DE69432203 T2 DE 69432203T2
Authority
DE
Germany
Prior art keywords
manufacturing process
wafer
progress
etching
locations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69432203T
Other languages
English (en)
Other versions
DE69432203D1 (de
DE69432203T8 (de
Inventor
Jerry A. Stefani
Stephanie W. Butler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Industries Inc
Original Assignee
Texas Industries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Industries Inc filed Critical Texas Industries Inc
Publication of DE69432203D1 publication Critical patent/DE69432203D1/de
Publication of DE69432203T2 publication Critical patent/DE69432203T2/de
Application granted granted Critical
Publication of DE69432203T8 publication Critical patent/DE69432203T8/de
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Description

  • TECHNISCHES GEBIET DER ERFINDUNG
  • Diese Erfindung betrifft integrierte Schaltungen und insbesondere das Überwachen und Beurteilen der Gleichmäßigkeit von Prozessen zur Herstellung von Halbleiterwafern.
  • HINTERGRUND DER ERFINDUNG
  • Verschiedene Prozesse und Prozeduren werden zum Herstellen integrierter Schaltungen auf Halbleiterwafern verwendet. Beispiele dieser Prozesse sind die Oxidation von Silicium zur Bildung eines Siliciumdioxidfilms (Si02-Films) auf einem Siliciumsubstrat und die Mikrolithographie, wobei gewöhnlich ein strahlungsempfindliches Material (manchmal als "Photoresist" oder "Resist" bezeichnet) auf den Oxidfilm aufgebracht wird. Strukturmerkmale der integrierten Schaltung werden häufig entweder durch Aufbringen von Material, durch Oxidation oder durch Entfernen des darunterliegenden Materials (Ätzen) auf dem Wafer entwickelt. Typischerweise wird der Resist durch Lithographietechniken, wie Photolithographie, Elektronenstrahllithographie oder Röntgenstrahllithographie, durch eine auf dem Resist angeordnete Maske bestrahlt. Der Resist reagiert, abhängig von der Auswahl des chemischen Systems, chemisch in den belichteten Bereichen der Maske.
  • Andere Prozesse, die gewöhnlich mit der Herstellung von Halbleiterwafern verbunden sind, umfassen die Diffusion gesteuerter Störstoffe oder Dotierungsstoffe in die Filme. Die Ionenimplantation wird auch zum Einführen gesteuerter Störstoffe in die Filme verwendet. Weitere Herstellungsprozesse umfassen die physikalische Dampfabscheidung dünner Filme durch Sputtern und die physikalische Dampfabscheidung dünner Filme durch Bedampfen.
  • Die Folge von Waferherstellungsprozessen und -prozeduren werden abhängig vom Typ des Halbleiterwafers und von den gewünschten Betriebseigenschaften der auf dem Halbleiterwafer hergestellten integrierten Schaltung gewählt. Herstel lungsprozesse werden häufig mehrere Male wiederholt, um mehrere Schichten aufzubauen, die bei modernen höchstintegrierten Schaltungen auftreten, welche auf Halbleiterwafern hergestellt werden.
  • Das Ätzen ist ein üblicherweise verwendeter Prozeß bei der Herstellung zahlreicher integrierter Schaltungen auf Halbleiterwafern mit einem Siliciumsubstrat. Das Ätzen beinhaltet das Entfernen von Material vom Siliciumsubstrat und/oder von ausgewählten Dünnfilmschichten, die zuvor auf die Oberfläche des Siliciumsubstrats aufgebracht wurden. Wenn eine Maske zum Schützen von Teilen der Waferoberfläche verwendet wird, besteht das Ziel des Ätzens darin, Material zu entfernen, das nicht von der Maske bedeckt ist. Die Hauptaufgabe des Ätzprozesses besteht darin, das von der Schutzmaske festgelegte Muster genau auf das darunterliegende Material zu übertragen.
  • Verschiedene Techniken, wie die Ellipsometrie, werden verwendet, um die Filmdicke auf Halbleiterwafern zu messen. Die Ellipsometrie mißt auch den optischen Brechungsindex. Ellipsometrietechniken werden häufig verwendet, um die Dicke und den Brechungsindex verschiedener Typen dielektrischer Filme zu messen und auch die Dicke von Mehrschichtstrukturen, wie Polysilicium auf Siliciumdioxid oder eines Photoresists auf Siliciumdioxid, zu bestimmen. Andere Techniken zum Bestimmen der Filmdicke umfassen die optische Interferenz, Kapazitätsverfahren und/oder Oxidfilm-Farbdiagramme.
  • Aus "Plasma Etching", Herausgeber D. M. Manos, D. L. Flamm, Academic Press, Inc., 1989, S. 385-387 ist bekannt, daß eine Oberflächenansprechanalyse zum Optimieren von Ätzprozessen verwendet werden kann. Dieses Dokument offenbart weiter einen empirischen quadratischen Ausdruck, der das Ansprechen des Ätzprozesses (beispielsweise der Ätzrate) abhängig von drei Ätzprozeßbedingungen beschreibt.
  • In "Journal of the Electrochemical Society", Band 138, Nr. 3, März 1991, S. 789-799 sind Techniken für die Echtzeitüberwachung und Steuerung beim Plasmaätzen offenbart. Mit einer zusammengesetzten experimentellen Auslegung und einer Oberflächenansprechanalyse des Systems wird ein empirisches, mehrere Variablen aufweisendes Modell des Systems entwickelt. Das Modell deckt vier manipulierte Variablen, welche die dem Plasmareaktor zugeführte Leistung, den Kammerdruck, die Gaseinlaß-Strömungsrate und die Gaseinlaßzusammensetzung einschließen, vier gemessene Prozeßvariablen, welche die Plasmateilchendichten der Reaktionsteilnehmer und des Produkts und die durchschnittliche Ionenenergie einschließen, und sieben Funktionsvariablen, welche die Ätzraten, die Ätzselektivität zwischen zwei Filmen, die Ätzanisotropie und die Ätzgleichmäßigkeit einschließen, ab.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Gemäß der vorliegenden Erfindung wurden die Nachteile und Probleme, die mit früheren Überwachungs- und Beurteilungssystemen verbunden sind, welche während der Herstellung von Halbleiterwafern verwendet werden, erheblich verringert oder beseitigt. Das Verfahren gemäß der vorliegenden Erfindung ist in Anspruch 1 definiert. Die vorliegende Erfindung ermöglicht das Überwachen eines Herstellungsprozesses an einer gewählten Stelle auf einem Halbleiterwafer und das zuverlässige Vorhersagen der Ergebnisse des Herstellungsprozesses an anderen Stellen auf dem Halbleiterwafer.
  • Die vorliegende Erfindung ermöglicht das In-Situ-Überwachen eines Herstellungsprozesses an einem gewählten Ort auf einem Halbleiterwafer und das zuverlässige Vorhersagen der Ergebnisse des Herstellungsprozesses an anderen Orten auf dem Halbleiterwafer als Funktion am gewählten Ort erhaltener Informationen und von Prozeßbedingungen oder Steuerfaktoren. Mit einer richtigen Modellierung und Spezifikation der Prozeßbedingungen oder Steuerfaktoren ist eine Echtzeitbeurteilung eines Herstellungsprozesses über die gesamte Waferoberfläche möglich.
  • Gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung werden statistische Modellierungsverfahren mit der In-Situ-Ellipsometrie kombiniert, um eine Echtzeitbeurteilung räumlicher Ätzratenmuster über die Oberfläche eines Halbleiterwafers als Funktion gewählter Prozeßbedingungen während eines Einzelwafer-Plasmaätz-Herstellungsprozesses bereitzustellen. Durch Bereitstellen einer Echtzeitbeurteilung der Ätzrate an anderen Stellen oder Orten auf der Oberfläche des Wafers können Abweichungen zwischen Wafern, die mit demselben Prozeß im selben Reaktorgefäß hergestellt werden, durch Bereitstellen einer verbesserten Steuerung von Durchlauf zu Durchlauf veningert werden.
  • Die Anwendung der vorliegenden Erfindung ermöglicht das Erreichen des Folgenden: das Verringern der Beschädigung der Oberfläche und der gewünschten vertikalen Profile während des selektiven Ätzens von Polysilicium, eine verbesserte Prozeßsteuerung zum Verbessern der Gleichmäßigkeit einer Polysiliciumschicht über die Oberfläche eines Halbleiterwafers während des Grundmateria1-Ätzprozesses und eine optimale, gleichmäßige Dicke einer Polysiliciumschicht nach Abschluß des Grundmaterial-Ätzprozesses. Die vorliegende Erfindung ermöglicht auch die Wafer für Wafer erfolgende Steuerung der Ätzgleichmäßigkeit.
  • Ein anderes wichtiges technisches Merkmal der vorliegenden Erfindung umfaßt das Aufnehmen von Informationen, die anhand eines Sensors, der einen Ort an der Oberfläche eines Halbleiters während eines Herstellungsprozesses überwacht, erhalten werden, und das Verwenden der Informationen zum Bereitstellen einer Echtzeitbeurteilung der Ergebnisse des Prozesses an jedem anderen gewünschten Ort an der Oberfläche des Halbleiterwafers. Von dem Sensor bereitgestellte Informationen können verwendet werden, um den Herstellungsprozeß zu steuern und/oder zu unterbrechen, wenn abgeleitete Werte an anderen Orten an der Oberfläche des Halbleiterwafers ein gewünschtes Gleichmäßigkeitsniveau über die Waferoberfläche angeben.
  • KURZBESCHREIBUNG DER ZEICHNUNG
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und ihrer Vorteile wird nun auf die folgende Beschreibung Bezug genommen, die zusammen mit der anliegenden Zeichnung gelesen werden sollte, in der:
  • 1 eine schematische Ansicht ist, in der Teile eines Reaktorgefäßes und eines Ellipsometersystems dargestellt sind, die zum Ausführen des Verfahrens gemäß der vorliegenden Erfindung zum Überwachen und Beurteilen ausgewählter Prozesse während der Herstellung einer integrierten Schaltung auf einem Halbleiterwafer verwendet werden können,
  • 2 eine schematische Ansicht ist, in der Chips und ausgewählte Meßstellen an der Oberfläche eines Halbleiterwafers dargestellt sind,
  • 3 eine Graphik ist, in der die mittlere Ätzrate an einer ausgewählten Stelle an der Halbleiterwaferoberfläche aus 2 in Beziehung zur an einer Ellipsometerstelle auf der Waferoberfläche gemessenen mittleren Ätzrate dargestellt ist,
  • 4 eine schematische Darstellung einer Oberflächenansprechmethodologie ist, die zum Ableiten der empirischen Modelle für die mittlere Ätzrate an ausgewählten Stellen an der Waferoberfläche unter Verwendung experimenteller Daten verwendet wird, wie durch den Graphen aus 3 dargestellt ist,
  • 5 ein Funktionsblockdiagramm ist, in dem ein Sensor, eine Datenspeichereinheit und eine Berechnungseinrichtung/Steuereinrichtung dargestellt sind, die zum Ausführen des Verfahrens gemäß der vorliegenden Erfindung verwendet werden können, und
  • 6 eine schematische Ansicht ist, in der die Dicke an ausgewählten Stellen an der Waferoberfläche als Ergebnis des Überwachens des Ätzens des Halbleiterwafers aus 2 unter Verwendung des Verfahrens gemäß der vorliegenden Erfindung dargestellt ist.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Die bevorzugten Ausführungsformen der vorliegenden Erfindung und ihre Vorteile lassen sich am besten beim Lesen der 1 bis 6 der Zeichnung verstehen, wobei gleiche Bezugszahlen für gleiche und entsprechende Teile der verschiedenen Zeichnungsbestandteile verwendet werden.
  • Verschiedene Prozesse, wie Oxidation, Diffusion, Ionenimplantation, chemische Dampfabscheidung und Ätzen, werden während der Herstellung einer integrierten Schaltung auf einem Halbleiterwafer verwendet. Weiterhin werden verschiedene Typen von In-Situ-Sensoren, wie Interferometer, Infrarotspektrometer, Laserinterferometer und Ellipsometer, zum Überwachen ausgewählter Herstellungsprozesse verwendet, die für jeden Sensortyp geeignet sind.
  • Die vorliegende Erfindung wird mit Bezug auf die Verwendung eines Ellipsometers 20 zum Überwachen und Beurteilen eines Polysilicium-Plasma-Gate-Grundmaterial-Ätzens des Halbleiterwafers 40 beschrieben. Der Halbleiterwafer 40 ist vorzugsweise eine dünne Einkristallscheibe aus einem Halbleitermaterial, wie Silicium. Die vorliegende Erfindung kann jedoch zusammen mit anderen Typen von Halbleitermaterialien verwendet werden, und sie ist nicht auf Silicium beschränkt.
  • Wie am besten in 1 dargestellt ist, ist der Halbleiterwafer 40 mit einem Siliciumsubstrat und einer oder mehreren Filmschichten auf Quarzstiften 26 innerhalb eines Reaktorgefäßes oder einer Reaktionskammer 28 angeordnet. Das Ellipsometer 20 weist eine Laserlichtquelle 22 und einen Analysator 24 auf. Die Reaktionskammer 28 weist vorzugsweise zwei oder mehr optische Fenster (nicht dargestellt) auf, um es dem Ellipsometer 20 zu ermöglichen, die Dicke einer Filmschicht oder von Filmschichten an einer gewählten Stelle 44 an der Oberfläche 42 des Wafers 40 zu messen. Die Reaktionskammer 28 kann sowohl eine Innenkammer (nicht dargestellt) als auch eine Außenkammer aufweisen.
  • Das Ellipsometer 20 mißt Änderungen des polarisierten Lichts, wenn das Licht von dünnen Filmschichten an der Oberfläche 42 des Halbleiterwafers 40 reflektiert oder von diesen durchgelassen wird. Das Ellipsometer 20 ist vorzugsweise dafür ausgelegt, alle 0,5 Sekunden die Dicke und den Brechungsindex einer Polysiliciumschicht zu berechnen.
  • Wie am besten in 2 dargestellt ist, wurde die Oberfläche 42 des Halbleiterwafers 40 in etwa siebenundsechzig Chips oder mögliche Stellen für die Her stellung integrierter Schaltungen (nicht dargestellt) an der Oberfläche 42 des Halbleiterwafers 40 eingeteilt. Verschiedene Lithographieprozesse können verwendet werden, um integrierte Schaltungen auf dem Wafer 40 herzustellen. Diese integrierten Schaltungen werden typischerweise in einer Reihe von Schichten auf den jeweiligen Chips an der Oberfläche 42 hergestellt. Die integrierten Schaltungen oder Teile der integrierten Schaltung können auf jedem Chip durch Prozesse, wie Ätzen oder Oxidation, hergestellt werden.
  • Das Ellipsometer 20 wird vorzugsweise ausgerichtet, um die Dicke einer Polysiliciumfilmschicht (nicht dargestellt) auf dem Chip 44 zu messen. Der Chip 44 kann auch durch seine Koordinaten (6, 5) oder als die Ellipsometerstelle bezeichnet werden.
  • Gegenwärtig verfügbare Ellipsometriesysteme, wie das Ellipsometer 20, sind gewöhnlich nur in der Lage, die Filmdicke über einen verhältnismäßig kleinen Abtastbereich zu messen. Eine In-Situ-Abtaststelle, wie der Chip 44, weist keine Online-Fähigkeit zum Beobachten der Dicke der Filmschicht an anderen Orten an der Oberfläche des Halbleiterwafers auf. Daher ermöglichen bisher verfügbare Ellipsometertechniken keine In-Situ-Überwachung und Beurteilung eines Herstellungsprozesses in der Art eines Ätzens oder Oxidierens über die gesamte Oberfläche 42 des Halbleiterwafers 40.
  • Die gleiche Einschränkung gilt für viele andere Sensoren, die für die In-Situ-Überwachung von Prozessen zur Herstellung integrierter Schaltungen verwendet werden. Die Sensoren sind darauf beschränkt, Informationen zu liefern, die nur einen Bereich auf der Waferoberfläche betreffen.
  • Die vorliegende Erfindung sieht eine Echtzeitbeurteilung eines gewählten Herstellungsprozesses, wie eines Ätzens, an anderen Orten, wie einem Chip 46 (Koordinatenort 3, 3) oder einem Chip 48 (Koordinatenort 6, 9), vor. Diese Beurteilung wird durch statistisches Modellieren jeder Stelle an der Oberfläche des Wafers 40 als Funktion der an der Ellipsometerstelle oder dem Chip 44 gemessenen Ätzrate erhalten. Wie später in näheren Einzelheiten erklärt wird, weist das räumliche Modell für jede Stelle ausgewählte Prozeßbedingungen oder Steuerfaktoren auf, die dem vom Sensor überwachten Herstellungsprozeß zugeordnet sind.
  • Das gleichmäßige Ätzen dünner Filme an der Oberfläche 42 ist ein kritischer Schritt zum Gewährleisten einer hohen Ausbeute des Endprodukts von dem Herstellungsprozeß. Ohne ein gleichmäßiges Ätzen kann an manchen Stellen auf dem Wafer 40 zu viel Material übrigbleiben und an anderen Stellen kann vollständig durch die gewünschte Filmschicht geätzt werden, wobei es möglich ist, daß darunterliegende Schichten entfernt werden. Eine wichtige Bedingung für den Erfolg besteht darin, ein Modell der mittleren Ätzrate an anderen Stellen auf der Waferoberfläche 42 auf der Grundlage der vom Ellipsometer 20 am Chip 44 gemessenen Ätzrate zu entwickeln. Durch Entwickeln eines Modells für andere Orte an der Oberfläche 42 kann eine bessere Beurteilung der Gleichmäßigkeit des Plasmaätzprozesses vorgenommen werden. Nachdem die Ätzrate an mehreren Stellen auf der Waferoberfläche 42 modelliert wurde, können die Modelle optimiert werden, um entweder eine gleichmäßige Verteilung der Ätzraten über die Waferoberfläche 42 oder eine gleichmäßige Restdicke über die Waferoberfläche 42 zu erreichen.
  • Es wurde eine statistische Modellierung für das Plasmaätzen eines Einzelwafers in der Art des Halbleiterwafers 40 unter Verwendung von In-Situ-Ellipsometrie entwickelt, um Echtzeit-Ätzraten an verschiedenen Stellen auf der Oberfläche 42 des Wafers 40 als eine Funktion von Prozeßbedingungen, die mit dem Plasmaätzprozeß verbunden sind, und der mittleren Ätzrate an der Ellipsometerstelle abzuleiten. Statistische Modelle, die den Herstellungsprozeß als Funktion von Prozeßbedingungen oder Steuerfaktoren beschreiben, verwenden einen empirischen Ausdruck in der Art von:
    Y = C0 + C1Xi + C2Xj + C3Xi 2 + C4Xj 2 + C5Xi·Xj ,
    um eine Anpassung an die meßbaren Werte unter Verwendung einer Regression nach der Methode der kleinsten Quadrate vorzunehmen. Y ist das meßbare Ansprechen, und Xi sind die Prozeßbedingungen oder Steuerfaktoren.
  • Statistisch ausgelegte Experimente wurden verwendet, um räumliche Ätzratenmodelle an verschiedenen Stellen in der Art der Chips 46 und 48 zu erzeugen. Mittlere In-Situ-Ätzrateninformationen wurden vom Ellipsometer 20 am Chip 44 in der Nähe der Mitte des Wafers 40 gesammelt. Die mittlere Ätzrate an zwölf zusätzlichen Orten einschließlich der Chips 46 und 44 wurde durch Offline-Spektrophotometrie gemessen. Die zwölf anderen Orte sind in 2 als schraffierte Quadrate dargestellt.
  • Die Oberflächenansprechmethodologie wurde verwendet, um die Formel für die mittlere Ätzrate an den anderen Orten unter Verwendung von Prozeßbedingungen oder Steuerfaktoren und der vom Ellipsometer 20 gemessenen mittleren Ätzrate als unabhängige Variablen empirisch abzuleiten. Eine drehbare, zentrale zusammengesetzte Konstruktion, welche durch 4 dargestellt ist, wurde für drei Prozeßbedingungen oder Steuerfaktoren gewählt, die zum Entwickeln des Modells der mittleren Ätzrate an den anderen Orten verwendet wurden. Für den Grundmaterial-Plasmaätzprozeß waren die gewählten Steuerfaktoren die HF-Leistung, der Gesamtdruck im Reaktorgefäß 28 und die Gesamtströmungsrate der Reaktionsteilnehmer (HCl + HBr). Zum Gewährleisten der statistischen Zuverlässigkeit wurden zwanzig verschiedene Halbleiterwafer 40 gemessen. Für die Plasmaätzrate und das Reaktorgefäß 28, die beurteilt wurden, reichte die HF-Leistung von 300 bis 500 Watt, wurde der Gesamtdruck zwischen 200 und 400 mTorr (1 Torr = 133 Pa) geändert und wurde die Gesamtströmungsrate zwischen 75 und 175 Normkubikzentimeter je Minute geändert.
  • Durch diese empirische Modellierung unter Verwendung der Oberflächenansprechmethodologie und einer Polynominalgleichung mit einer Regression nach der Methode der kleinsten Quadrate wurde die folgende Funktion entwickelt, um die mittlere Ätzrate an anderen Stellen oder Orten auf der Oberfläche 42 des Halbleiterwafers 40 darzustellen:
    Figure 00090001
    MER = mittlere Ätzrate
    Xi = ausgewählte Prozeßfaktoren
    Ci = empirisch abgeleitete Konstanten
  • Die Gleichmäßigkeit der Ätzrate über die Oberfläche 42 des Halbleiterwafers 40 kann durch die Verteilung der mittleren Ätzrate an den gewählten Stellen an der Oberfläche 42 abgeleitet werden. Das in 5 dargestellte Steuersystem 60 kann verwendet werden, um die Gleichmäßigkeit des Grundmaterial-Ätzprozesses auf Halbleiterwafern 40 zu überwachen, zu beurteilen und zu steuern. Das empirische Modell für die mittlere Ätzrate an den anderen Orten an der Oberfläche 42 wird in die Datenspeichereinheit 62 gegeben. Ein Sensor 64 wird zum Messen der Dicke der Filmschicht an der Stelle 44 und zum Zuführen dieser Informationen zur Datenspeichereinheit 62 verwendet. Für die Zwecke des in 5 dargestellten Steuersystems 60 kann das Ellipsometer 20 als ein Sensor 64 wirken. Wie zuvor erwähnt wurde, können verschiedene Typen von In-Situ-Sensoren mit der vorliegenden Erfindung zufriedenstellend verwendet werden.
  • Zusätzlich zu den Modellen der mittleren Ätzrate (erste Funktion) für die anderen Stellen an der Oberfläche 42 enthält eine Berechnungseinrichtung/Steuereinrichtung 66 auch eine zweite Funktion zum Umwandeln vom Ellipsometer 20 gemessener Werte der Schichtdicke in die mittlere Ätzrate an der Ellipsometerstelle 44. Die Berechnungseinrichtung/Steuereinrichtung 66 verwendet dann die erste Funktion zum Ableiten der mittleren Ätzrate an den anderen Orten an der Oberfläche 42 des Wafers 40 auf der Grundlage der mittleren Ätzrate an der Ellipsometerstelle 44 und des Werts der gewählten Steuerfaktoren, wie der HF-Leistung, des Gesamtdrucks und der Gesamtströmungsrate der Reaktionsteilnehmer. Eine dritte Funktion, die der zweiten Funktion ähnelt, kann zum Umwandeln der mittleren Ätzrate an den anderen Orten in die Dicke der Filmschicht an den anderen Orten verwendet werden.
  • Die Berechnungseinrichtung/Steuereinrichtung 66 kann verwendet werden, um der Ausgangsschnittstelleneinheit 68 ein Signal zuzuführen. Die Ausgangsschnittstelleneinheit 68 kann verwendet werden, um anzugeben, daß die Steuerfaktoren oder Prozeßbedingungen geändert werden sollten, um die gewünschten Ergebnisse des Herstellungsprozesses an den anderen Orten der Oberfläche 42 zu erhalten. Die Berechnungseinrichtung/Steuereinrichtung 66 kann auch verwendet werden, um dem Ellipsometer 20 ein Rückkopplungssignal zu liefern.
  • 6 zeigt die Ergebnisse der Verwendung der vorliegenden Erfindung zum Steuern der Grundmaterial-Ätzrate an der Oberfläche 42 des Halbleiterwafers 40. Durch Überwachen der Dicke der Filmschicht an der Ellipsometerstelle 44 und durch Steuern der gewählten Prozeßbedingungen, der HF-Leistung, des Gesamtdrucks und der Gesamtströmungsrate der Reaktionsteilnehmer wurde eine gleichmäßige Dicke von ±300 Å (10 Å = 1 nm) über die Oberfläche 42 erhalten. Für das in 6 dargestellte spezifische Beispiel wurde der Grundmaterial-Ätzprozeß unterbrochen, wenn das Ellipsometer 20 eine Dicke von 500 Å an der Ellipsometerstelle 44 angab. Die Dicke der Filmschicht an den anderen Stellen an der Oberfläche 42 wurde durch Offline-Spektrophotometrie gemessen, und sie ist durch die Nummern an den jeweiligen Chips dargestellt.
  • Gemäß der vorliegenden Erfindung kann das Steuersystem 60 mit geeigneten empirischen Modellen (erste Funktion) für andere Orte an der Oberfläche 42 verwendet werden, um Prozeßsteuerungen für das Reaktorgefäß 28 im gewählten Herstellungsprozeß Durchlauf für Durchlauf zu verbessern. Das Steuersystem 60 kann verwendet werden, um den Endpunkt für den gewählten Herstellungsprozeß auf der Grundlage von Prozeßbedingungen oder Steuerfaktoren und vom In-Situ-Sensor 64, der das Ergebnis des Herstellungsprozesses an einem gewählten Ort an der Waferoberfläche mißt, bereitgestellter Informationen bereitzustellen. Die Datenspeichereinheit 62 und die Berechnungseinrichtung/Steuereinrichtung 66 wirken unter Verwendung einer ersten Funktion (empirisches Modell für andere Orte an der Oberfläche 42) zusammen, um Daten vom Sensor 64 in projizierte Ergeb nisse des Herstellungsprozesses an anderen Orten an der Oberfläche 42 umzuwandeln.
  • Die vorliegende Erfindung ermöglicht es dem Steuersystem 60, die Funktionsweise des Reaktorgefäßes 28 und der ihm zugeordneten Unterstützungssysteme (nicht dargestellt) während der Herstellung integrierter Schaltungen auf dem Halbleiterwafer 40 zu beurteilen und zu überwachen. Von der Ausgangsschnittstelleneinheit 68 zugeführte Informationen ermöglichen es dem Bediener, die Steuerfaktoren für den Herstellungsprozeß, der überwacht und beurteilt wird, wenn abgeleitete Werte an den anderen Orten nicht die gewünschten Ergebnisse angeben, anzupassen. Das Steuersystem 60 ermöglicht das Anpassen der Steuerfaktoren, so daß sie die gewünschten Zielwerte für den Herstellungsprozeß an den anderen Stellen an der Oberfläche 42 erfüllen. Demgemäß kann die Gleichmäßigkeit des Herstellungsprozesses bei Wafer für Wafer ablaufenden Durchgängen innerhalb des Reaktorgefäßes 28 verbessert werden.
  • Durch Überwachen der Filmdicke in Echtzeit an der Stelle 44 und der gewählten Steuerfaktoren kann der Ätzprozeß unterbrochen werden, wenn die abgeleiteten Werte der mittleren Ätzrate an den anderen Orten an der Oberfläche 42 angeben, daß die gewünschte Materialmenge entfernt worden ist. Alternativ kann der Ätzprozeß unterbrochen werden, wenn die abgeleiteten Werte der Dicke an den anderen Orten an der Oberfläche 42 erhalten worden sind.
  • Es ist mit der vorliegenden Erfindung auch möglich, empirische Modelle zu entwickeln, um Halbleiterwafern, die keine gleichmäßige Dicke aufweisen, und/oder Reaktorgefäßen, die einzigartige Muster für die Reaktivität innerhalb des Gefäßes aufweisen, Rechnung zu tragen. Beispielsweise kann der Film auf der oberen Hälfte des Halbleiterwafers 40 dicker sein als der Film auf der unteren Hälfte. Weiterhin kann das Reaktorgefäß 28 einen Bullaugeneffekt mit einer erhöhten Reaktivität in der Mitte der Oberfläche 42 und einer geringeren Reaktivität in der Nähe des Rands der Oberfläche 42 erzeugen. Durch Entwickeln eines Satzes empirischer Modelle für das spezifische Reaktorgefäß 28 und/oder für den spezifischen Typ des Wafers 40 können Steuerfaktoren gewählt werden, um zu gewährleisten, daß der Herstellungsprozeß trotz dieser Änderungen der Anfangsbedingung des Halbleiterwafers 40 und/oder der Eigenschaften des Reaktorgefäßes 28 Stellen gleichmäßiger Dicke erzeugt.
  • Die vorliegende Erfindung wurde mit Bezug auf das Plasmaätzen von Polysilicium-Dünnfilmen beschrieben. Die Verfahren und Prozeduren haben mögliche Anwendungen für das Ätzen anderer Filme. Weiterhin kann die vorliegende Erfindung für das Abscheiden oder die Oxidation von Filmen verwendet werden. Bei diesem Verfahren wird die Abscheidungsrate als Funktion der Prozeßbedingungen und der vom Ellipsometer 44 in situ gemessenen mittleren Abscheidungsrate modelliert.
  • Die vorliegende Erfindung wurde mit Bezug auf die In-Situ-Ellipsometrie für eine Einzelpunktüberwachung der Ätzrate beschrieben. Das Modellierungsverfahren ist jedoch für eine Verwendung mit jedem beliebigen Sensor anwendbar, der Echtzeitdaten liefert. Beispielsweise können die gleichen Verfahren und Prozeduren für das Modellieren der Linienbreitenverringerung während des Polysiliciumätzens unter Verwendung eines In-Situ-Laserbeugungs-Abbildungssystems (nicht dargestellt) verwendet werden.
  • In der vorstehenden Beschreibung wurde die Erfindung in Bezug auf das Plasmaätzen von Polysilicium-Dünnfilmen und die In-Situ-Ellipsometrie beschrieben. Fachleute werden leicht verstehen, daß die vorliegende Erfindung auch in Verbindung mit anderen Halbleiterwafer-Herstellungsprozessen und In-Situ-Sensoren verwendet werden kann. Beispiele dieser anderen Herstellungsprozesse umfassen die thermische Oxidation, die Diffusion kontrollierter Störstoffe oder Dotierungsstoffe, die Ionenimplantation, die chemische Dampfabscheidung, die Lithographie und das Trockenätzen, sind jedoch nicht auf diese beschränkt. Beispiele solcher In-Situ-Sensoren umfassen Interferometer, die Infrarotspektroskopie und die Laserinterferometrie, sind jedoch nicht auf diese beschränkt. Die Erfindung wurde auch mit Bezug auf einen Siliciumfilm und einen Film auf Siliciumbasis beschrieben. Fachleute werden leicht verstehen, daß das Überwachungsund Beurteilungsverfahren gemäß dieser Erfindung auch zusammen mit anderen Halbleitermaterialien, wie Galliumarsenid und Germanium, verwendet werden kann. Jedes Halbleitermaterial oder jeder Herstellungsprozeß profitiert von der Aufnahme der Überwachungs- und Beurteilungstechniken gemäß dieser Erfindung.
  • Wenngleich die vorliegende Erfindung und ihre Vorteile detailliert beschrieben worden sind, sei bemerkt, daß daran verschiedene Änderungen, Ersetzungen und Modifikationen vorgenommen werden können, ohne vom durch die anliegenden Ansprüche definierten Schutzumfang der Erfindung abzuweichen.

Claims (2)

  1. Verfahren zum Steuern eines Halbleiterwafer-Herstellungsprozesses durch In-Situ-Überwachung des Herstellungsprozesses und durch Rückkopplungssteuerung mit den Schritten: (a) Modellieren des Herstellungsprozesses an mehreren Orten (46, 48) auf dem Wafer (40) als Funktion der gewählten Prozeßbedingungen Xi und des Fortschritts des Herstellungsprozesses an einer gewählten Stelle (44) durch für jeden der mehreren Orte (46, 48) erfolgendes Entwickeln eines empirischen statistischen Modells des Fortschritts des Herstellungsprozesses an den mehreren Orten unter Verwendung der gewählten Prozeßbedingungen (Xi) und des tatsächlichen Fortschritts an der gewählten Stelle (44) als unabhängige Variablen, wobei der tatsächliche Fortschritt des Herstellungsprozesses an der gewählten Stelle die Form einer meßbaren Größe annimmt, die sich beim Fortschreiten des Herstellungsprozesses ändert, (b) Speichern der Modelle, (c) Ausführen einer In-Situ-Überwachung des Fortschritts des Herstellungsprozesses an der gewählten Stelle (44) durch Ausführen von In-Situ-Messungen der meßbaren Größe an der gewählten Stelle, (d) Vorhersagen des Fortschritts des Herstellungsprozesses an den mehreren Orten (46, 48) auf dem Wafer durch Eingeben der gewählten Prozeßbedingungen (Xi) und des tatsächlichen Fortschritts an der gewählten Stelle in die jeweiligen Modelle, (e) Anpassen der Prozeßbedingungen, wenn der Fortschritt des in Schritt (d) vorhergesagten Herstellungsschritts nicht wie gewünscht ist, und (f) Wiederholen der Schritte (c) bis (e).
  2. Verfahren nach Anspruch 1, wobei das Ausführen der In-Situ-Überwachung des Fortschritts des Herstellungsprozesses an der gewählten Stelle (44) durch Ellipsometrie erfolgt.
DE69432203T 1993-05-13 1994-05-06 Methode zur Kontrolle und Evaluierung einer Halbleiterscheibenfabrikation Expired - Fee Related DE69432203T8 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61983 1993-05-13
US08/061,983 US5399229A (en) 1993-05-13 1993-05-13 System and method for monitoring and evaluating semiconductor wafer fabrication

Publications (3)

Publication Number Publication Date
DE69432203D1 DE69432203D1 (de) 2003-04-10
DE69432203T2 true DE69432203T2 (de) 2004-01-08
DE69432203T8 DE69432203T8 (de) 2004-10-14

Family

ID=22039482

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69432203T Expired - Fee Related DE69432203T8 (de) 1993-05-13 1994-05-06 Methode zur Kontrolle und Evaluierung einer Halbleiterscheibenfabrikation

Country Status (6)

Country Link
US (1) US5399229A (de)
EP (1) EP0631304B1 (de)
JP (1) JP3892913B2 (de)
KR (1) KR100299635B1 (de)
DE (1) DE69432203T8 (de)
TW (1) TW264564B (de)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5546312A (en) * 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5552327A (en) * 1994-08-26 1996-09-03 North Carolina State University Methods for monitoring and controlling deposition and etching using p-polarized reflectance spectroscopy
US5483568A (en) * 1994-11-03 1996-01-09 Kabushiki Kaisha Toshiba Pad condition and polishing rate monitor using fluorescence
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
FR2737806B1 (fr) * 1995-08-11 1997-09-12 Soc D Production Et De Rech Ap Dispositif et procede de traitement de surface par laser
US5835221A (en) * 1995-10-16 1998-11-10 Lucent Technologies Inc. Process for fabricating a device using polarized light to determine film thickness
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US6649075B1 (en) 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
JPH10125753A (ja) * 1996-09-02 1998-05-15 Murata Mfg Co Ltd 半導体のキャリア濃度測定方法、半導体デバイス製造方法及び半導体ウエハ
US6278809B1 (en) 1997-05-30 2001-08-21 Ion Optics, Inc. Fiber optic reflectance apparatus for in situ characterization of thin films
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6151119A (en) * 1997-12-19 2000-11-21 Advanced Micro Devices Apparatus and method for determining depth profile characteristics of a dopant material in a semiconductor device
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6850874B1 (en) 1998-04-17 2005-02-01 United Technologies Corporation Method and apparatus for predicting a characteristic of a product attribute formed by a machining process using a model of the process
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
GB9822690D0 (en) 1998-10-19 1998-12-09 Secr Defence Layer processing
US6162735A (en) * 1999-03-26 2000-12-19 Infineon Technologies North America Corp. In-situ method for preparing and highlighting of defects for failure analysis
US6615164B1 (en) * 1999-04-15 2003-09-02 Synopsys Inc. Method and apparatus for representing integrated circuit device characteristics using polynomial equations
US6268226B1 (en) * 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
JP4421054B2 (ja) * 2000-03-01 2010-02-24 Okiセミコンダクタ宮城株式会社 半導体薄膜の表面形状測定方法
JP4437611B2 (ja) * 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6519045B2 (en) 2001-01-31 2003-02-11 Rudolph Technologies, Inc. Method and apparatus for measuring very thin dielectric film thickness and creating a stable measurement environment
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
US20040110314A1 (en) * 2002-12-05 2004-06-10 Ravi Kramadhati V. Silicon-on-insulator devices and methods for fabricating the same
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
JP2005015885A (ja) * 2003-06-27 2005-01-20 Ebara Corp 基板処理方法及び装置
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
JP2007040930A (ja) * 2005-08-05 2007-02-15 Ebara Corp 膜厚測定方法及び基板処理装置
US7632542B2 (en) * 2005-10-26 2009-12-15 University Of Maryland Method for controlling uniformity of thin films fabricated in processing systems
DE102006033083B4 (de) * 2006-07-14 2014-01-09 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Reinigung von Substratoberflächen
US8135485B2 (en) * 2007-09-28 2012-03-13 Lam Research Corporation Offset correction techniques for positioning substrates within a processing chamber
US7972969B2 (en) * 2008-03-06 2011-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for thinning a substrate
US7482180B1 (en) 2008-04-29 2009-01-27 International Business Machines Corporation Method for determining the impact of layer thicknesses on laminate warpage
DE102008021557B4 (de) * 2008-04-30 2011-07-28 Globalfoundries Inc. Verfahren zum Überwachen einer vorhergesagten Produktqualitätsverteilung
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US10718881B2 (en) 2013-07-09 2020-07-21 Halliburton Energy Services, Inc. Integrated computational elements with laterally-distributed spectral filters
EP2989442A4 (de) 2013-07-09 2016-12-28 Halliburton Energy Services Inc Integrierte rechenelemente mit frequenzselektiver oberfläche
US10496776B2 (en) 2013-12-24 2019-12-03 Halliburton Energy Services, Inc. Fabrication of critical layers of integrated computational elements
EP2901135B1 (de) 2013-12-24 2016-08-24 Halliburton Energy Services, Inc. Echtzeitüberwachung der herstellung integrierter berechnungselemente
WO2015099711A1 (en) 2013-12-24 2015-07-02 Halliburton Energy Services, Inc. In-situ monitoring of fabrication of integrated computational elements
WO2015099706A1 (en) 2013-12-24 2015-07-02 Halliburton Energy Services, Inc. Adjusting fabrication of integrated computational elements
WO2015102586A1 (en) 2013-12-30 2015-07-09 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
BR112016011904A2 (pt) 2013-12-31 2017-08-08 Halliburton Energy Services Inc Sistema fabricação de um elemento computacional integrado
US9727052B2 (en) 2014-02-14 2017-08-08 Halliburton Energy Services, Inc. In-situ spectroscopy for monitoring fabrication of integrated computational elements
MX358581B (es) 2014-03-21 2018-08-27 Halliburton Energy Services Inc Elementos computacionales integrados monolíticos de banda limitada.
US9708908B2 (en) 2014-06-13 2017-07-18 Halliburton Energy Services, Inc. Integrated computational element with multiple frequency selective surfaces
US9953887B2 (en) * 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
JP6256413B2 (ja) * 2015-05-27 2018-01-10 信越半導体株式会社 半導体ウェーハの評価方法
JP6673173B2 (ja) 2016-12-12 2020-03-25 三菱電機株式会社 半導体装置の製造方法
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0061237B1 (de) * 1981-03-16 1986-04-16 Energy Conversion Devices, Inc. Optisches Verfahren zur Kontrolle der Schichtdicke
US4571685A (en) * 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
JPH0616475B2 (ja) * 1987-04-03 1994-03-02 三菱電機株式会社 物品の製造システム及び物品の製造方法
US4831549A (en) * 1987-07-28 1989-05-16 Brigham Young University Device and method for correction of robot inaccuracy
US4890239A (en) * 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
DE3901017A1 (de) * 1989-01-14 1990-07-19 Leybold Ag Verfahren und vorrichtung zur ueberwachung des schichtabtrags bei einem trockenaetzprozess
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5200919A (en) * 1990-06-29 1993-04-06 Texas Instruments Incorporated Electrically-erasable, electrically-programmable read-only memory cell with a selectable threshold voltage and methods for its use
JPH04355916A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp ドライエッチング装置
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5197018A (en) * 1991-03-26 1993-03-23 Texas Instruments Incorporated Apparatus and method for drill wear prediction
US5220405A (en) * 1991-12-20 1993-06-15 International Business Machines Corporation Interferometer for in situ measurement of thin film thickness changes

Also Published As

Publication number Publication date
JP3892913B2 (ja) 2007-03-14
JPH07130812A (ja) 1995-05-19
US5399229A (en) 1995-03-21
EP0631304B1 (de) 2003-03-05
EP0631304A2 (de) 1994-12-28
TW264564B (de) 1995-12-01
EP0631304A3 (de) 1995-05-24
DE69432203D1 (de) 2003-04-10
KR940027051A (ko) 1994-12-10
KR100299635B1 (ko) 2001-11-30
DE69432203T8 (de) 2004-10-14

Similar Documents

Publication Publication Date Title
DE69432203T2 (de) Methode zur Kontrolle und Evaluierung einer Halbleiterscheibenfabrikation
DE112005000504B4 (de) Mehrschichtüberlagerungsmessungs- und Korrekturtechnik für die IC-Herstellung
DE60224061T2 (de) In-situ-ausgleich für eine phasenschiebemaske
DE102005056916B4 (de) Verfahren zum Gestalten einer Überlagerungs-Markierung
DE10296328B4 (de) Prozesslinie und Verfahren zum Steuern eines Ätzprozesses
DE60117286T2 (de) Prozesssteuerung
US6485872B1 (en) Method and apparatus for measuring the composition and other properties of thin films utilizing infrared radiation
DE69509502T2 (de) Rohling für belichtungsmaske
DE112017001846T5 (de) Halbleitermetrologie mit Information von mehreren Prozessschritten
US6924088B2 (en) Method and system for realtime CD microloading control
DE69031856T2 (de) Kompensation von lithographischen und Ätznäheeffekten
DE10142316A1 (de) Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE10061248A1 (de) Verfahren und Vorrichtung zur In-situ-Dekontamination eines EUV-Lithographiegerätes
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
US7580129B2 (en) Method and system for improving accuracy of critical dimension metrology
DE102008029498B4 (de) Verfahren und System zur quantitativen produktionslinieninternen Materialcharakterisierung in Halbleiterherstellung auf der Grundlage von Strukturmessungen und zugehörigen Modellen
DE112018000173B4 (de) Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters
DE102007038702A1 (de) Verfahren und System zum Reduzieren der Überlagerungsfehler in Belichtungsfeldern mittels APC-Steuerungsstrategien
DE69226234T2 (de) Verfahren zur Herstellung metrologischen Strukturen besonders geeignet für die Bestimmung der Präzision in Vorrichtungen, die den Abstand auf bearbeiteten Substraten messen
DE10134756A1 (de) Ein System und Verfahren zur gesteuerten Strukturierung auf Waferbasis von Strukturelementen mit kritischen Dimensionen
DE69918661T2 (de) Verfahren und Vorrichtung zum Messen von Musterstrukturen
DE102009015746B4 (de) Verfahren und System zur Materialcharakterisierung in Halbleiterstellungsprozessen auf der Grundlage von FTIR mit variablem Einfallswinkel
DE102020103552A1 (de) Euv-masken zur vorbeugung von kohlenstoffverunreinigung
DE102005063335B4 (de) Modell für eine fortschrittliche Prozesssteuerung, das eine Solloffsetgröße umfaßt
DE102004010363A1 (de) Verfahren und Meßgerät zur Bestimmung einer örtlichen Variation des Reflektions- oder Transmissionsverhaltens über die Oberfläche einer Maske

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee