DE112018000173B4 - Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters - Google Patents

Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters Download PDF

Info

Publication number
DE112018000173B4
DE112018000173B4 DE112018000173.3T DE112018000173T DE112018000173B4 DE 112018000173 B4 DE112018000173 B4 DE 112018000173B4 DE 112018000173 T DE112018000173 T DE 112018000173T DE 112018000173 B4 DE112018000173 B4 DE 112018000173B4
Authority
DE
Germany
Prior art keywords
matrix
devices
substrate
parameter
row
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112018000173.3T
Other languages
English (en)
Other versions
DE112018000173T5 (de
Inventor
Davit HARUTYUNYAN
Fei Jia
Frank Staals
Fuming Wang
Hugo Thomas Looijestijn
Cornelis Johannes Rijnierse
Maxim PISARENCO
Roy Werkman
Thomas Theeuwes
Tom van Hemert
Vahid Bastani
Jochem Sebastiaan Wildenberg
Everhardus Cornelis Mos
Erik Johannes Maria WALLERBOS
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of DE112018000173T5 publication Critical patent/DE112018000173T5/de
Application granted granted Critical
Publication of DE112018000173B4 publication Critical patent/DE112018000173B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

Ein Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Verfahren Folgendes beinhaltet:Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden;Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und Parameterdaten; undAnalysieren der Variation der Parameterdaten unter Verwendung der Verwendungsdaten, wobei das Bestimmen des Beitrags zu dem Parameter für eine Vorrichtung das Gruppieren der Daten unter Verwendung der analysierten Variation beinhaltet.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der am 22. Juni 2017 eingereichten US-Anmeldung 62/523,531 und der am 6. März 2018 eingereichten US-Anmeldung 62/639,481 , die hier durch Bezugnahme zur Gänze inkorporiert sind.
  • GEBIET
  • Die vorliegende Beschreibung bezieht sich auf ein Verfahren, ein System und ein Programm zum Bestimmen des Beitrags eines Parameters zu einem Fingerabdruck.
  • HINTERGRUND
  • Ein lithographisches Gerät ist eine Maschine, die auf ein Substrat, üblicherweise auf einen Zielabschnitt des Substrats, ein gewünschtes Muster aufbringt. Ein lithographisches Gerät kann zum Beispiel bei der Herstellung integrierter Schaltungen (ICs) verwendet werden. In diesem Fall kann eine Musteraufbringungsvorrichtung, die alternativ auch als Maske oder Retikel bezeichnet wird, zum Erzeugen eines auf einer individuellen Schicht der IC zu bildenden Schaltungsmusters verwendet werden. Dieses Muster kann auf einen Zielabschnitt (der z. B. einen Teil eines Die, einen Die oder mehrere Dies einschließt) auf einem Substrat (z. B. einer Siliciumscheibe) übertragen werden. Die Übertragung des Musters erfolgt typischerweise über die Abbildung auf eine Schicht aus strahlungsempfindlichem Material (Photolack), das auf dem Substrat bereitgestellt ist. Im Allgemeinen enthält ein einzelnes Substrat ein Netz benachbarter Zielabschnitte, die nacheinander mit Muster versehen werden. Diese Zielabschnitte werden üblicherweise als „Felder“ bezeichnet.
  • In lithographischen Prozessen ist es wünschenswert, die geschaffenen Strukturen, die ein Schaltungsmuster bilden, häufig zu messen, z. B. zur Prozesssteuerung und -überprüfung. Es sind verschiedene Werkzeuge zum Vornehmen derartiger Messungen bekannt, einschließlich Rasterelektronenmikroskopen, die oft verwendet werden, um die kritische Abmessung (CD) zu messen, und spezialisierter Werkzeuge zum Messen der Überdeckung (bei der es sich um die Genauigkeit der Justierung zweier Schichten in einem mindestens teilweise gemusterten Substrat handelt). Verschiedene Techniken können verwendet werden, um die Leistung des lithographischen Prozesses zu messen. Dies gestattet wiederum das Einschließen fortgeschrittener Prozesskorrekturen in der Steuerung der von dem lithographischen Gerät durchgeführten Arbeitsvorgänge. Die Druckschriften US 2016/0246185 A1 und US 6 477 685 B1 enthalten Information die für das Verständnis des Hintergrunds der Erfindung hilfreich sind.
  • ÜBERSICHT
  • Die vorliegende Erfindung offenbart Verfahren, Systeme und Programme gemäß der unabhängigen Ansprüche. Vorteilhafte Ausführungsformen sind in den abhängigen Ansprüchen beschreiben. Nach der Exposition des Substrats, d. h. wenn das Patent unter dem Substrat übertragen ist, können an dem Substrat verschiedene unterschiedliche Prozesse ausgeführt werden. Somit können an einem Substrat unterschiedliche Verarbeitungswerkzeuge verwendet werden. Jedes Verarbeitungswerkzeug kann jedoch den Überdeckungsfingerabdruck geringfügig variieren. Es gibt Verfahren zum Reduzieren der Effekte von durch Verarbeitungswerkzeuge induzierten Variationen, zum Beispiel durch das Abstimmen von Aktoren des lithographischen Geräts und das Verbessern der Steuerung. Dabei wird jedoch möglicherweise jedes Substrat mit der gleichen Korrektur versehen, was bedeutet, dass die auf jedem Substrat induzierte Variation nicht individuell behandelt wird.
  • Ein Problem liegt darin, dass eine Anzahl unterschiedlicher Vorrichtungen bereitgestellt sein kann, um die Verarbeitung eines Substrats auszuführen. Es kann eine Anzahl unterschiedlicher Arten von Vorrichtungen geben, die zum Verarbeiten eines Substrats auf unterschiedliche Weise verwendet werden, z. B. eine Ätzvorrichtung und eine Poliervorrichtung. Zusätzlich können mehrere der gleichen Art von Vorrichtung zur Verwendung für jedes Substrat verfügbar sein. Somit kann es mehrere Ätz- und/oder Poliervorrichtungen geben, die für jedes Substrat verwendet werden könnten, und jedes Substrat kann unter Verwendung einer unterschiedlichen Kombination von Vorrichtungen aus einer Vielzahl von Vorrichtungen verarbeitet werden. Jede der Vorrichtungen kann einen unterschiedlichen Effekt auf den Fingerabdruck eines Parameters ausüben, und es nicht notwendigerweise klar, worin der Effekt einer individuellen Vorrichtung auf den Parameter des Substrats besteht.
  • Eine Ausführungsform der vorliegenden Erfindung dient zum Beispiel dem Ziel des Bestimmens eines Beitrags von einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist.
  • Gemäß einem Aspekt wird ein Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters bereitgestellt, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Verfahren Folgendes beinhaltet: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl der Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und Parameterdaten.
  • Gemäß einem Aspekt wird ein System bereitgestellt, das einen Prozessor beinhaltet, der zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters konfiguriert ist, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei der Prozessor für Folgendes konfiguriert ist: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
  • Gemäß einem Aspekt wird ein Programm zum Steuern der Bestimmung eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters bereitgestellt, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Programm Anweisungen zum Ausführen eines Verfahrens beinhaltet, das Folgendes beinhaltet: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
  • Figurenliste
  • Nun werden Ausführungsformen der Erfindung lediglich beispielhaft und unter Bezugnahme auf die beigelegten schematischen Zeichnungen beschrieben, wobei:
    • 1 ein lithographisches Gerät zusammen mit anderen Geräten, die eine Produktionsanlage für Vorrichtungen bilden, als ein Beispiel eines Systems, in dem eine Ausführungsform der Erfindung verwendet werden kann, darstellt; und
    • 2 das lithographische Gerät aus 1 darstellt, in dem eine Vielzahl von Vorrichtungen lediglich beispielhaft veranschaulicht ist.
  • AUSFÜHRLICHE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMEN
  • Bevor Ausführungsformen der Erfindung detailliert beschrieben werden, ist es instruktiv, eine beispielhafte Umgebung, in der Ausführungsformen der vorliegenden Erfindung implementiert werden können, zu präsentieren. Die Erfindung kann zum Beispiel angewandt werden, nachdem ein Substrat mindestens teilweise in einem lithographischen Herstellungsprozess verarbeitet worden ist. Die Erfindung kann zum Beispiel angewandt werden, um den Effekt einer Vorrichtung zu bestimmen, die Teil eines lithographischen Geräts ist. Es wird ein lithographischer Prozess für die Herstellung von Halbleitervorrichtungen beschrieben, um einen beispielhaften Kontext bereitzustellen, in dem das Verfahren verwendet werden kann. Die Prinzipien der vorliegenden Offenbarung können ohne Einschränkung in anderen Prozessen angewandt werden.
  • 1 zeigt bei 100 ein lithographisches Gerät LA als Teil einer industriellen Anlage, die einen lithographischen Massenherstellungsprozess implementiert. In dem vorliegenden Beispiel ist der Herstellungsprozess für die Herstellung von Halbleiterprodukten (z. B. integrierten Schaltungen) auf Substraten wie etwa Halbleiterscheiben adaptiert. Der Fachmann versteht, dass durch das Verarbeiten unterschiedlicher Arten von Substraten in Varianten dieses Prozesses eine große Vielfalt von Produkten hergestellt werden kann. Die Produktion von Halbleiterprodukten wird lediglich als ein Beispiel von großer wirtschaftlicher Bedeutung in der heutigen Zeit verwendet.
  • Innerhalb des lithographischen Geräts (oder kurz: „Litho-Werkzeugs“ 100) sind eine Messstation MEA bei 102 und eine Expositionsstation EXP bei 104 gezeigt. Eine Steuereinheit LACU ist bei 106 gezeigt. In diesem Beispiel durchläuft jedes Substrat die Messstation und die Expositionsstation zur Aufbringung eines Musters. In einem optischen lithographischen Gerät wird zum Beispiel ein Projektionssystem verwendet, um ein Produktmuster unter Verwendung konditionierter Strahlung und eines Projektionssystems von einer Musteraufbringungsvorrichtung MA auf das Substrat zu übertragen. Dies geschieht durch das Formen eines Bildes des Musters in einer Schicht aus strahlungsempfindlichem Photolackmaterial auf einem Substrat.
  • Der hier verwendete Begriff „Projektionssystem“ ist allgemein als jede beliebige Art von Projektionssystem umfassend zu verstehen, einschließlich lichtbrechender, reflektierender, katadioptrischer, magnetischer, elektromagnetischer und elektrostatischer optischer Systeme oder jeglicher Kombination davon, wie für die verwendete Expositionsstrahlung und/oder andere Faktoren, wie etwa die Verwendung einer Immersionsflüssigkeit oder die Verwendung eines Vakuums, angemessen. Bei der Musteraufbringungsvorrichtung MA kann es sich um eine Maske oder ein Retikel handeln, die/das einem Strahlungsstrahl, der durch die Musteraufbringungsvorrichtung MA durchgelassen oder von ihr reflektiert wird, ein Muster verleiht. Gut bekannte Betriebsmodi schließen einen Schrittmodus und einen Scanmodus ein. Das Projektionssystem kann auf eine Vielfalt von Weisen mit Träger- und Positionierungssystemen für das Substrat und die Musteraufbringungsvorrichtung zusammenwirken, um ein gewünschtes Muster auf viele Zielabschnitte über ein Substrat hinweg aufzubringen. Anstelle von Retikeln mit einem festen Muster können programmierbare Musteraufbringungsvorrichtungen verwendet werden. Die Strahlung kann zum Beispiel elektromagnetische Strahlung in den Wellenbändern von tiefem Ultraviolett (DUV) oder extremem Ultraviolett (EUV) einschließen. Die vorliegende Offenbarung ist auch auf andere Arten von lithographischem Prozess anwendbar, zum Beispiel auf Prägelithographie und direktschreibende Lithographie, zum Beispiel mittels Elektronenstrahl.
  • Die Steuereinheit LACU des lithographischen Geräts steuert alle Bewegungen und Messungen verschiedener Aktoren und Sensoren und sorgt dafür, dass das Gerät Substrate W und Musteraufbringungsvorrichtungen MA empfängt und die Musteraufbringungsvorgänge implementiert. Die Steuereinheit LACU schließt auch eine Fähigkeit zur Signalverarbeitung und Datenverarbeitung ein, um gewünschte Rechnungen, die für den Betrieb des Geräts relevant sind, zu implementieren. In der Praxis wird die Steuereinheit LACU als ein System aus vielen Untereinheiten umgesetzt, die jeweils die (Echtzeit-)Datenerfassung, Verarbeitung und Steuerung eines Teilsystems oder einer Komponente innerhalb des lithographischen Geräts LA handhaben.
  • In einer Ausführungsform wird ein Substrat vor dem Aufbringen des Musters auf das Substrat an der Expositionsstation EXP an der Messstation MEA verarbeitet, damit verschiedene Vorbereitungsschritte ausgeführt werden können. Die Vorbereitungsschritte können das Kartieren der Oberflächenhöhe des Substrats unter Verwendung eines Niveausensors und/oder das Messen der Position von Justiermarken auf dem Substrat unter Verwendung eines Justiersensors einschließen. Die Justiermarken sind nominell in einem regelmäßigen Gittermuster angeordnet. Aufgrund von Ungenauigkeiten bei der Erschafifung der Marken sowie aufgrund von Verformungen des Substrats, die während seiner gesamten Verarbeitung auftreten, können die Justiermarken jedoch von dem idealen Gitter abweichen. Dementsprechend muss der Justiersensor zusätzlich zum Messen der Position und Ausrichtung des Substrats in der Praxis detailliert die Positionen vieler Marken über den Substratbereich hinweg messen, wenn das Gerät Produktmerkmale mit hoher Genauigkeit an den korrekten Stellen drucken soll.
  • Das lithographische Gerät LA kann ein sogenannter Dual-Stage-Typ sein, der zwei Substrattische aufweist, die jeweils ein von der Steuereinheit LACU gesteuertes Positionierungssystem aufweisen. Während ein Substrat auf einem Substrattisch an der Expositionsstation EXP exponiert wird, kann ein anderes Substrat an der Messstation MEA auf den anderen Substrattisch geladen werden, damit verschiedene Vorbereitungsschritte ausgeführt werden können. Die Messung von Justiermarken ist zeitaufwändig und das Bereitstellen zweier Substrattische ermöglicht eine wesentliche Zunahme des Durchsatzes des Geräts. Wenn der Positionssensor nicht in der Lage ist, die Position des Substrattisches zu messen, während er sich an der Messstation und an der Expositionsstation befindet, kann ein zweiter Positionssensor bereitgestellt sein, um das Verfolgen der Positionen des Substrattisches an beiden Stationen zu ermöglichen. Alternativ können die Messstation und die Expositionsstation kombiniert sein. Es ist zum Beispiel bekannt, nur einen einzigen Substrattisch aufzuweisen, an den während der Vorexpositions-Messphase zeitweise eine Messbühne gekoppelt wird. Die vorliegende Offenbarung ist nicht auf die eine oder die andere Art von System beschränkt.
  • Innerhalb der Produktionsanlage bildet das Gerät 100, LA, einen Teil einer „Litho-Zelle“ oder eines „Litho-Clusters“, die/das außerdem eine Beschichtungsvorrichtung 108, BESCHICHTEN, enthält, die zum Aufbringen eines lichtempfindlichen Photolacks und/oder anderer Beschichtungen auf Substraten W, die von dem Gerät 100 mit Muster versehen werden sollen, konfiguriert ist. An einer Ausgabeseite des Geräts 100 sind eine Härtevorrichtung 110, HÄRTEN, und eine Entwicklungsvorrichtung 112, ENTW, bereitgestellt, um das exponierte Muster zu einem physischen Photolackmuster zu entwickeln. Zwischen all diesen Vorrichtungen übernehmen Substrathandhabungssysteme das Tragen der Substrate und ihr Übertragen von einem Stück Gerät/Vorrichtung zum nächsten. Diese Vorrichtungen, die oft insgesamt als „Track“ bezeichnet werden, können der Steuerung einer Track-Steuereinheit unterliegen, die ihrerseits durch ein überwachendes Steuersystem SCS, das möglicherweise außerdem über die Steuereinheit LACU das lithographische Gerät steuert, gesteuert wird. Auf diese Weise können die oben beschriebenen unterschiedlichen Vorrichtungen und Vorrichtungen, die Teil des lithographischen Geräts sein können, z. B. der Substrattisch, betrieben werden, um den Durchsatz und die Verarbeitungseffizienz zu maximieren. Das überwachende Steuersystem SCS empfängt Rezeptinformationen R, welche eine Definition der zum Erschaffen jedes gemusterten Substrats durchzuführenden Schritte sehr detailliert bereitstellen.
  • Nachdem das Muster in der Litho-Zelle aufgebracht und entwickelt worden ist, werden gemusterte Substrate 120 zu anderen Verarbeitungsvorrichtungen transferiert, wie etwa bei 122, 124, 126 veranschaulicht. In einer typischen Herstellungsanlage kann durch verschiedene Vorrichtungen eine große Bandbreite an Verarbeitungsschritten implementiert werden. Um ein Beispiel zu geben, ist die Vorrichtung 122 in diesem Beispiel eine Ätzstation ÄTZEN, und die Vorrichtung 124 ist ein Gerät AUSHEIZEN, das den Nachätzungs-Ausheizschritt durchführt. Weitere physikalische und/oder chemische Verarbeitungsschritte werden in weiteren Vorrichtungen, 126, etc. angewandt. Diese spezifischen Vorrichtungen sind nur als Beispiele bereitgestellt, und andere Kombinationen von Vorrichtungen könnten innerhalb des Bereichs der Ausführungsformen, wie nachfolgend detaillierter beschrieben, verwendet werden. Außerdem ist die Reihenfolge, in der ein Substrat von den Vorrichtungen verarbeitet wird, nur beispielhaft, und die Vorrichtungen können in einer anderen, nicht gezeigten Reihenfolge verwendet werden. Zahlreiche Betriebsarten können von derartigen Vorrichtungen ausgeführt werden, wie etwa die Abscheidung von Material, die Modifizierung von Oberflächenmaterialcharakteristika (Oxidation, Dotierung, lonenimplantation etc.), chemisch-mechanisches Polieren (CMP) und so weiter. Die Vorrichtung 126 kann in der Praxis eine Reihe von unterschiedlichen Verarbeitungsschritten darstellen, die in einer oder mehreren Vorrichtungen durchgeführt werden. Diese Vorrichtungen können anderweitig auch als Geräte bezeichnet werden.
  • Die Herstellung von Halbleitervorrichtungen involviert viele Wiederholungen derartiger Verarbeitung, um Vorrichtungsstrukturen mit angemessenen Materialien und Mustern Schicht um Schicht auf dem Substrat aufzubauen. Demgemäß können Substrate 130, die an dem Litho-Cluster ankommen, neu präparierte Substrate sein, oder sie können Substrate sein, die bereits zuvor in diesem Cluster oder einem gänzlich anderen Gerät verarbeitet worden sind. Abhängig von der gewünschten Verarbeitung können Substrate 132 bei Verlassen der Vorrichtung 126 für einen nachfolgenden Musteraufbringungsvorgang in demselben Litho-Cluster zurückgebracht werden, sie können für Musteraufbringungsvorgänge in einem anderen Cluster vorgesehen sein oder die Substrate 134 können fertige Produkte sein, die zum Vereinzeln und Verpacken gesendet werden.
  • Jede Schicht der Produktstruktur involviert typischerweise einen unterschiedlichen Satz Prozessschritte, und die bei jeder Schicht verwendeten Vorrichtungen 122, 124 und 126 können sich von der Art her völlig unterscheiden. Selbst wenn die von den Vorrichtungen 122, 124 und 126 anzuwendenden Verarbeitungsschritte nominell die gleichen sind, können des Weiteren in einer großen Anlage mehrere angeblich identische Maschinen parallel arbeiten, um die Schritte 122, 124 und 126 an unterschiedlichen Substraten durchzuführen. Mit anderen Worten, es können mehrere Vorrichtungen der gleichen Art vorhanden sein, zum Beispiel mehrere Ätzvorrichtungen 122, mehrere Ausheizvorrichtungen 124 und/oder mehrere jeder Art von weiterer Vorrichtung 126. Kleine Unterschiede im Aufbau oder Mängel zwischen diesen Maschinen können bedeuten, dass Vorrichtungen der gleichen Art unterschiedliche Substrate auf unterschiedliche Weisen beeinflussen. Selbst Schritte, die für jede Schicht relativ üblich sind, wie etwa Ätzen (Vorrichtung 122), können durch mehrere Ätzgeräte implementiert werden, die nominell identisch sind, aber parallel arbeiten, um den Durchsatz zu maximieren. Zudem involvieren in der Praxis unterschiedliche Schichten typischerweise unterschiedliche Ätzprozesse, zum Beispiel chemische Ätzungen, Plasmaätzungen, gemäß den Details des zu ätzenden Materials und spezifischen Anforderungen wie zum Beispiel anisotropem Ätzen.
  • Die vorhergehenden und/oder nachfolgenden Prozesse können, wie gerade erwähnt, in anderen Lithographieeeräten durchgeführt werden und können sogar in unterschiedlichen Arten von Lithographiegeräten durchgeführt werden. Beispielsweise können einige Schichten in dem Vorrichtungsherstellungsprozess, die in Hinsicht auf Parameter wie etwa Auflösung und/oder Überdeckung sehr anspruchsvoll sind, in einem fortschrittlicheren Lithographiewerkzeug durchgeführt werden als andere Schichten, die weniger anspruchsvoll sind. Daher ist es möglich, dass einige Schichten in einem immersionsartigen Lithographiewerkzeug exponiert werden, während andere in einem „trockenen“ Werkzeug exponiert werden. Einige Schichten können in einem Litho-Werkzeug bei DUV-Wellenlängen exponiert werden, während andere unter Verwendung von Strahlung mit EUV-Wellenlänge exponiert werden.
  • Damit die Substrate, die durch das lithographische Gerät exponiert werden, korrekt und einheitlich exponiert werden, ist es wünschenswert, exponierte Substrate zu inspizieren, um eine oder mehrere Eigenschaften wie etwa den Überdeckungsfehler zwischen benachbarten Schichten, eine Liniendicke, eine kritische Abmessung (CD) etc. zu messen. Demgemäß schließt eine Herstellungsanlage, in der sich die Litho-Zelle befindet, auch ein Metrologiesystem 140, MET, ein, das einige oder alle Substrate W, die in der Litho-Zelle verarbeitet worden sind, empfängt. Metrologieergebnisse werden direkt oder indirekt dem überwachenden Steuersystem (SCS) 138 bereitgestellt. Wenn ein Fehler detektiert wird, können an einer oder mehreren Expositionen eines oder mehrerer nachfolgender Substrate eine oder mehrere Abstimmungen vorgenommen werden, insbesondere, wenn die Metrologie kurzfristig und schnell genug ausgeführt werden kann, sodass ein oder mehrere andere Substrate derselben Charge noch zu exponieren sind. Es können auch ein oder mehrere bereits exponierte Substrate abgezogen und neu bearbeitet werden, um die Ausbeute zu erhöhen, oder verworfen werden, wodurch vermieden wird, dass an einem bekannterweise mangelhaften Substrat eine weitere Verarbeitung durchgeführt wird. In einem Fall, in dem nur ein oder mehrere Zielabschnitte eines Substrats mangelhaft sind, können weitere Expositionen an nur dem einen oder den mehreren guten Zielabschnitten durchgeführt werden.
  • Wie in 1 gezeigt, kann eine Metrologievorrichtung 140 zum Vornehmen von Messungen von Parametern der Produkte in gewünschten Stufen des Herstellungsprozesses bereitgestellt sein. Ein übliches Beispiel einer Metrologievorrichtung in einer modernen lithographischen Produktionsanlage ist ein Streustrahlungsmesser, zum Beispiel ein winkelaufgelöster Streustrahlungsmesser oder ein spektroskopischer Streustrahlungsmesser, der angewandt werden kann, um Eigenschaften der entwickelten Substrate bei 120 vor dem Ätzen in dem Gerät 122 zu messen. Unter Verwendung der Metrologievorrichtung 140 kann zum Beispiel bestimmt werden, dass wichtige Leistungsparameter wie etwa Überdeckung und kritische Abmessung (CD) spezifische Anforderungen an die Genauigkeit in dem entwickelten Photolack nicht erfüllen. Vor dem Ätzschritt besteht die Gelegenheit, den entwickelten Photolack abzuziehen und die Substrate 120 durch das Litho-Cluster neu zu verarbeiten. Zusätzlich oder alternativ können die Metrologieergebnisse 142 von dem Metrologiegerät 140 verwendet werden, um eine genaue Verrichtung der Musteraufbringungsvorgänge in dem Litho-Cluster zu bewahren, indem das überwachende Steuersystem SCS und/oder die Steuereinheit LACU 106 mit der Zeit kleine Abstimmungen vornehmen, wodurch das Risiko, dass Produkte außerhalb der Spezifikation gefertigt werden und eine Neubearbeitung erfordern, reduziert oder minimiert wird. Natürlich können das Metrologiegerät 140 und/oder andere Metrologiegeräte (nicht gezeigt) angewandt werden, um Eigenschaften der verarbeiteten Substrate 132, 134 und eintreffender Substrate 130 zu messen.
  • In dem Beispiel eines lithographischen Herstellungsprozesses sind die Substrate Halbleiterscheiben oder andere Substrate, auf die Muster in einem Musteraufbringungsschritt aufzubringen sind, und Strukturen, die durch physikalische und chemische Prozessschritte gebildet werden.
  • Eine Ausführungsform der vorliegenden Erfindung stellt ein Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, der mit der Verarbeitung eines Substrats assoziiert ist, bereit. Somit kann der Beitrag als ein partieller Beitrag oder ein relativer Beitrag betrachtet werden. Diese Ausführungsform wird mit Bezug auf die in 2 gezeigten Vorrichtungen beschrieben. Wie aus 2 deutlich wird, sind drei Ätzvorrichtungen bereitgestellt, 122A, 122B und 122C, und sind drei Ausheizvorrichtungen bereitgestellt, 124A, 124B und 124C. Das Substrat 120, das in dem lithographischen Gerät verarbeitet worden ist, wird dann in einem Ätzschritt und einem Ausheizschritt weiter verarbeitet. Es können auch andere Schritte eingeschlossen sein, zum Beispiel ein Entwicklungsschritt, wie in 2 gezeigt.
  • Zum Ätzen des Substrats 120 kann das Substrat 120 eine erste Ätzvorrichtung 122A, eine zweite Ätzvorrichtung 122B oder eine dritte Ätzvorrichtung 122C durchlaufen. Zum Ausheizen des Substrats 120 kann das Substrat 120 eine erste Ausheizvorrichtung 124A, eine zweite Ausheizvorrichtung 124B oder eine dritte Ausheizvorrichtung 124C durchlaufen. Jede der unterschiedlichen Ätzvorrichtungen und Ausheizvorrichtungen kann unterschiedliche Einflüsse auf den Fingerabdruck des resultierenden Substrats 134 ausüben. Der Fingerabdruck kann ein räumlicher Fingerabdruck sein und kann ein Intra-Feld- und/oder ein Inter-Feld-Fingerabdruck sein, wie etwa ein Substratfingerabdruck oder ein Feldfingerabdruck, ein Schlitzfingerabdruck oder Beliebiges in Bezug auf Zeit oder Abfolge.
  • Wie oben angegeben, können die verwendeten unterschiedlichen Vorrichtungen und Geräte unterschiedliche Substrate auf unterschiedliche Weisen beeinflussen. Wenn ein Substrat vollständig verarbeitet worden ist, wie etwa Substrat 134, selbst wenn Messungen an den Parametern des Substrats vorgenommen worden sind, ist es nicht notwendigerweise deutlich, wie jede der unterschiedlichen Vorrichtungen, z. B. eine oder mehrere der unterschiedlichen Ätzvorrichtungen 122A, 122B oder 122C und/oder eine oder mehrere der unterschiedlichen Ausheizvorrichtungen 124A, 124B oder 124C, auf den Fingerabdruck eines Parameters des Substrats eingewirkt haben. Selbst wenn eine Vielzahl unterschiedlicher Vorrichtungen der gleichen Art verwendet wird, wie oben beschrieben, können sie auf unterschiedliche Weisen auf ein Substrat einwirken. Es ist wünschenswert, ungeachtet der spezifischen verwendeten Vorrichtung einheitliche Substrate zu produzieren. Es ist daher wünschenswert, den Einfluss der unterschiedlichen Vorrichtungen auf das Substrat zu bestimmen. Es ist nutzbringend zu wissen, wie eine Vorrichtung auf einen Parameter auf einem Substrat einwirken kann, zum Beispiel aus diagnostischen Gründen oder um die Steuerung zu verbessern. Diese Informationen können auf unterschiedliche Weisen verwendet werden, zum Beispiel um zu entscheiden, wann an einer spezifischen Vorrichtung Änderungen vorgenommen werden müssen, oder sie könnten als Teil einer Rückkopplungsschleife zur Steuerung der Verarbeitung eines Substrats, wie nachstehend detaillierter beschrieben, verwendet werden.
  • In einer Ausführungsform wird somit ein Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters bereitgestellt, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist. Dies kann bedeuten, dass während des Verarbeitens des Substrats auf den Parameter eingewirkt oder dieser gesteuert wird, oder dass der Parameter aus der Verarbeitung resultiert. Die Verarbeitung wird von den Vorrichtungen ausgeführt und kann verschiedene unterschiedliche Prozesse, wie etwa Ätzen und Ausheizen, wie nachstehend beschrieben, einschließen. Das Verfahren kann in Bezug auf einen Fingerabdruck verschiedener unterschiedlicher Parameter verwendet werden. Bei dem Parameter kann es sich um einen beliebigen Parameter handeln, auf den während der Verarbeitung eines Substrats eingewirkt wird oder der während der Verarbeitung eines Substrats gesteuert wird. Zum Beispiel kann der Parameter aus einer Gruppe ausgewählt sein, die kritische Abmessung, Überdeckung, Gleichmäßigkeit der kritischen Abmessung, Böschungswinkel, Linienkantenlage, Justierung, Fokus (was anderweitig auch als Horizontierung bezeichnet werden kann), Musterverschiebung, Linienkantenrauheit, Mikrotopologie und/oder Kantenlagefehler (EPE) beinhaltet. Zusätzlich oder alternativ kann der Parameter aus einer Gruppe ausgewählt sein, die eine Formbeschreibung eines Merkmals wie etwa Böschungswinkel, Photolackhöhe und/oder Kontaktlochelliptizität beinhaltet. Zusätzlich oder alternativ kann der Parameter aus einer Gruppe ausgewählt sein, die einen Verarbeitungsparameter wie etwa eine Beschichtungsdicke, optional eine Dicke einer unteren Antireflexbeschichtung und/oder eine Photolackdicke beinhaltet. Zusätzlich oder alternativ kann der Parameter aus einer Gruppe ausgewählt sein, die einen Verarbeitungsparameter wie etwa eine optische Eigenschaft einer Beschichtung beinhaltet, die optional ein Maß der Absorption angeben kann, wie etwa Brechungsindex oder Extinktionskoeffizient. Zusätzlich oder alternativ kann der Parameter aus einer Gruppe ausgewählt sein, die einen Parameter beinhaltet, der aus Substratmessungen bestimmt wird, wie etwa Ausbeuteparameter, optional Defekte und/oder elektrisches Leistungsverhalten. Das Verfahren kann auf einen beliebigen dieser Parameter angewandt werden und könnte für mehrere Parameter verwendet werden, abhängig davon, welche dieser Parameter für einen speziellen Benutzer von größtem Interesse oder von größter Bedeutung sind.
  • In dieser Ausführungsform können mindestens zwei unterschiedliche Klassen von Vorrichtungen vorliegen. Es werden nur zwei Klassen beschrieben, doch können auch zusätzliche Klassen bereitgestellt sein. Die Vorrichtungen in einer speziellen Klasse können verwendet werden, um ähnliche Funktionen, wie etwa Ätzen oder Ausheizen, auszuführen, wie in dem in 2 veranschaulichten Beispiel. Mit anderen Worten, die Vorrichtungen in einer gegebenen Klasse gehören alle zu der gleichen Art. Wie in 2 gezeigt, weisen zum Beispiel mindestens zwei der Klassen mindestens zwei Vorrichtungen auf. Dies bedeutet, dass es mindestens zwei Vorrichtungen der gleichen Art in mindestens zwei der Klassen geben kann. Das Substrat kann nur von einer Vorrichtung in einer ersten Klasse von Vorrichtungen und/oder einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet werden, z. B. kann das Substrat von nur einer der Vorrichtungen in mindestens einer Klasse verarbeitet werden, oder sogar nur von einer der Vorrichtungen in jeder Klasse.
  • In dem in 2 abgebildeten Beispiel sind mehrere unterschiedliche Klassen von Vorrichtungen gezeigt. Zum Beispiel gehören die Ätzvorrichtungen 122A, 122B und 122C jeweils zu einer ersten Klasse von Vorrichtung und die Ausheizvorrichtungen 124A, 124B und 124C gehören jeweils zu einer zweiten Klasse von Vorrichtung. Wie in 2 gezeigt, gibt es drei Ätzvorrichtungen und drei Ausheizvorrichtungen. Es können unterschiedliche Anzahlen an Klassen, unterschiedliche Anzahlen an einer oder mehreren Vorrichtungen in jeder Klasse und unterschiedliche Kombinationen von Klasse und Anzahlen in jeder Klasse bereitgestellt werden.
  • Da es eine Vielzahl von Vorrichtungen gibt, ist es wünschenswert, den Effekt einer individuellen Vorrichtung zu bestimmen. Somit dient in einer Ausführungsform das Verfahren dem Bestimmen eines Beitrags einer einzelnen Vorrichtung aus der Vielzahl von Vorrichtungen. Auf diese Weise kann die Auswirkung einer einzelnen Vorrichtung errechnet werden. Wie beschrieben, kann sich der Parameter auf viele Dinge beziehen, am ehesten aber ist der Parameter mit der Verarbeitung eines Substrats assoziiert. Somit wirkt wahrscheinlich die Verarbeitung des Substrats auf den Parameter ein, und somit wirken unterschiedliche Vorrichtungen in der Vielzahl von Vorrichtungen wahrscheinlich auf unterschiedliche Weisen auf ihn ein.
  • Das Verfahren beinhaltet ferner das Erhalten von Parameterdaten und Verwendungsdaten. Die Parameterdaten basieren auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind. Detaillierter beziehen sich die Parameterdaten auf Messungen, die dem Parameter für von der Vielzahl von Vorrichtungen verarbeitete Substrate entsprechen. Die Verwendungsdaten geben an, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung eines Substrats verwendet wurden. Mit anderen Worten geben die Verwendungsdaten an, welche Vorrichtungen spezifisch zum Verarbeiten jedes Substrats verwendet worden sind. Die Verwendungsdaten stellen somit eine Angabe dafür bereit, welche individuellen Vorrichtungen, z. B. welche spezielle Vorrichtung innerhalb einer Klasse von Vorrichtungen, zur Verarbeitung eines Substrats verwendet worden ist. Die zum Verarbeiten des Substrats verwendeten Vorrichtungen können die oben beschriebenen und in den 1 und 2 gezeigten Vorrichtungen einschließen und schließen eine oder mehrere Vorrichtungen innerhalb des lithographischen Geräts 100 ein, das zur Verarbeitung des Substrats verwendet wird, z. B. den Substrattisch, der zum Exponieren verwendet wird (in einem lithographischen Dual-Stage-Gerät).
  • Das Verfahren beinhaltet ferner das Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und Parameterdaten. Somit bestimmt das Verfahren den Beitrag von einer der Vorrichtungen aus der Vielzahl von Vorrichtungen zu einem Fingerabdruck eines mit der Verarbeitung des Substrats assoziierten Parameters durch die Verwendung der oben beschriebenen Daten. Dies kann auf eine Vielfalt von Weisen erfolgen.
  • In einer Ausführungsform kann das Verfahren ferner die Verwendung einer Matrix zum Bestimmen des Beitrags beinhalten. Die Matrix kann sich auf eine Anordnung von Quantitäten oder Ausdrücken in Reihen oder Spalten beziehen. Die Matrix kann Teil einer Matrixgleichung sein, die gelöst werden kann, um unbekannte Werte in der Gleichung zu bestimmen. Das Verfahren kann einen Schritt des Bestimmens einer Matrix unter Verwendung der Verwendungsdaten beinhalten. Der Schritt des Bestimmens des Beitrags kann das Lösen einer Gleichung, die die Matrix beinhaltet und die Parameterdaten verwendet, beinhalten. Die Matrix kann die zum Verarbeiten mehrerer Substrate verwendeten Vorrichtungen darstellen. Somit kann die Matrix verwendet werden, um eine Beziehung zwischen dem Beitrag einer Vorrichtung zu einem Fingerabdruck eines Parameters und den Parameterdaten zu definieren. Diese Ausführungsform kann mit einem beliebigen Matrixlösungsverfahren oder einer Variation davon verwendet werden. Wie in den nachstehenden Beispielen beschrieben wird, kann die Matrixgleichung gelöst werden, um den Beitrag einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu bestimmen, indem eine transformierte Version der Matrix mit den Parameterdaten multipliziert wird, wobei optional die transformierte Version der Matrix die Inverse der Matrix ist.
  • Das Bestimmen der Matrix kann auf eine Vielfalt von Weisen erfolgen. Im Allgemeinen stellt in einer Ausführungsform jede Reihe der Matrix ein Substrat dar, das von mindestens einer Vorrichtung in einer ersten Klasse von Vorrichtungen und mindestens einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet worden ist. Somit stellt jede Reihe ein Substrat dar, das mindestens teilweise verarbeitet worden ist. Dies bedeutet, dass jedes Substrat, das Messungen bereitstellt, die zum Bestimmen der Auswirkung der Vorrichtungen verwendet werden, eine einzelne assoziierte Reihe in der Matrix aufweist. Diese kann dem Substrat entsprechen, das mindestens eine der ersten Klasse von Vorrichtungen und mindestens eine der zweiten Klasse von Vorrichtung durchlaufen hat. In dem Beispiel von 2 handelt es sich bei der ersten Klasse von Vorrichtungen um die Ätzvorrichtungen 122A, 122B und bei der zweiten Klasse von Vorrichtungen um die Ausheizvorrichtungen 124A, 124B. Jede Spalte in der Matrix kann eine Vorrichtung der Vielzahl von Vorrichtungen darstellen. Das Substrat kann nur von einer Vorrichtung in jeder speziellen Klasse verarbeitet werden, z. B. einer Vorrichtung in der ersten Klasse von Vorrichtungen und/oder einer Vorrichtung in der zweiten Klasse von Vorrichtungen.
  • Wenn das Substrat verarbeitet wird, durchläuft es unterschiedliche Arten von Vorrichtung, d. h. Vorrichtungen in unterschiedlichen Klassen. Somit kann das Substrat mindestens eine Vorrichtung in einer Vielfalt von Klassen durchlaufen. Das Substrat kann mindestens eine Vorrichtung in jeder der Klassen durchlaufen. Für jedes Substrat können Daten gesammelt und/oder erhalten werden, um anzugeben, welche Vorrichtungen zum Verarbeiten dieses Substrats verwendet worden sind. Wie beschrieben, sind dies die Verwendungsdaten. Die Verwendungsdaten können verwendet werden, um die Matrix zu erzeugen. Die Reihe der Matrix weist entsprechend jeder der Vorrichtungen, die zum Verarbeiten dieses speziellen Substrats verwendet worden sind, einen Nicht-Null-Eintrag auf. Mit anderen Worten gibt es für jedes Substrat in der Matrix einen Eintrag, der angibt, welche spezielle Vorrichtung aus der Vielzahl von Vorrichtungen beim Verarbeiten dieses Substrats verwendet worden ist. Der Eintrag kann ein Wert, wie etwa eine 1, sein oder er kann eine Teildesignmatrix sein (die nachstehend detaillierter beschrieben wird). In einem Beispiel kann das Modell für individuelle Messstellen gelöst werden, wobei das Modell pro Stelle Folgendes ist: x i = b a ¨ t z e n A , i + b a u s h e i z e n B , i
    Figure DE112018000173B4_0001
    wobei i die Messungszahl angibt, d. h. angibt, auf welches Substrat sich die Messung bezieht. In diesem Beispiel basieren die Parameterdaten x auf mindestens einer Messung von einem Substrat, das von einer Ätzvorrichtung und einer Ausheizvorrichtung verarbeitet worden ist. A und B können beliebige einer speziellen Ätz- bzw. Ausheizvorrichtung angeben. Zum Beispiel kann A durch 1 ersetzt werden und ätzen1 kann der ersten Ätzvorrichtung 122A in 2 entsprechen, und B kann durch 2 ersetzt werden und ausheizen2 kann der zweiten Ausheizvorrichtung 124B in 2 entsprechen. Die Symbole sind nicht fett geschrieben, weil die Parameter (z. B. die b) einzelne Wert sind und als zerlegte Messungen interpretiert werden können. An alle zerlegten Messungen kann ein Fingerabdruckmodell angepasst werden, wenn gewünscht.
  • Für individuelle Messstellen für mehrere Substrate können die mehreren Substrate jeweils von mindestens einer Vorrichtung verarbeitet werden. Zum Lösen der Gleichung (1) werden mehrere Gleichungen für unterschiedliche Substrate bereitgestellt, d. h. mit unterschiedlichen Werten von i. Auf der Basis des Models für die mehreren Substrate kann eine Matrix formuliert werden, und Gleichung (1) kann auf jedes Substrat angewandt werden. Die auf den Messungen für jedes Substrat an einer speziellen Stelle basierenden Parameterdaten lassen sich als ein Vektor schreiben, wobei x = [x1, x2, x3... xn]. Zu Gleichung (1) äquivalente Gleichungen für jedes Substrat können zu einer Matrixformulierung kombiniert werden. Die Matrixformulierung eines linearen Modells für das Einschätzen des Fingerabdrucks eines Parameters lässt sich wie folgt darstellen: x = M b
    Figure DE112018000173B4_0002
    wobei die Symbole fett geschrieben sind, weil sie sich auf Vektoren und eine Matrix beziehen. In diesem Beispiel ist x ein Vektor, der auf Messungen für mehrere Substrate basiert, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, d. h. x sind die Parameterdaten, b ist ein Vektor von Parametern des linearen Modells, und M ist eine Matrix. Ein Substrat wird durch eine Reihe der Matrix M dargestellt, somit ist die Anzahl an Reihen der Matrix M gleich der Größe des Vektors x. Jede Spalte kann eine Basisfunktion für einen Parameter in b enthalten, berechnet für alle Messungen, und jede Reihe kann alle Basisfunktionen, berechnet auf der Basis von Messungen für ein Substrat, enthalten.
  • In einem Beispiel werden sechs Substrate (z. B. x1, x2, x3, x4, x5, x6) von einer ersten Ätzvorrichtung 122A, einer zweiten Ätzvorrichtung 122B oder einer dritten Ätzvorrichtung 122C und einer ersten Ausheizvorrichtung 124A, einer zweiten Ausheizvorrichtung 124B oder einer dritten Ausheizvorrichtung 124C, wie in 2 gezeigt, verarbeitet. Das erste Substrat wird unter Verwendung der ersten Ätzvorrichtung 122A und der ersten Ausheizvorrichtung 124A verarbeitet. Das zweite Substrat wird unter Verwendung der zweiten Ätzvorrichtung 122A und der zweiten Ausheizvorrichtung 124B verarbeitet. Das dritte Substrat wird unter Verwendung der ersten Ätzvorrichtung 122A und der dritten Ausheizvorrichtung 124C verarbeitet. Das vierte Substrat wird unter Verwendung der dritten Ätzvorrichtung 122C und der ersten Ausheizvorrichtung 124A verarbeitet. Das fünfte Substrat wird unter Verwendung der zweiten Ätzvorrichtung 122B und der dritten Ausheizvorrichtung 124C verarbeitet. Das sechste Substrat wird unter Verwendung der dritten Ätzvorrichtung 122C und der zweiten Ausheizvorrichtung 124B verarbeitet.
  • Jedes Substrat weist eine Gleichung auf, die der Gleichung (1) entspricht, und die Kombinationen aus den für die unterschiedlichen Substrate verwendeten Vorrichtungen können in einer Matrixformulierung wie in Gleichung (2) angewandt werden. Somit lässt sich die Matrixformulierung von Gleichung (2) für dieses Beispiel wie folgt vollständig ausschreiben: ( x 1 x 2 x 3 x 4 x 5 x 6 ) = ( 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 0 0 0 1 0 0 0 1 0 0 1 0 1 0 ) ( b a ¨ t z e n 1 b a ¨ t z e n 2 b a ¨ t z e n 3 b a u s h e i z e n 1 b a u s h e i z e n 2 b a u s h e i z e n 2 )
    Figure DE112018000173B4_0003
  • Wie beschrieben, gibt jede Reihe der Matrix M ein Substrat an, und jede Spalte stellt eine der Vorrichtungen dar. Somit kann in diesem Beispiel die Matrix M so erzeugt werden, dass sie in einer ersten Reihe das erste Substrat, in einer zweiten Reihe das zweite Substrat und in der dritten Reihe das dritte Substrat darstellt und so weiter. Des Weiteren kann die erste Spalte mit der ersten Ätzvorrichtung 122A korrelieren, die zweite Spalte kann sich auf die zweite Ätzvorrichtung 122B beziehen, die dritte Spalte kann sich auf die dritte Ätzvorrichtung 122C beziehen, die vierte Spalte kann sich auf die erste Ausheizvorrichtung 124A beziehen, die fünfte Spalte kann sich auf die zweite Ausheizvorrichtung 124B beziehen, und die sechste Spalte kann sich auf die dritte Ausheizvorrichtung 124C beziehen.
  • Das erste Substrat sollte Nicht-Null-Einträge aufweisen, die der ersten Ätzvorrichtung 122A und der ersten Ausheizvorrichtung 124A entsprechen. Somit weist das erste Substrat (in der ersten Reihe) einen Nicht-Null-Eintrag in der ersten Spalte und der vierten Spalte der Matrix M auf. Da das erste Substrat nicht von der zweiten Ätzvorrichtung 122B, der dritten Ätzvorrichtung 122C, der zweiten Ausheizvorrichtung 124B oder der dritten Ausheizvorrichtung 124C verarbeitet wurde, weist die erste Reihe Null-Einträge in der zweiten, dritten, fünften und sechsten Spalte auf.
  • Das zweite Substrat sollte Nicht-Null-Einträge aufweisen, die der zweiten Ätzvorrichtung 122B und der zweiten Ausheizvorrichtung 124B entsprechen. Somit weist das zweite Substrat (in der zweiten Reihe) einen Nicht-Null-Eintrag in der zweiten und der fünften Spalte der Matrix M auf. Da das zweite Substrat nicht von der ersten Ätzvorrichtung 122A, der dritten Ätzvorrichtung 12C, der ersten Ausheizvorrichtung 124A oder der dritten Ausheizvorrichtung 124C verarbeitet wurde, weist die zweite Reihe Null-Einträge in der ersten, dritten, vierten und sechsten Spalte auf.
  • Das dritte Substrat sollte einen Nicht-Null-Eintrag entsprechend der ersten Ätzvorrichtung 122A und der ersten Ausheizvorrichtung 124C aufweisen. Somit weist das dritte Substrat (in der dritten Reihe) einen Nicht-Null-Eintrag in der ersten und der sechsten Spalte der Matrix M auf. Da das dritte Substrat nicht von der zweiten Ätzvorrichtung 122B, der dritten Ätzvorrichtung 122C, der ersten Ausheizvorrichtung 124A oder der zweiten Ausheizvorrichtung 124B verarbeitet wurde, weist die erste Reihe Null-Einträge in der zweiten, dritten, vierten und fünften Spalte auf.
  • Die Einträge in der Matrix für das vierte, fünfte und sechste Substrat werden auf die gleiche Weise bestimmt. Auf diese Weise können die Verwendungsdaten verwendet werden, um eine Matrix M zu erzeugen. Die Matrix M gibt eindeutig an, welche der Vielzahl von Vorrichtungen zur Verarbeitung eines Substrats verwendet worden sind. Somit wird eine Matrix M wie nachstehend gezeigt bestimmt, die der Matrix in Gleichung (3) entspricht: M = ( 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 0 0 0 1 0 0 0 1 0 0 1 0 1 0 )
    Figure DE112018000173B4_0004
  • Die gleichen Gleichungen wie oben können für kompliziertere Modelle angewandt werden, wie etwa, wenn die Parameterdaten auf Messungen basieren, die vorverarbeitet werden. In einem Beispiel lässt sich das Modell für Beiträge von unterschiedlichen Vorrichtungen wie folgt schreiben: x = M A b A + M B b B
    Figure DE112018000173B4_0005
    wobei A und B Kennzeichen für eine erste und zweite Vorrichtung sind. Das Modell kann sich für die Vorrichtungen A und B unterscheiden und kann unterschiedliche Anzahlen an Parametern aufweisen, was zu unterschiedlichen Größen der Vektoren b führen würde, und unterschiedliche Anzahlen an Spalten der Matrizen M aufweisen. Wie zuvor ist x auch ein Vektor. Dieses Beispiel entspricht der Gleichung (1), verwendet aber Teildesignmatrizen.
  • Das Lösen der Gleichung (5) erfordert mehr als einen Satz Messungen. Somit basieren die Parameterdaten auf einer Messung für mehrere Substrate, die wie in Gleichung (6) gezeigt ein erstes und ein zweites Substrat sein können: { x 1 = M A 1 b A + M B , 1 b B x 2 = M A 2 b A + M B , 2 b B
    Figure DE112018000173B4_0006
    wobei die tiefgestellten Ziffern das Substrat angeben, das verarbeitet worden ist, und A und B Kennzeichen für die zum Verarbeiten dieses Substrats verwendeten Vorrichtungen sind, wie in Gleichung (5). Jede Gleichung (6) kann sich auf ein Modell/einen Fingerabdruck für ein Substrat beziehen, d. h. in Bezug auf mehrere Stellen auf einem einzigen Substrat.
  • Gleichung (6) lässt sich wie folgt neu schreiben: ( x 1 x 2 ) = ( M A , 1 M B , 1 M A , 2 M B , 2 ) ( b A b B )
    Figure DE112018000173B4_0007
    was zeigt, wie die Designmatrix aus mehreren Teildesignmatrizen, z. B. MA,1, MA,2...etc., zusammengesetzt sein kann. Jede Teildesignmatrix kann allgemein als MX,i geschrieben werden, wobei i das Substrat angibt und X die für das Substrat verwendete Vorrichtung angibt. Die Teildesignmatrix MX,i ist eine Matrix innerhalb der Designmatrix M. Dieser Mechanismus des Kombinierens zweier linearer Modelle lässt sich erweitern, um mehr Beiträgermodelle für zusätzliche Substrate und/oder Vorrichtungen einzuschließen. Die Teildesignmatrix kann eine Null-Eintrag-Teildesignmatrix oder eine Nicht-Null-Eintrag-Teildesignmatrix sein. Eine Null-Eintrag-Teildesignmatrix kann den Vorrichtungen aus der Vielzahl von Vorrichtungen entsprechen, die nicht zum Verarbeiten des Substrats verwendet werden. Die Null-Eintrag-Teildesignmatrix kann eine Matrix sein, die nur 0-Einträge beinhaltet. Die Teildesignmatrizen in einer einzelnen Matrix können alle die gleiche Größe aufweisen, sodass die Null-Eintrag-Teildesignmatrix und die Nicht-Null-Eintrag-Teildesignmatrix die gleiche Größe aufweisen. Eine Nicht-Null-Eintrag-Teildesignmatrix kann jeder der Vorrichtungen entsprechen, die zum Verarbeiten des Substrats verwendet werden. Die Nicht-Null-Eintrag-Teildesignmatrix kann auf einem modellierten Beitrag zu dem Fingerabdruck von der jeweiligen Vorrichtung und dem jeweiligen Substrat basieren. Mit anderen Worten kann die Nicht-Null-Eintrag-Teildesignmatrix Informationen einschließen, die den Beitrag zu einem speziellen Substrat von einer speziellen Vorrichtung modellieren. Die Null-Eintrag-Teildesignmatrizen können anstelle der Null-Einträge in der oben beschriebenen Matrix verwendet werden, und die Nicht-Null-Eintrag-Teildesignmatrizen können anstelle der Nicht-Null-Einträge in der oben beschriebenen Matrix verwendet werden.
  • In dem vorliegenden Beispiel wird für jedes Substrat ein Modell mit einem Ausdruck für jede Klasse von für das Substrat verwendeten Vorrichtungen geschaffen, zum Beispiel: ( x 1 x 2 x 3 x 4 ) = ( M a ¨ t z e n 1,1 0 M a u s h e i z e n 1,1 0 0 M a ¨ t z e n 2,2 0 M a u s h e i z e n 2,2 M a ¨ t z e n 1,3 0 0 M a u s h e i z e n 2,3 0 M a ¨ t z e n 2,24 M a u s h e i z e n 1,4 0 ) ( b a ¨ t z e n 1 b a ¨ t z e n 2 b a u s h e i z e n 1 b a u s h e i z e n 2 )
    Figure DE112018000173B4_0008
  • In diesem Beispiel gibt ätzen eine erste Klasse von Vorrichtungen an, d. h. Ätzvorrichtungen, und ausheizen gibt eine zweite Klasse von Vorrichtungen an, d. h. Ausheizvorrichtungen. Die 0-Matrizen weisen Dimensionen auf, die den Matrizen MX,i entsprechen.
  • Jede der Vielzahl von Vorrichtungen kann verwendet werden, um mindestens eines der mehreren Substrate zu verarbeiten. Mit anderen Worten muss zum Lösen der Gleichung, die die Matrix beinhaltet, zum Bestimmen des Beitrags von einer Vorrichtung aus einer Vielzahl der Vorrichtungen, die Vorrichtung für mindestens eines der Substrate in der Matrix verwendet worden sein. Je weniger Kombinationen der Vorrichtungen vorhanden sind, desto größer die Ungewissheit bei der resultierenden Bestimmung.
  • Obwohl die Ätz- und Ausheizvorrichtungen als oben beschriebene Beispiele verwendet werden, kann jede beliebige andere Art von Vorrichtung (sowie jede beliebige Anzahl an Vorrichtungen einer Art und jede beliebige Anzahl unterschiedlicher Arten von Vorrichtung) verwendet werden. Die Vorrichtung kann eine beliebige Vorrichtung sein, die eine Auswirkung auf einen oben beschriebenen Parameter hat. Somit könnten die unterschiedlichen Arten von Vorrichtung Ätzvorrichtungen, Abscheidewerkzeuge, Substrattische, Poliervorrichtungen (wie etwa chemisch-mechanische Planarisierungsvorrichtungen), Ausheizvorrichtungen (wie etwa Vorrichtungen für schnelles thermisches Ausheilen), Reinigungsvorrichtungen, Beschichtungsvorrichtungen (wie etwa die zum Aufbringen eines Photolacks verwendeten), Entwicklungsvorrichtungen, Trackvorrichtungen, Implantationsvorrichtungen und/oder Härtevorrichtungen einschließen. Es versteht sich, dass jegliche Kombination von Vorrichtungen anwendbar sein kann und für das Substrat verwendet werden kann. Das Bestimmen des Beitrags kann an Komplexität zunehmen, wenn die Anzahl an Vorrichtungen zunimmt, wäre aber unter Verwendung des beschriebenen Matrixverfahrens noch immer möglich.
  • Die Parameterdaten können sich auf die Messungen in unterschiedlichen Weisen beziehen (die Messungen können anderweitig als Messdaten bezeichnet werden). In einem Beispiel kann der Parameter gleich den Messungen sein. Mit anderen Worten, es wird möglicherweise keine Vorverarbeitung der Messungen ausgeführt, und der Beitrag kann unter Verwendung der Parameterdaten ohne anfängliche Verarbeitung bestimmt werden. Alternativ könnten die Messungen unter Verwendung einer Vielfalt unterschiedlicher Verfahren verarbeitet werden, um die Parameterdaten bereitzustellen. Mit anderen Worten basieren die Parameterdaten auf verarbeiteten Messungen. Zum Beispiel können die Messungen unter Verwendung einer Hauptkomponentenanalyse oder Anpassen eines Modells an die Messungen (wie etwa eines polynomischen Modells oder eines linearen Modells, z. B. unter Verwendung einer Zernike-Analyse) verarbeitet werden. Das Verwenden einer Zernike-Analyse kann Teildesignmatrizen, wie oben beschrieben, verwenden. Die Hauptkomponentenanalyse (z. B. unter Verwendung statistischer oder räumlicher Korrelation) kann den Vorteil haben, Rauschen zu filtern. Es kann eine statistische Korrelation verwendet werden, die nur auf größeren Fingerabdrücken basiert und Unterschiede, die klein sind oder nicht zwischen Substraten korrelieren, unterdrückt. Die Parameterdaten, die auf verarbeiteten Messungen basieren, können in Teildesignmatrizen resultieren, wie oben in Bezug auf Gleichungen (5) bis (8) beschrieben.
  • Es können unterschiedliche Arten von Anpassung verwendet werden, und sie können zum Unterdrücken von Rauschen wirksam sein. Die Parameterdaten können somit ein Modell auf der Basis einer Messung für die Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, sein. Dies kann die Anzahl an benötigten Messungen verringern, während noch immer verwendbare Parameterdaten mit einer Genauigkeit bis zum gewünschten Grad bereitgestellt werden.
  • Die die Matrix beinhaltende Gleichung lässt sich unter Verwendung einer Vielfalt unterschiedlicher Verfahren lösen. Sehr allgemein kann die Matrixgleichung durch das Multiplizieren einer transformierten Version der Matrix mit den Parameterdaten gelöst werden. Zum Beispiel kann die obige Gleichung (2) unter Verwendung von Standardtechniken linearer Algebra gelöst werden, z. B. kann die die Matrix beinhaltende Gleichung unter Verwendung einer Kleinste-Quadrate-Anpassung gelöst werden: b = ( M ' M ) 1 M ' x
    Figure DE112018000173B4_0009
    und ein ähnliches Verfahren lässt sich auf Gleichung (7) anwenden. Ein Vorteil der Verwendung einer Kleinste-Quadrate-Anpassung liegt darin, dass dies schneller als andere Verfahren sein kann, und sie lässt sich ohne eine explizite Matrixinversion, z. B. unter Verwendung einer Penrose-Pseudoinversen oder einer QR-Zerlegung, lösen. Zusätzlich können die obigen Gleichungen, z. B. Gleichungen (2) und/oder (7), adaptiert werden, um eine Angabe zur Rauschvarianz einzuschließen, sodass die Rauschvarianz einen reduzierten Effekt aufweist. Dies funktioniert allerdings bei einem unbestimmten System nicht, und es kann eine Überanpassung geben. In dem Fall, dass die M'M-Matrix fast singulär ist, existieren alternative Techniken, um das Problem leichter lösbar zu machen, z. B. Singulärwertzerlegung (SVD).
  • Die Singulärwertzerlegung kann auf der Basis des folgenden Satzes verwendet werden, bei dem: M = U mxm S mxk V kxn
    Figure DE112018000173B4_0010
    wobei U und V jeweils unitäre Matrizen sind, UTU = Inxn und VTV= Ipxp (d. h. U und V sind orthogonal), und S eine Diagonalmatrix ist. Die tiefgestellten Werte m und n stellen die Anzahl an Reihen bzw. Spalten jeder Matrix dar, d. h. U ist eine m-mal-m-Matrix und S ist eine m-mal-k-Matrix. Dies lässt sich auf die obigen Gleichungen anwenden, sodass: x mxp = U mxm S mxk V kxn b mxp
    Figure DE112018000173B4_0011
  • Diese Gleichung kann gelöst werden, um die folgende Lösung bereitzustellen: b = V k ( S k T S k ) 1 S k T U T x
    Figure DE112018000173B4_0012
  • Ein Teil des Problems besteht darin, dass die Matrix wahrscheinlich überbestimmt ist, was bedeutet, dass es nicht notwendigerweise eine einfache Lösung gibt. Dies bedeutet, dass das Invertieren der Matrix oft nicht funktioniert. Für jegliche Lösung kann eine unendliche Anzahl an alternativen Lösungen erschaffen werden, indem eine willkürliche Zahl oder ein willkürlicher Vektor allen b oder b einer Gruppe hinzugefügt wird, während gleichzeitig die gleiche Zahl von Vektor von den b oder b einer anderen Gruppe subtrahiert wird.
  • Ein nützlicher Weg, dies zu überwinden, besteht darin, den durchschnittlichen Beitrag einer Klasse von Vorrichtungen null zu machen, und der durchschnittliche Fingerabdruck ist in einem neuen b oder b.
  • In Bezug auf die obigen Gleichungen bedeutet dies, dass ein zusätzliches bglobal dem Modell hinzugefügt wird und die folgenden zwei zusätzlichen Bedingungen gelten, die während des Lösens des Modells gehandhabt werden können: b a ¨ tzen1 + b a ¨ t z e n 2 = 0
    Figure DE112018000173B4_0013
    b a u s h e i z e n 1 + b a u s h e i z e n 2 = 0
    Figure DE112018000173B4_0014
    Dieses Beispiel des Einschließens zusätzlicher Bedingungen basiert auf der Adaptation der oben beschriebenen Gleichung (8).
  • Alternativ können die zusätzlichen Bedingungen in der Matrix explizit gemacht werden, indem eine der Spalten jeder Gruppe entfernt und -1 in den verbleibenden Spalten dieser Gruppe hinzugefügt wird, in dem Fall, dass die Messung oder das Substrat die Beiträgerkennzeichnung der entfernten Spalten aufweist. Zusätzlich werden die b oder b der entfernten Beiträger ebenfalls entfernt. In dem nächsten Beispiel, basierend auf Gleichung (3), wird der letzte Beiträger jeder Gruppe entfernt: ( x 1 x 2 x 3 x 4 x 5 x 6 ) = ( 1 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 ) . ( b g l o b a l b a ¨ t z e n 1 b a ¨ t z e n 2 b a u s h e i z e n 1 b a u s h e i z e n 2 )
    Figure DE112018000173B4_0015
    Nach der Lösung des Systems kann batzen3 als -(bätzent1 + bätzen2) errechnet werden; gleichermaßen ist bausheizen3 -(bausheizen1 + bausheizen2).
  • Die die Matrix beinhaltende Gleichung kann auch unter Verwendung einer Kleinste-Quadrate-Anpassung auf der Basis von Regularisierung gelöst werden. Optional können ein L-Kurven-Verfahren und/oder ein Leave-One-Out-Kreuzvalidierungsverfahren verwendet werden. Es können Regularisierungstechniken verwendet werden, um eine Überanpassung zu verhindern. Diese Verfahren können nutzbringend für die Unterdrückung von Zufälligkeit sein, die Messrauschen und/oder andere Beiträger einschließen könnte. Die Verwendung eines L-Kurven-Verfahrens ist in „The L-curve and its use in numerical treatment of inverse problems“ (Die L-Kurve und ihre Verwendung bei der numerischen Behandlung von inversen Problemen) von P. C. Hansen in Computational Inverse Problems in Electrocardiology, Hrsg. P. Johnston, Advances in Computational Bioengineering (https://www.sintef.no/globalassets/project/evitameeting/2005/lcurve.pdf), beschrieben, welches hier durch Bezugnahme zur Gänze inkorporiert ist. Die Regularisierung beschränkt im Allgemeinen die Bandbreite angepasster Parameter, z. B. durch Reduktion hoher Frequenzen, und erlaubt noch immer die Verwendung des vollen Modells, z. B. des Mischmodells, doch sie unterdrückt Rauschen bei den Messungen implizit. Zum Beispiel können Regularisierungstechniken wie etwa Ridge-Regression verwendet werden, um Anpassungsfehler zu reduzieren oder zu minimieren. Derartige Techniken können auf der Basis der folgendem Gleichung verwendet werden: b = ( M T M + λ   I ) 1 M T x
    Figure DE112018000173B4_0016
  • Kurz gesagt beinhaltet die Verfahrensweise für einen Satz Lambdas (λ) einen Schritt des Weglassens eines Substrats für alle Kombinationen (also Substrate 1-19, Substrate 1-18 + 20 etc.) und Lösen des Modells in Gleichung (16) mit einem speziellen Lambda. Weitere Schritte schließen das Errechnen des Anpassungsfehlers auf dem weggelassenen Substrat, das Errechnen des durchschnittlichen Anpassungsfehlers, das Auftragen des durchschnittlichen Anpassungsfehlers gegen Lambda und das Auswählen eines Lambda mit dem kleinsten Anpassungsfehler ein. Die die Matrix beinhaltende Gleichung kann auch unter Verwendung von Bayesscher Statistik gelöst werden, welche dahingehend Vorteile aufweist, dass sie eine Überanpassung vermeidet, Vorwissen systematisch inkorporiert werden kann und eine Verteilung des Ergebnisses statt eines einzelnen Punkts bereitgestellt werden kann. Jedoch kann eine sehr aufwändige rechnerische Verarbeitung erforderlich sein, und es bestehen möglicherweise hohe Anforderungen an Speicherplatz.
  • In dem Fall mehrdimensionaler Messungen (z. B. Überdeckung), können die obigen Verfahren separat auf x und y angewandt werden.
  • In dem obigen Beispiel kann ein Eintrag in der Matrix einfach 1 (als der Nicht-Null-Eintrag) oder eine Null sein, was die zum Verarbeiten eines speziellen Substrats verwendete spezifische Vorrichtung angibt. Die Matrix kann jedoch mindestens eine Teildesignmatrix beinhalten, wie in Gleichungen (5)-(8) gezeigt. Mit anderen Worten kann ein Eintrag in der Matrix eine weitere Matrix beinhalten. Zum Beispiel kann die einfachere Matrix verwendet werden, wenn der Parameter an einer individuellen Stelle bestimmt wird, und die Matrix kann komplizierter sein, zum Beispiel durch Verwenden von Teildesignmatrizen, wenn mehrere Stellen verarbeitet werden. Der Inhalt des Teildesignmatrix-Inhalts kann variieren, zum Beispiel können unterschiedliche Teildesignmatrizen für unterschiedliche Klassen verwendet werden, z. B. ein Versatz/eine Krümmung für eine Klasse von Vorrichtungen und global für eine andere Klasse von Vorrichtungen.
  • In der einen oder den mehreren oben beschriebenen Ausführungsformen kann eine Matrix bestimmt und dann verwendet werden, um den Beitrag einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters zu bestimmen. Wie beschrieben gibt es mehrere Weisen, auf die die Matrix bestimmt werden kann. Das oben beschriebene Verfahren versucht, falsche Quellen von Störung zu finden, die eine negative Auswirkung auf Parameter von gedruckten Substraten haben. Messungen des Substrats W können auf der Basis von Kontextdaten zum Ausarbeiten des Beitrags einer spezifischen Vorrichtung zerlegt werden. Auf diese Weise kann das Verfahren verwendet werden, um mit relativ guter Genauigkeit zu bestimmen, was auf einen Parameter eines gedruckten Substrats einwirkt. Auf diese Weise können negative Beiträge zu einem Parameter von einer spezifischen Vorrichtung berücksichtigt werden, d. h. minimiert und/oder korrigiert werden.
  • Ein ausreichend informationsreicher Datensatz kann verwendet werden, um den Fingerabdruck eines Parameters richtig zu zerlegen, um den Beitrag einer speziellen Vorrichtung zu bestimmen. Dies kann bedeuten, dass ein relativ großer Datensatz benötigt wird, was teuer sein kann. Mit anderen Worten besteht ein mögliches Problem darin, dass eine große Anzahl an Substraten W auf eine Vielfalt von unterschiedlichen Weisen verarbeitet und dann gemessen werden muss, um den spezifischen Fingerabdruck für eine beliebige Vorrichtung zu bestimmen. Zusätzlich kann es eine relativ große Menge redundanter Daten in dem Datensatz geben. Um den gewünschten Datensatz zu erhalten, könnte periodisches/zufälliges Abtasten etc. verwendet werden, um die Anzahl an Substraten, die verarbeitet und gemessen werden müssen, zu reduzieren. Es könnte jedoch ein besserer Datensatz bereitgestellt werden, indem ein fokussierteres Verfahren wie nachstehend beschrieben verwendet wird. Das Verfahren könnte angeben, wo und an wie vielen Substraten W Messungen ausgeführt werden sollten. Somit kann das Verfahren Schritte zum Verbessern oder Optimieren der Bestimmung der Matrix beinhalten, sodass weniger Substrate W verwendet werden, um den Beitrag der unterschiedlichen Vorrichtungen zu bestimmen.
  • Detaillierter gesagt, kann die Matrix auf eine spezifische Weise bestimmt werden, um Hinweise darüber zu geben, welche Substrate W gemessen werden sollten und/oder welche Vorrichtungen zum Verarbeiten von Substraten verwendet werden sollten, um eine nützliche Anzahl an Substraten in der kürzesten Zeit zu messen, und/oder Auskunft darüber, wie ein Datensatz mit einer reduzierten oder minimalen Anzahl an Substraten erweitert werden kann, als Zusatz zu einem bereits erhobenen Datensatz, z. B. durch Bestimmen, welche Vorrichtungen zum Verarbeiten ausgewählter zusätzlicher Substrate zu verwenden sind.
  • Im Allgemeinen dient ein Verfahren zum Bestimmen der Matrix dem Auswählen einer Kette von Prozessschritten, die auf mehrere Substrate angewandt werden. Dies bedeutet, dass die Matrix verwendet werden kann, um auszuwählen, welche Kombination von Vorrichtungen verwendet werden sollte, um individuelle Substrate in einer ausgewählten Gruppe von Substraten zu verarbeiten. Das Verfahren beinhaltet das Auswählen einer ersten Kette (z. B. einer ersten Kombination von Vorrichtungen zum Verarbeiten eines Substrats), die einer Reihe in der Matrix entsprechen kann. Das Verfahren kann ferner das Auswählen mindestens einer weiteren Kette (z. B. mindestens einer weiteren Kombination von Vorrichtungen zum Verarbeiten mindestens eines weiteren Substrats) auf der Basis einer erwarteten Verbesserung, mit der ein Charakteristikum eines mit der ersten Kette und der zweiten Kette assoziierten Prozessschritts bestimmt werden kann, beinhalten.
  • Detaillierter gesagt, kann das Verfahren zum Bestimmen der Matrix, wie hier beschrieben, ferner die folgenden Schritte beinhalten, die vorzugsweise in der folgenden Reihenfolge bereitgestellt werden:
    1. a. Erzeugen einer ersten Matrix N, die die möglichen Kombinationen der Vielzahl von zum Verarbeiten eines Substrats W verwendeten Vorrichtungen darstellt;
    2. b. Bestimmen eines Vektors ni für jede Reihe i der ersten Matrix N;
    3. c. Errechnen einer Delta-Kovarianzmatrix ΔYi für jede Reihe, wobei die Delta-Kovarianzmatrix ni Tni ist;
    4. d. Auswählen einer Reihe i von der ersten Matrix N und Speichern der ausgewählten Reihe als eine zweite Matrix M;
    5. e. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei:
      • wenn das Stoppkriterium nicht erfüllt ist, Fortfahren mit Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die ausgewählte Reihe entfernt ist; und
      • wenn das Stoppkriterium erfüllt ist, Verwenden der zweiten Matrix M als die bestimmte Matrix;
    6. f. Errechnen der Pseudodeterminante entsprechend jeder verbleibenden Reihe der aktualisierten ersten Matrix N;
    7. g. Bestimmen einer Reihe mit einer bevorzugten Pseudodeterminante, Aktualisieren der zweiten Matrix M, um die Reihe mit der bevorzugten Pseudodeterminante einzuschließen, und Aktualisieren der ersten Matrix N durch Entfernen der Reihe mit der bevorzugten Pseudodeterminante;
    8. h. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei:
      • wenn das Stoppkriterium nicht erfüllt ist, Zurückkehren zu Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die Reihe mit der bevorzugten Pseudodeterminante entfernt ist; und
      • wenn das Stoppkriterium erfüllt ist, wird die aktualisierte zweite Matrix M als die bestimmte Matrix verwendet.
  • Das Bestimmen der Matrix auf diese Weise bedeutet, dass es möglich sein könnte, zu bestimmen, wie auf die Parameter eines gedruckten Substrats W eingewirkt worden ist, während eine geringe oder minimale Anzahl an Testsubstraten verwendet wird. Dieses Verfahren stellt eine Abtastschema-Optimierung bereit, die eine Auswahl von Metrologiezielen erlaubt, um informative Messungen mit niedriger oder minimaler Metrologiebelastung zu erzeugen. Daher bedeutet eine Verwendung dieses Verfahrens, dass die Metrologiebelastung (d. h. die Anzahl an zu messenden Substraten) reduziert werden kann, während noch immer eine für den Benutzer nutzbringende Matrix bereitgestellt wird.
  • Das oben beschriebene Verfahren bietet auf der Basis des Inhalts der zweiten Matrix M eine Richtlinie dafür, welche Substrate, die von spezifischen Vorrichtungen verarbeitet worden sind, zu messen sind. Dies ist nutzbringend, da es den Messaufwand reduziert oder minimiert, während es eine gute Genauigkeit des geschätzten Beitrags ermöglicht. Dies stellt eine kosteneffektive Alternative zur Messung zusätzlicher Substrate bereit.
  • In dem vorliegenden Verfahren können die Substrate W gemäß einer optimalen, möglicherweise beschränkten Kombination von Ketten (d. h. einer spezifischen Kombination von Vorrichtungen zur Verarbeitung individueller Substrate) verarbeitet werden, was bedeutet, dass nur Messungen von diesen Substraten verwendet werden können. Diese Auswahl der informativsten Ketten ergibt eine genaue, kontextspezifische Fingerabdruckbestimmung. Die in den obig beschriebenen Schritten verwendeten Kovarianzanalysetechniken erfordern im Allgemeinen keine große Menge an rechnerischer Verarbeitung zum Ausführen. Des Weiteren liegt ein Vorteil dieses Verfahrens darin, dass, wenn eine große Anzahl an Substraten W für eine große Anzahl an Ketten verarbeitet worden ist, das Verfahren verwendet werden kann, um anzuleiten, welche Leistungsparametermessungen ausgeführt werden sollten, um kontextspezifische Fingerabdrucksinformationen zu bestimmen.
  • Es ist festzuhalten, dass die erste Matrix N Verwendungsdaten verwendet, die angeben, welche der Vorrichtungen aus der Vielzahl der Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden. Somit kann die erste Matrix N alle möglichen Kombinationen der Vielzahl von zum Verarbeiten eines Substrats verwendeten Vorrichtungen darstellen.
  • Die erste Matrix M kann anderweitig auch als eine Kontextmischmatrix bezeichnet werden, d. h. eine Matrix, die alle möglichen Kontextwege hält, die ein Substrat durchlaufen kann, d. h. alle unterschiedlichen möglichen Kombinationen von Vorrichtungen, die zum Verarbeiten eines Substrats verwendet werden können. Der Vektor ni für den i-ten Reihenvektor kann anderweitig auch als der i-te Reihenvektor bezeichnet werden. Wenn die erste Reihe der zweiten Matrix M hinzugefügt wird, kann dies als das Initialisieren eines Abtastschemas bezeichnet werden, wobei eine Abtastung der ersten Matrix M zum Bilden der zweiten Matrix M verwendet wird.
  • Die Größe der Delta-Kovarianzmatrix ΔYi für jede Reihe kann auf der Größe der ersten Matrix N basieren. Wenn die Matrix N eine Dimension von n_a mal n_b aufweist, dann weist ΔYi eine Größe n_b mal n_b auf, d. h. eine quadratische Matrix mit der gleichen Anzahl an Reihen und Spalten. Wie beschrieben kann n_b die Menge an Vorrichtungen sein, wohingegen n_a die Vielzahl von Kombinationen von Vorrichtungen angeben kann.
  • Wenn die Reihen aus der ersten Matrix N ausgewählt und in der zweiten Matrix M gespeichert sind, können die neuen Reihen unten an der zweiten Matrix M an die zweite Matrix M angehängt werden. Auf diese Weise können die Reihen von der ersten Matrix N somit auf die zweite Matrix M übertragen werden, und die Reihen, die der zweiten Matrix M hinzugefügt werden, können auf eine geordnete Weise hinzugefügt werden. Dies ist ein Vorteil, weil, wenn die zweite Matrix M zu einem späteren Zeitpunkt gekürzt werden muss, zum Beispiel wenn ein Benutzer die Anzahl an getesteten Substraten weiter beschränken muss, die zweite Matrix M dann reduziert werden kann, während optimale Reihen oben in der zweiten Matrix M beibehalten werden. Wenn die Reihen der zweiten Matrix M auf geordnete Weise hinzugefügt werden, dann erlaubt dies zudem einem Benutzer, die bevorzugte Größe des Experiments leichter zu evaluieren. Zum Beispiel kann ein gewisser Parameter von Interesse mit der Anzahl an Substraten/einer unterschiedlichen Kombination von für die Substrate verwendeten Verarbeitungsvorrichtungen verglichen werden. Der Parameter kann zum Beispiel auf einer Funktion der „Größe“ des Experiments aufgetragen werden. Dies könnte dafür verwendet werden, herauszufinden, wie viele Reihen von M benötig werden, um über einen gewissen Schwellenwert zu gehen, d. h. ein Stoppkriterium zu erfüllen. Dies zeigt dann die „Größe“ des Experiments an (z. B. die Anzahl an abzutastenden Substraten).
  • Das oben beschriebene Verfahren verwendet im Wesentlichen die Schritte f bis h, um jede der in der ersten Matrix N verbleibenden Reihen zu prüfen, um zu bestimmen, welche der Reihen die wertvollste ist. Die wertvollste Reihe wird dann einer weiteren Matrix hinzugefügt. Die weitere Matrix ist die zweite Matrix M. Mit anderen Worten kann die zweite Matrix M in der linearen Gleichung in der einen oder den mehreren oben beschriebenen Ausführungsformen verwendet werden. Die zweite Matrix M kann später verwendet werden, um den Beitrag einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu bestimmen. Wenn eine Reihe von der ersten Matrix N entfernt wird, wird der Prozess wiederholt, und die verbleibenden Reihen werden dann geprüft, um zu bestimmen, welche der verbleibenden Reihen die wertvollste ist. Die zweitwertvollste Reihe wird dann der zweiten Matrix M hinzugefügt. Auf diese Weise können die wertvollsten Reihen der ersten Matrix N der zweiten Matrix M hinzugefügt werden, bis ein Stoppkriterium erfüllt wird. Das Stoppkriterium kann abhängig von einer Vielfalt unterschiedlicher Optionen, wie oben beschrieben, ausgewählt oder vorgegeben werden.
  • Wie oben beschrieben, wird die Pseudodeterminante für jede Reihe errechnet, um die Reihe mit der bevorzugten Pseudodeterminante zu bestimmen. Die Pseudodeterminante wird errechnet, indem die Delta-Kovarianzmatrix ΔYi für jede Reihe einer dritten Matrix Y hinzugefügt wird, wobei die dritte Matrix Y die Kovarianz der zweiten Matrix M ist. Zudem kann dann die Determinante der Summe der dritten Matrix Y und der Delta-Kovarianzmatrix für die Reihe ΔYi errechnet werden. Auf diese Weise wird die Determinante von Y + ΔYi errechnet. In jeder Iteration, d. h. für jede Reihe i aus der ersten Matrix N, wird die Pseudodeterminante von Y + ΔYi berechnet. Dies gleicht der Summe der Kovarianz der aktuellen zweiten Matrix M und ΔYi (für Reihe i der ersten Matrix N). Diese Rechnung wird für alle Reihen i der ersten Matrix N ausgeführt. Die dritte Matrix Y wird verfolgt und stellt die Kovarianz der zweiten Matrix M dar. Wann immer die zweite Matrix M aktualisiert wird, wird dementsprechend somit die dritte Matrix Y ebenfalls aktualisiert.
  • Eine Reihe der ersten Matrix M kann eine mögliche Kombination der Vielzahl von zum Verarbeiten eines Substrats verwendeten Vorrichtungen darstellen. Eine Spalte der ersten Matrix N kann eine der Vielzahl von Vorrichtungen darstellen. In diesem Fall kann eine Reihe der ersten Matrix N einen Nicht-Null-Eintrag entsprechend jeder der Vielzahl von Vorrichtungen, die zum Verarbeiten des durch die jeweilige Reihe dargestellten Substrats verwendet wurden, aufweisen. Auf ähnliche Weise kann die erste Matrix N einen Null-Eintrag entsprechend jeder der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, aufweisen.
  • Oben ist beschrieben, dass die bevorzugte Pseudodeterminante bestimmt und dann in dieser zweiten Matrix M eigeschlossen wird. Die bevorzugte Pseudodeterminante kann anderweitig auch als die optimale Pseudodeterminante bezeichnet werden. Die bevorzugte Pseudodeterminante kann als die Pseudodeterminante mit dem höchsten Wert angesehen werden. Mit anderen Worten, die Reihe n aus der ersten Matrix N, die die höchste Pseudodeterminante erhielt, kann der zweiten Matrix M hinzugefügt werden. Es können ein oder mehrere andere Mittel verwendet werden. Zum Beispiel könnte die Spur von inv(Y + ΔYi) verwendet werden (die Spur ist die Summe über die diagonalen Elemente). In diesem Fall kann die Reihe mit dem minimalen Wert der Spur die bevorzugte Pseudodeterminante aufweisen. Alternativ könnte ein Wert von v_j mit v_j = sqrt(x_j * inv(Y + ΔYj) * x_j') minimiert werden, wobei x_j die j-te Reihe aus der kombinierten [M;N]-Matrix ist. In diesem Fall kann die Reihe mit dem maximalen Wert von v_j die bevorzugte Pseudodeterminante aufweisen.
  • Nach dem Errechnen der Delta-Kovarianzmatrix für jede Reihe wird eine Reihe (als die erste ausgewählte Reihe) aus der ersten Matrix N ausgewählt. Die Reihe, die zuerst ausgewählt wird, kann die erste Reihe der ersten Matrix N sein. Dies ist nicht notwendig, doch es vereinfacht den Prozess dadurch, dass die erste ausgewählte Reihe einfach die erste Reihe der ersten Matrix N ist.
  • Wie oben beschrieben, kann der Prozess zum Bestimmen der Matrix gestoppt werden, wenn ein Stoppkriterium erfüllt ist. Das Stoppkriterium könnte auf einem oder mehreren einer Vielfalt unterschiedlicher ausgewählter Kriterien basieren. Dieses Stoppkriterium kann anderweitig auch als Leistungsschlüsselindikator bezeichnet werden. Das Stoppkriterium kann einen vorgegebenen oder ausgewählten Wert aufweisen. Allerdings kann dieser Wert zum Beispiel abhängig von einer Auswahl eines Benutzers geändert werden.
  • Das Stoppkriterium kann zum Beispiel erfüllt sein, wenn die Anzahl an in der zweiten Matrix M verwendeten Reihen einen vorgegebenen Wert erreicht. Zusätzlich oder alternativ kann das Stoppkriterium erfüllt sein, wenn alle Reihen der ersten Matrix N in der zweiten Matrix M verwendet werden. Zusätzlich oder alternativ kann das Stoppkriterium erfüllt sein, wenn ein Wert eines Leistungsparameters einen vorgegebenen Wert erreicht. Der Leistungsparameter kann ein beliebiger Parameter sein, der sich auf das Substrat bezieht. Beispielsweise kann der Leistungsparameter einer oder mehrere sein, der/die aus Folgendem ausgewählt ist/sind: i. kritischer Abmessung, Überdeckung, Gleichmäßigkeit der kritischen Abmessung, Linienkantenlage, Justierung, Fokus, Musterverschiebung, Linienkantenrauheit, Mikrotopologie und/oder Kantenlagefehler; und/oder ii. einer Formbeschreibung eines Merkmals, wie etwa Böschungswinkel, Photolackhöhe und/oder Kontaktlochelliptizität; und/oder iii. einem Verarbeitungsparameter wie etwa einer Beschichtungsdicke, wie etwa einer Dicke einer unteren Antireflexbeschichtung und/oder einer Photolackdicke, und/oder einer optischen Eigenschaft einer Beschichtung, die ein Maß der Absorption angeben kann, wie etwa Brechungsindex und/oder Extinktionskoeffizient; und/oder iv. einem Parameter, der aus Substratmessungen bestimmt wird, wie etwa einem Ausbeuteparameter, wie etwa Defekten und/oder elektrischem Leistungsverhalten. Es versteht sich, dass ein Benutzer andere Stoppkriterien auswählen kann, die zusätzlich zu oder als eine Alternative zu den hier beschriebenen Stoppkriterien verwendet werden können.
  • Wenn alle Reihen der ersten Matrix N verwendet werden, können die Reihen noch immer in der zweiten Matrix M nach Relevanz sortiert werden, weil die optimalsten oder optimaleren Reihen nach oben hin in der zweiten Matrix M bereitgestellt werden können. Somit könnte die Liste von Reihen in der zweiten Matrix M später auf eine reduzierte Größe gekürzt werden. Mit anderen Worten, wenn alle Reihen der ersten Matrix N in der zweiten Matrix M verwendet werden, könnte die resultierende Matrix später gekürzt werden, was in dem gleichen Effekt wie ein anderes Stoppkriterium, wie etwa ein vorgegebener Datensatz, resultiert.
  • Die obigen Schritte des Verfahrens umfassen verschiedene Bestimmungs-, Rechen- und Auswahlschritte. Es versteht sich, dass die während und nach jedem dieser Schritte verwendeten Daten in einem angemessenen Datenspeichermedium (z. B. einem Halbleiterspeicher, einer Magnetplatte oder einer optischen Platte) gespeichert werden können.
  • In einer weiteren Ausführungsform wird der Beitrag bestimmt, ohne die Verwendung einer Matrix zu erfordern (obwohl eine Matrixgleichung optional verwendet werden kann). Diese Ausführungsform ist im Wesentlichen die gleiche wie die früheren Ausführungsformen, abgesehen von der Verwendung der Matrix. Diese Ausführungsform kann den Schritt des Analysierens der Variation der Parameterdaten unter Verwendung der Verwendungsdaten beinhalten, d. h. das Ausführen einer
    „Variationsanaiyse“ (ANOVA) an den Messdaten. Dieser Ausführungsform liegt der allgemeine Gedanke zugrunde, die Variation zwischen den Messdaten zu berechnen, wenn die Messdaten gemäß den Verwendungsdaten gruppiert werden. Somit beinhaltet dieses Verfahren das Bestimmen des Beitrags zu dem Parameter für jede Vorrichtung durch das Gruppieren der Messdaten unter Verwendung der analysierten Variation. Zusätzlich kann der Beitrag zu einem Fingerabdruck von den verschiedenen Parametern unter Verwendung eines Fingerabdruckmodells beurteilt werden. Dies geschieht am effizientesten mit einem Modell des Fingerabdrucks auf der Basis von Hauptkomponentenanalysekoeffizienten (auch Belastung genannt) der Daten.
  • Zum Bestimmen eines Beitrags einer Vorrichtung zu einem Fingerabdruck eines Parameters kann das Verfahren das Verwenden der ANOVA-Verfahrensweise (z. B. „anovan“ von Matlab) pro Messstelle und das Abrufen des Unterschieds zwischen dem Mittel der Gruppenmessungen pro Vorrichtung und dem globalen Mittel für jede Klasse von Vorrichtung und des Annehmens desselben als zerlegten Messungen beinhalten. An alle zerlegten Messungen kann dann ein Fingerabdruckmodell angepasst werden, wenn gewünscht.
  • Die Verfahren der Ausführungsformen hierin können zum Steuern einer Vorrichtung verwendet werden. Mindestens eine der Vorrichtungen kann auf der Basis des bestimmten Beitrags zu dem Fingerabdruck des Parameters dieser Vorrichtung gesteuert werden. Somit kann der bestimmte Beitrag für eine Vorrichtung verwendet werden, um die Weise zu ändern, auf die die Verarbeitung eines Substrats von dieser Vorrichtung ausgeführt wird. Mit anderen Worten kann ein beliebiger Fehler oder eine beliebige Variation des Fingerabdrucks, die durch eine spezifische Vorrichtung unerwünschterweise induziert wird, durch Steuern der Vorrichtung auf der Basis ihres bestimmten Parameterbeitrags reduziert oder eliminiert werden. Zusätzlich oder alternativ können andere Vorrichtungen, die ein Litho-Werkzeug 100 einschließen können, auf der Basis des bestimmten Parameterbeitrags gesteuert werden. Wie beschrieben kann es sich bei dem Parameter um viele unterschiedliche Dinge handeln. Der Parameter kann ein beliebiger Parameter sein, der die von einer Vorrichtung angewandte Steuerung direkt oder indirekt beeinflusst.
  • Es ist oben beschrieben, dass eine Reihe der Matrix ein Substrat und insbesondere ein Substrat, das von mindestens einer Vorrichtung in einer ersten Klasse von Vorrichtungen und mindestens einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet worden ist, darstellen kann und eine Spalte eine der Vielzahl von Vorrichtungen darstellt. Es versteht sich jedoch, dass die Reihen und Spalten getauscht werden können. Das heißt, eine Reihe der Matrix kann eine der Vielzahl von Vorrichtungen darstellen und eine Spalte kann ein Substrat darstellen. Mit anderen Worten kann die oben beschriebene Matrix transponiert werden.
  • Im Allgemeinen werden die oben beschriebenen Verfahren für mindestens zwei Klasen und mindestens zwei Vorrichtungen in mindestens zwei Klassen verwendet, weil dies die Matrix überbestimmt macht. Theoretisch kann mindestens eine Klasse nur eine Vorrichtung aufweisen, und dies wäre immer noch nützlich zum Bestimmen des Effekts der Vielzahl von Vorrichtungen. Mindestens eine Klasse kann mehr als zwei unterschiedliche Vorrichtungen beinhalten, wie in den oben in Bezug auf Gleichungen (7) und (8) beschriebenen Beispielen gezeigt. Theoretisch kann es nur eine Klasse von Vorrichtungen und innerhalb dieser Klasse mehrere Vorrichtungen geben. In diesem Fall wäre es immer noch nützlich, z. B. einen durchschnittlichen Fingerabdruck auf der Basis dieser Vorrichtungen zu erhalten. Obwohl sich die Beschreibung auf eine erste Klasse und eine zweite Klasse bezieht, können alternativ mehr als zwei unterschiedliche Klassen vorliegen. Diese Klassen können die oben beschriebenen Arten von Klassen, eine Kombination von mindestens einer der beschriebenen Klassen mit mindestens einer zusätzlichen Klasse einschließen, oder es können unterschiedlichen Klassen bereitgestellt sein. Es können unterschiedliche Anzahlen an Klassen und unterschiedliche Anzahlen an Vorrichtungen in jeder Klasse vorliegen.
  • In einer Ausführungsform wird ein System bereitgestellt, das einen Prozessor beinhaltet, der zum Bestimmens eines Beitrags von einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters konfiguriert ist, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist. Der Prozessor ist konfiguriert, um das Verfahren gemäß einer beliebigen der Ausführungsformen hierin auszuführen. Der Prozessor kann Teil des Systems einer automatisierten Prozesssteuerung (APC) und/oder des überwachenden Steuersystems sein oder damit verbunden sein.
  • Der Prozessor kann zum Bestimmen eines Beitrags von einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters konfiguriert sein, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei der Prozessor für Folgendes konfiguriert ist: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten die bei der Verarbeitung jedes Substrats verwendeten Vorrichtungen angeben; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
  • In einer Ausführungsform wird ein Computerprogramm bereitgestellt, das eine oder mehrere Abfolgen von maschinenlesbaren Anweisungen enthält, die ein Verfahren zum Bestimmen eines Beitrags von einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters beschreiben, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist. Jegliche Verfahren hierin können unter Verwendung eines Computerprogramms implementiert werden, das eine oder mehrere Abfolgen von maschinenlesbaren Anweisungen enthält. Es kann auch ein Datenspeichermedium (z. B. Halbleiterspeicher, Magnetplatte oder optische Platte) bereitgestellt sein, in dem ein derartiges Computerprogramm gespeichert ist.
  • Es wird ein Programm zum Steuern der Bestimmung eines Beitrags von einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters bereitgestellt, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist. Das Programm kann Anweisungen zum Ausführen eines Verfahrens beinhalten, das Folgendes beinhaltet: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten. Das Programm kann Anweisungen zum Ausführen der Schritte eines beliebigen der hier beschriebenen Verfahren beinhalten.
  • Das Computerprogramm kann beispielsweise innerhalb der Steuereinheit LACU aus 1 oder einer anderen Steuervorrichtung ausgeführt werden, zum Beispiel innerhalb eines Metrologiesystems, welches das Metrologiegerät 140 einschließt, oder in einem fortgeschrittenen Prozesssteuerungssystem oder einem separaten Beratungswerkzeug. Das Programm kann optional in einem Speicher gespeichert werden, der Teil des Systems automatisierter Prozesssteuerung (APC) und/oder des überwachenden Steuersystems ist oder auf den diese zugreifen können.
  • Weitere Ausführungsformen der Offenbarung sind in der nachstehenden Liste nummerierter Ausführungsformen offenbart:
    1. 1. Ein Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Verfahren Folgendes beinhaltet:
      • Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl der Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und Parameterdaten.
    2. 2. Verfahren gemäß Ausführungsform 1, das ferner das Bestimmen einer Matrix unter Verwendung der Verwendungsdaten beinhaltet und wobei das Bestimmen des Beitrags zu dem Fingerabdruck das Lösen einer die Matrix beinhaltenden Gleichung unter Verwendung der Parameterdaten beinhaltet.
    3. 3. Verfahren gemäß Ausführungsform 2, wobei die Matrixgleichung gelöst wird, um den Beitrag einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu bestimmen, indem eine transformierte Version der Matrix mit den Parameterdaten multipliziert wird, wobei optional die transformierte Version der Matrix die Inverse der Matrix ist.
    4. 4. Verfahren gemäß Ausführungsform 2 oder Ausführungsform 3, wobei eine Reihe der Matrix ein Substrat, das von mindestens einer Vorrichtung in einer ersten Klasse von Vorrichtungen und mindestens einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet worden ist, darstellt und die Spalte der Matrix eine der Vielzahl von Vorrichtungen darstellt.
    5. 5. Verfahren gemäß einer der Ausführungsformen 2 bis 4, wobei eine Reihe der Matrix einen Nicht-Null-Eintrag entsprechend jeder der Vorrichtungen, die zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, und einen Null-Eintrag für die Vorrichtungen aus der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, aufweist.
    6. 6. Verfahren gemäß Ausführungsform 2 oder Ausführungsform 3, wobei eine Spalte der Matrix ein Substrat, das von mindestens einer Vorrichtung in einer ersten Klasse von Vorrichtungen und mindestens einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet worden ist, darstellt und eine Reihe der Matrix eine der Vielzahl von Vorrichtungen darstellt.
    7. 7. Verfahren gemäß Ausführungsform 2, Ausführungsform 3 oder Ausführungsform 6, wobei eine Spalte der Matrix einen Nicht-Null-Eintrag entsprechend jeder der Vorrichtungen, die zum Verarbeiten des durch diese jeweilige Reihe oder Spalte dargestellten Substrats verwendet wurden, und einen Null-Eintrag für die Vorrichtungen aus der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des durch diese jeweilige Spalte dargestellten Substrats verwendet wurden, aufweist.
    8. 8. Verfahren gemäß einer der Ausführungsformen 2 bis 7, wobei das Bestimmen der Matrix Folgendes beinhaltet:
      1. a. Erzeugen einer ersten Matrix N, die die möglichen Kombinationen der Vielzahl von zum Verarbeiten eines Substrats verwendeten Vorrichtungen darstellt;
      2. b. Bestimmen eines Vektors ni für jede Reihe i der ersten Matrix N;
      3. c. Errechnen einer Delta-Kovarianzmatrix ΔYi für jede Reihe, wobei die Delta-Kovarianzmatrix ni Tni ist;
      4. d. Auswählen einer Reihe i von der ersten Matrix N und Speichern der ausgewählten Reihe als eine zweite Matrix M;
      5. e. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei:
        • wenn das Stoppkriterium nicht erfüllt ist, Fortfahren mit Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die ausgewählte Reihe entfernt ist; und
        • wenn das Stoppkriterium erfüllt ist, Verwenden der zweiten Matrix M als die bestimmte Matrix;
      6. f. Errechnen der Pseudodeterminante entsprechend jeder verbleibenden Reihe der aktualisierten ersten Matrix N;
      7. g. Bestimmen einer Reihe mit einer bevorzugten Pseudodeterminante, Aktualisieren der zweiten Matrix M, um die Reihe mit der bevorzugten Pseudodeterminante einzuschließen, und Aktualisieren der ersten Matrix N durch Entfernen der Reihe mit der bevorzugten Pseudodeterminante;
      8. h. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei:
        • wenn das Stoppkriterium nicht erfüllt ist, Zurückkehren zu Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die Reihe mit der bevorzugten Pseudodeterminante entfernt ist; und
        • wenn das Stoppkriterium erfüllt ist, wird die aktualisierte zweite Matrix M als die bestimmte Matrix verwendet.
    9. 9. Verfahren gemäß Ausführungsform 8, wobei die Pseudodeterminante für jede Reihe wie folgt errechnet wird:
      1. a. Hinzufügen der Delta-Kovarianzmatrix ΔYi für jede Reihe zu einer dritten Matrix Y, wobei die dritte Matrix Y die Kovarianz der zweiten Matrix Mist;
      2. b. Errechnen der Determinante der Summe der dritten Matrix Y und der Delta-Kovarianzmatrix für die Reihe ΔYi.
    10. 10. Verfahren gemäß Ausführungsform 8 oder Ausführungsform 9, wobei eine Reihe der erster Matrix N eine mögliche Kombination der Vielzahl von Vorrichtungen, die zum Verarbeiten eines Substrats verwendet wurden, darstellt und eine Spalte der ersten Matrix N eine der Vielzahl von Vorrichtungen darstellt.
    11. 11. Verfahren gemäß Ausführungsform 10, wobei eine Reihe der ersten Matrix N einen Nicht-Null-Eintrag entsprechend jeder der Vielzahl von Vorrichtungen, die zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, und einen Null-Eintrag entsprechend jeder der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, aufweist.
    12. 12. Verfahren gemäß einer der Ausführungsformen 8-11, wobei die optimale Pseudodeterminante die Pseudodeterminante mit dem höchsten Wert ist.
    13. 13. Verfahren gemäß einer der Ausführungsformen 8-12, wobei die in Schritt d zuerst ausgewählte Reihe eine erste Reihe der ersten Matrix N ist.
    14. 14. Verfahren gemäß einer der Ausführungsformen 8-13, wobei das Stoppkriterium erfüllt ist, wenn ein Wert eines Leistungsparameters einen vorgegebenen Wert erreicht, wobei es sich optional bei dem Leistungsparameter um einen oder mehrere handelt, die aus dem Folgenden ausgewählt sind:
      1. i. kritische Abmessung, Überdeckung, Gleichmäßigkeit der kritischen Abmessung, Linienkantenlage, Justierung, Fokus, Musterverschiebung, Linienkantenrauheit, Mikrotopologie und/oder Kantenlagefehler; und/oder
      2. ii. eine Formbeschreibung eines Merkmals wie etwa Böschungswinkel, Photolackhöhe und/oder Kontaktlochelliptizität; und/oder
      3. iii. ein Verarbeitungsparameter wie etwa eine Beschichtungsdicke, wie etwa eine Dicke einer unteren Antireflexbeschichtung und/oder eine Photolackdicke, und/oder eine optische Eigenschaft einer Beschichtung, die ein Maß der Absorption angeben kann, wie etwa Brechungsindex und/oder Extinktionskoeffizient; und/oder
      4. iv. ein Parameter, der aus Substratmessungen bestimmt wird, wie etwa ein Ausbeuteparameter, wie etwa Defekte und/oder elektrisches Leistungsverhalten.
    15. 15. Verfahren gemäß einer der Ausführungsformen 8-14, wobei das Stoppkriterium erfüllt ist, wenn die Anzahl an in der zweiten Matrix M verwendeten Reihen einen vorgegebenen Wert erreicht.
    16. 16. Verfahren gemäß einer der Ausführungsformen 8-15, wobei das Stoppkriterium erfüllt ist, wenn alle Reihen der ersten Matrix N in der zweiten Matrix M verwendet werden.
    17. 17. Verfahren gemäß einer der Ausführungsformen 2 bis 16, wobei die Matrix mindestens eine Teildesignmatrix beinhaltet.
    18. 18. Verfahren gemäß Ausführungsform 17, wobei die Teildesignmatrix eine Null-Eintrag-Teildesignmatrix oder eine Nicht-Null-Teildesignmatrix sein kann, wobei eine Null-Eintrag-Teildesignmatrix den Vorrichtungen aus der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des Substrats verwendet wurden, entspricht, und eine Nicht-Null-Teildesignmatrix jeder der zum Verarbeiten des Substrats verwendeten Vorrichtungen entspricht, und eine Nicht-Null-Eintrag-Teildesignmatrix auf einem modellierten Beitrag zu dem Fingerabdruck von der jeweiligen Vorrichtung und dem jeweiligen Substrat basiert.
    19. 19. Verfahren gemäß einer der Ausführungsformen 2 bis 18, wobei die die Matrix beinhaltende Gleichung unter Verwendung von Folgendem gelöst wird:
      1. i. Kleinste-Quadrate-Anpassung, optional unter Verwendung von Regularisierung, und ferner optional unter Verwendung eines L-Kurven-Verfahrens und/oder einer Leave-One-Out-Kreuzvalidierung; und/oder
      2. ii. Bayesscher Statistik.
    20. 20. Verfahren gemäß einer der Ausführungsformen 1 bis 19, das ferner das Analysieren der Variation von Parameterdaten unter Verwendung der Verwendungsdaten beinhaltet, und wobei das Bestimmen des Beitrags zu dem Parameter für eine Vorrichtung das Gruppieren der Daten unter Verwendung der analysierten Variation beinhaltet.
    21. 21. Verfahren gemäß einer der Ausführungsformen 1 bis 20, wobei mindestens eine der Vorrichtungen auf der Basis des bestimmten Beitrags zu dem Fingerabdruck des Parameters dieser Vorrichtung gesteuert wird.
    22. 22. Verfahren gemäß einer der Ausführungsformen 1 bis 21, wobei es sich bei dem Parameter um einen oder mehrere handelt, die aus Folgendem ausgewählt sind:
      1. i. kritische Abmessung, Überdeckung, Gleichmäßigkeit der kritischen Abmessung, Linienkantenlage, Justierung, Fokus, Musterverschiebung, Linienkantenrauheit, Mikrotopologie und/oder Kantenlagefehler; und/oder
      2. ii. eine Formbeschreibung eines Merkmals wie etwa Böschungswinkel, Photolackhöhe und/oder Kontaktlochelliptizität; und/oder
      3. iii. ein Verarbeitungsparameter wie etwa eine Beschichtungsdicke, wie etwa eine Dicke einer unteren Antireflexbeschichtung und/oder eine Photolackdicke, und/oder eine optische Eigenschaft einer Beschichtung, die ein Maß der Absorption angeben kann, wie etwa Brechungsindex und/oder Extinktionskoeffizient; und/oder
      4. iv. ein Parameter, der aus Substratmessungen bestimmt wird, wie etwa ein Ausbeuteparameter, wie etwa Defekte und/oder elektrisches Leistungsverhalten.
    23. 23. Verfahren gemäß einer der Ausführungsformen 1 bis 22, wobei die Art von Vorrichtung eine Ätzvorrichtung, ein Abscheidewerkzeug, einen Substrattisch, eine Poliervorrichtung, eine Ausheizvorrichtung, eine Reinigungsvorrichtung, eine Beschichtungsvorrichtung, eine Entwicklungsvorrichtung, eine Implantationsvorrichtung und/oder eine Härtevorrichtung beinhaltet.
    24. 24. Verfahren gemäß einer der Ausführungsformen 1 bis 23, wobei die Parameterdaten gleich den Messungen sein können.
    25. 25. Verfahren gemäß einer der Ausführungsformen 1 bis 23, wobei die Parameterdaten auf verarbeiteten Messungen basieren können, wobei die Messungen unter Verwendung von Hauptkomponentenanalyse oder der Anpassung eines Modells, wie etwa eines polynomischen Modells oder eines linearen Modells, verarbeitet werden.
    26. 26. Verfahren gemäß einer der Ausführungsformen 1 bis 25, wobei jede der Vielzahl von Vorrichtungen zum Verarbeiten von mindestens einem der mehreren Substrate verwendet worden ist.
    27. 27. Verfahren gemäß einer der Ausführungsformen 1 bis 26, wobei es mindestens zwei unterschiedliche Klassen von Vorrichtungen gibt und wobei mindestens zwei Klassen mindestens zwei Vorrichtungen der gleichen Art beinhalten.
    28. 28. Ein System, das einen Prozessor beinhaltet, der zum Bestimmens eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters konfiguriert ist, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei der Prozessor mindestens für Folgendes konfiguriert ist:
      • Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und
      • Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
    29. 29. Ein Programm zum Steuern des Bestimmens eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Programm Anweisungen zum Ausführen von mindestens Folgendem beinhaltet:
      • Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und
      • Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
  • SCHLUSSFOLGERUNG
  • Zusammengefasst beschreibt die vorliegende Offenbarung ein Verfahren zum Bestimmen eines Beitrags einer Vorrichtung zu einem Fingerabdruck eines Parameters. Dies erlaubt es, den Beitrag von einer einzelnen Vorrichtung aus einer Vielzahl von Vorrichtungen zu bestimmen. Dies kann besonders zum Diagnostizieren der Leistung der zum Verarbeiten eines Substrats verwendeten Vorrichtungen und/oder zum Steuern der zum Verarbeiten eines Substrats verwendeten Vorrichtungen nützlich sein.
  • Die offenbarten Verfahren erlauben die Bereitstellung eines lithographischen Geräts und von Verfahren zum Betreiben eines lithographischen Geräts, wobei ein Beitrag einer Vorrichtung zu einem Fingerabdruck eines Parameters bestimmt wird.
  • Beliebige oder alle Schritte des Bestimmens eines Beitrags einer Vorrichtung zu einem Fingerabdruck eines Parameters können in jedem beliebigen geeigneten Verarbeitungsgerät durchgeführt werden, welches sich an beliebiger Stelle in der Anlage aus 1 befinden kann oder sich physisch entfernt von der Anlage befindet. Schritte des Verfahrens können in separaten Teilen des Geräts ausgeführt werden.
  • Der Beitrag, Parameterdaten und/oder Verwendungsdaten können in dem überwachenden Steuersystem aus 1 oder in der Litho-Werkzeug-Steuereinheit LACU errechnet werden. Sie können in einem entfernten System errechnet und hinterher an die Anlage übermittelt werden. Jegliches Modell und jegliche Messdaten können separat an ein Verarbeitungsgerät geliefert werden, welches sie dann als Teil der Bestimmung des Beitrags kombiniert.
  • Das obige Verfahren und die obigen Variationen sind als unter Verwendung eines lithographischen Geräts ausgeführt beschrieben. Es können jedoch ein oder mehrere andere Geräte verwendet werden. Der Verarbeitungsschritt eines lithographischen Herstellungsprozesses ist nur ein Beispiel, in dem die Prinzipien der vorliegenden Offenbarung Anwendung finden können. Andere Teile des lithographischen Geräts und andere Arten von Herstellungsprozess können ebenfalls Nutzen aus der Erzeugung modifizierter Einschätzungen und Korrekturen in der hier offenbarten Art und Weise ziehen.
  • Diese und andere Abwandlungen und Variationen können von dem fachlich erfahrenen Leser aus einer Erwägung der vorliegenden Offenbarung vorausgesehen werden. Die Breite und der Bereich der vorliegenden Erfindung sollten durch die oben beschriebenen beispielhaften Ausführungsformen in keiner Weise beschränkt werden, sondern sollten nur gemäß den folgenden Patentansprüchen und ihren Äquivalenten definiert werden.

Claims (12)

  1. Ein Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Verfahren Folgendes beinhaltet: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und Parameterdaten; und Analysieren der Variation der Parameterdaten unter Verwendung der Verwendungsdaten, wobei das Bestimmen des Beitrags zu dem Parameter für eine Vorrichtung das Gruppieren der Daten unter Verwendung der analysierten Variation beinhaltet.
  2. Verfahren gemäß Anspruch 1, das ferner das Bestimmen einer Matrix unter Verwendung der Verwendungsdaten beinhaltet und wobei das Bestimmen des Beitrags zu dem Fingerabdruck das Lösen einer die Matrix beinhaltenden Gleichung unter Verwendung der Parameterdaten beinhaltet
  3. Verfahren gemäß Anspruch 2, wobei die Matrixgleichung gelöst wird, um den Beitrag einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu bestimmen, indem eine transformierte Version der Matrix mit den Parameterdaten multipliziert wird, wobei optional die transformierte Version der Matrix die Inverse der Matrix ist
  4. Verfahren gemäß Anspruch 2, wobei eine Reihe der Matrix ein Substrat, das von mindestens einer Vorrichtung in einer ersten Klasse von Vorrichtungen und mindestens einer Vorrichtung in einer zweiten Klasse von Vorrichtungen verarbeitet worden ist, darstellt und die Spalte der Matrix eine der Vielzahl von Vorrichtungen darstellt
  5. Verfahren gemäß Anspruch 2, wobei eine Reihe der Matrix einen Nicht-Null-Eintrag entsprechend jeder der Vorrichtungen, die zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, und einen Null-Eintrag für die Vorrichtungen aus der Vielzahl von Vorrichtungen, die nicht zum Verarbeiten des durch diese jeweilige Reihe dargestellten Substrats verwendet wurden, aufweist.
  6. Verfahren gemäß Anspruch 2, wobei das Bestimmen der Matrix Folgendes beinhaltet: a. Erzeugen einer ersten Matrix N, die die möglichen Kombinationen der Vielzahl von zum Verarbeiten eines Substrats verwendeten Vorrichtungen darstellt; b. Bestimmen eines Vektors ni für jede Reihe i der ersten Matrix N; c. Errechnen einer Delta-Kovarianzmatrix ΔYi für jede Reihe, wobei die Delta-Kovarianzmatrix ni Tni ist; d. Auswählen einer Reihe i von der ersten Matrix N und Speichern der ausgewählten Reihe als eine zweite Matrix M; e. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei: wenn das Stoppkriterium nicht erfüllt ist, Fortfahren mit Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die ausgewählte Reihe entfernt ist; und wenn das Stoppkriterium erfüllt ist, Verwenden der zweiten Matrix M als die bestimmte Matrix; f. Errechnen der Pseudodeterminante entsprechend jeder verbleibenden Reihe der aktualisierten ersten Matrix N; g. Bestimmen einer Reihe mit einer bevorzugten Pseudodeterminante, Aktualisieren der zweiten Matrix M, um die Reihe mit der bevorzugten Pseudodeterminante einzuschließen, und Aktualisieren der ersten Matrix N durch Entfernen der Reihe mit der bevorzugten Pseudodeterminante; h. Bestimmen, ob ein Stoppkriterium erfüllt worden ist, wobei: wenn das Stoppkriterium nicht erfüllt ist, Zurückkehren zu Schritt f unter Verwendung einer aktualisierten ersten Matrix N, in der die Reihe mit der bevorzugten Pseudodeterminante entfernt ist; und wenn das Stoppkriterium erfüllt ist, wird die aktualisierte zweite Matrix M als die bestimmte Matrix verwendet.
  7. Verfahren gemäß Anspruch 2, wobei die die Matrix beinhaltende Gleichung unter Verwendung von Folgendem gelöst wird: i. Kleinste-Quadrate-Anpassung, optional unter Verwendung von Regularisierung, und ferner optional unter Verwendung eines L-Kurven-Verfahrens und/oder einer Leave-One-Out-Kreuzvalidierung; und/oder ii. Bayesscher Statistik.
  8. Verfahren gemäß Anspruch 1, wobei mindestens eine der Vorrichtungen auf der Basis des bestimmten Beitrags zu dem Fingerabdruck des Parameters dieser Vorrichtung gesteuert wird.
  9. Verfahren gemäß Anspruch 1, wobei es sich bei dem Parameter um einen oder mehrere handelt, die aus Folgendem ausgewählt sind: i. kritische Abmessung, Überdeckung, Gleichmäßigkeit der kritischen Abmessung, Linienkantenlage, Justierung, Fokus, Musterverschiebung, Linienkantenrauheit, Mikrotopologie und/oder Kantenlagefehler; und/oder ii. eine Formbeschreibung eines Merkmals wie etwa Böschungswinkel, Photolackhöhe und/oder Kontaktlochelliptizität; und/oder iii. ein Verarbeitungsparameter wie etwa eine Beschichtungsdicke, wie etwa eine Dicke einer unteren Antireflexbeschichtung und/oder eine Photolackdicke, und/oder eine optische Eigenschaft einer Beschichtung, die ein Maß der Absorption angeben kann, wie etwa Brechungsindex und/oder Extinktionskoeffizient; und/oder iv. ein Parameter, der aus Substratmessungen bestimmt wird, wie etwa ein Ausbeuteparameter, wie etwa Defekte und/oder elektrisches Leistungsverhalten.
  10. Verfahren gemäß Anspruch 1, wobei die Parameterdaten auf verarbeiteten Messungen basieren können, wobei die Messungen unter Verwendung von Hauptkomponentenanalyse oder der Anpassung eines Modells, wie etwa eines polynomischen Modells oder eines linearen Modells, verarbeitet werden.
  11. Ein System, das einen Prozessor beinhaltet, der zum Bestimmens eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters konfiguriert ist, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei der Prozessor mindestens für Folgendes konfiguriert ist: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
  12. Ein Programm zum Steuern des Bestimmens eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters, wobei der Parameter mit der Verarbeitung eines Substrats assoziiert ist, wobei das Programm Anweisungen zum Ausführen von mindestens Folgendem beinhaltet: Erhalten von Parameterdaten und Verwendungsdaten, wobei die Parameterdaten auf Messungen für mehrere Substrate, die von der Vielzahl von Vorrichtungen verarbeitet worden sind, basieren und die Verwendungsdaten angeben, welche der Vorrichtungen aus der Vielzahl von Vorrichtungen bei der Verarbeitung jedes Substrats verwendet wurden; und Bestimmen des Beitrags unter Verwendung der Verwendungsdaten und der Parameterdaten.
DE112018000173.3T 2017-06-22 2018-05-23 Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters Active DE112018000173B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762523531P 2017-06-22 2017-06-22
US62/523,531 2017-06-22
US201862639481P 2018-03-06 2018-03-06
US62/639,481 2018-03-06
PCT/EP2018/063527 WO2018233966A1 (en) 2017-06-22 2018-05-23 METHOD FOR DETERMINING THE CONTRIBUTION TO A DIGITAL IMPRINT

Publications (2)

Publication Number Publication Date
DE112018000173T5 DE112018000173T5 (de) 2019-08-22
DE112018000173B4 true DE112018000173B4 (de) 2022-12-15

Family

ID=62386438

Family Applications (2)

Application Number Title Priority Date Filing Date
DE112018000173.3T Active DE112018000173B4 (de) 2017-06-22 2018-05-23 Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters
DE112018008256.3T Active DE112018008256B3 (de) 2017-06-22 2018-05-23 Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Parameterfingerabdruck, System und Computerprogrammprodukt

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE112018008256.3T Active DE112018008256B3 (de) 2017-06-22 2018-05-23 Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Parameterfingerabdruck, System und Computerprogrammprodukt

Country Status (7)

Country Link
US (3) US10816904B2 (de)
EP (1) EP3523698A1 (de)
KR (2) KR102373843B1 (de)
CN (2) CN113376976A (de)
DE (2) DE112018000173B4 (de)
TW (2) TWI780404B (de)
WO (1) WO2018233966A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113376976A (zh) * 2017-06-22 2021-09-10 Asml荷兰有限公司 用于确定对指纹的贡献的方法
EP3994525B1 (de) * 2019-07-04 2023-05-03 ASML Netherlands B.V. Verfahren zur bestimmung der leistungsbeiträge von merkmalen
US11868119B2 (en) * 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477685B1 (en) 1999-09-22 2002-11-05 Texas Instruments Incorporated Method and apparatus for yield and failure analysis in the manufacturing of semiconductors
US20160246185A1 (en) 2013-10-02 2016-08-25 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5611059A (en) * 1994-09-02 1997-03-11 Square D Company Prelinked parameter configuration, automatic graphical linking, and distributed database configuration for devices within an automated monitoring/control system
JP3597280B2 (ja) * 1995-11-28 2004-12-02 株式会社リコー 統合シミュレーション装置及び回路シミュレーション用パラメータ抽出方法
JP3626448B2 (ja) * 2001-11-28 2005-03-09 株式会社東芝 露光方法
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
WO2011104613A1 (en) * 2010-02-23 2011-09-01 Carl Zeiss Sms Ltd. Critical dimension uniformity correction by scanner signature control
US9070622B2 (en) 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
KR102548650B1 (ko) * 2014-10-03 2023-06-27 케이엘에이 코포레이션 검증 계측 타겟 및 그 설계
KR102377411B1 (ko) * 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US10627729B2 (en) 2015-06-18 2020-04-21 Asml Netherlands B.V. Calibration method for a lithographic apparatus
EP3279737A1 (de) * 2016-08-05 2018-02-07 ASML Netherlands B.V. Verfahren und vorrichtung zur gewinnung diagnostischer informationen, verfahren und vorrichtung zur steuerung eines industrieprozesses
EP3290911A1 (de) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Verfahren und system zur überwachung einer prozessvorrichtung
EP3396456A1 (de) * 2017-04-25 2018-10-31 ASML Netherlands B.V. Verfahren zur überwachung und verfahren zur herstellung von bauelementen
JP2020519932A (ja) * 2017-04-28 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 製品ユニットの製造プロセスのシーケンスの最適化
CN113376976A (zh) * 2017-06-22 2021-09-10 Asml荷兰有限公司 用于确定对指纹的贡献的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477685B1 (en) 1999-09-22 2002-11-05 Texas Instruments Incorporated Method and apparatus for yield and failure analysis in the manufacturing of semiconductors
US20160246185A1 (en) 2013-10-02 2016-08-25 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process

Also Published As

Publication number Publication date
KR20210084671A (ko) 2021-07-07
TW201905968A (zh) 2019-02-01
KR20190086555A (ko) 2019-07-22
TWI780404B (zh) 2022-10-11
US11378891B2 (en) 2022-07-05
TWI685881B (zh) 2020-02-21
KR102373843B1 (ko) 2022-03-11
US20190271919A1 (en) 2019-09-05
KR102273132B1 (ko) 2021-07-05
CN113376976A (zh) 2021-09-10
WO2018233966A1 (en) 2018-12-27
US10816904B2 (en) 2020-10-27
TW202020939A (zh) 2020-06-01
CN110168447A (zh) 2019-08-23
DE112018008256B3 (de) 2023-08-31
DE112018000173T5 (de) 2019-08-22
EP3523698A1 (de) 2019-08-14
US20210003927A1 (en) 2021-01-07
CN110168447B (zh) 2021-07-06
US20220342319A1 (en) 2022-10-27

Similar Documents

Publication Publication Date Title
KR102274476B1 (ko) 다중 처리 단계로부터의 정보로 반도체 계측
KR102242414B1 (ko) 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
DE10393394B4 (de) Intelligentes integriertes Lithographiesteuerungssystem auf der Grundlage des Produktaufbaus und Ausbeuterückkopplungssystem und Verfahren zu dessen Anwendung
DE10297564B4 (de) Verfahren und Vorrichtung zum Steuern der Photolithographie-Überlagerungsjustierung mit vorwärtsgekoppelter Überlagerungsinformation
DE102010030758B4 (de) Steuerung kritischer Abmessungen in optischen Abbildungsprozessen für die Halbleiterherstellung durch Extraktion von Abbildungsfehlern auf der Grundlage abbildungsanlagenspezifischer Intensitätsmessungen und Simulationen
DE112018000173B4 (de) Verfahren zum Bestimmen eines charakteristischen Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Fingerabdruck eines Parameters
DE112005000504T5 (de) Mehrschichtüberlagerungsmessungs- und Korrekturtechnik für die IC-Herstellung
DE102006054820B4 (de) Verfahren zur Korrektur von Platzierungsfehlern
DE112018002123B4 (de) VERFAHREN ZUM BESTIMMEN EINER GLEICHMÄßIGKEIT UND EINHEITLICHKEIT EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS UND SYSTEM ZUM BESTIMMEN EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS
EP3889684A1 (de) Entfernung eines artefakts aus einem bild
DE112007002414T5 (de) Verfahren und Vorrichtung zum Einrichtung eines universellen Koordinatensystems für Messdaten
DE10355573B4 (de) Verfahren zum Erhöhen der Produktionsausbeute durch Steuern der Lithographie auf der Grundlage elektrischer Geschwindigkeitsdaten
DE112019000022T5 (de) Verfahren zum Kennzeichnen von Substraten auf der Basis von Prozessparametern
DE102018103231B4 (de) Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben
US20230004095A1 (en) Device manufacturing methods
DE102007038702A1 (de) Verfahren und System zum Reduzieren der Überlagerungsfehler in Belichtungsfeldern mittels APC-Steuerungsstrategien
DE102017220872A1 (de) Verfahren zur Qualifizierung einer Maske für die Mikrolithographie
DE102005063335B4 (de) Modell für eine fortschrittliche Prozesssteuerung, das eine Solloffsetgröße umfaßt
KR20220125338A (ko) 다단계 프로세스 검사 방법
DE112020000963T5 (de) Verfahren zum reduzieren der effekte von linsenerwärmung und/oder -abkühlung in einem lithographischen prozess
DE102013109515B4 (de) Methodik der Überlagerungs-Prüfung
EP4254068A1 (de) Verfahren zur bestimmung der räumlichen verteilung eines relevanten parameters über mindestens ein substrat oder einen teil davon
DE102004047661A1 (de) Verfahren zum Projizieren von Muster auf ein Halbleitersubstrat
DE102004047624A1 (de) Verfahren zur Korrektur der Überdeckungsgenauigkeit bei der photolithographischen Strukturierung eines Halbleiterwafers

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 112018008256

Country of ref document: DE

R020 Patent grant now final