KR102373843B1 - 지문에 대한 기여도를 결정하기 위한 방법 - Google Patents

지문에 대한 기여도를 결정하기 위한 방법 Download PDF

Info

Publication number
KR102373843B1
KR102373843B1 KR1020217020308A KR20217020308A KR102373843B1 KR 102373843 B1 KR102373843 B1 KR 102373843B1 KR 1020217020308 A KR1020217020308 A KR 1020217020308A KR 20217020308 A KR20217020308 A KR 20217020308A KR 102373843 B1 KR102373843 B1 KR 102373843B1
Authority
KR
South Korea
Prior art keywords
devices
matrix
substrate
parameter
contribution
Prior art date
Application number
KR1020217020308A
Other languages
English (en)
Other versions
KR20210084671A (ko
Inventor
대빗 하루투냔
페이 지아
프랭크 스탈스
후밍 왕
휴고 토마스 루이제스틴
코르넬리스 요하네스 라이니르세
막심 피사렌코
로이 베르크만
토마스 디우베스
헤머트 톰 반
바히드 바스타니
요헴 세바스티안 빌덴베르그
에버하르두스 코르넬리스 모스
에릭 요하네스 마리아 발러보스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210084671A publication Critical patent/KR20210084671A/ko
Application granted granted Critical
Publication of KR102373843B1 publication Critical patent/KR102373843B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Collating Specific Patterns (AREA)

Abstract

파라미터의 지문을 결정하기 위한 방법, 시스템, 및 프로그램. 본 방법은 복수의 디바이스 중의 디바이스로부터 파라미터의 지문에 대한 기여도를 결정하는 것을 포함한다. 본 방법은, 파라미터 데이터 및 사용 데이터를 획득하는 단계; 및 사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하는 단계;를 포함하되, 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 상기 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시한다.

Description

지문에 대한 기여도를 결정하기 위한 방법{METHOD FOR DETERMINING CONTRIBUTION TO A FINGERPRINT}
관련 출원에 대한 상호 참조
본 출원은 2017년 6월 22일에 출원된 미국 출원 제62/523,531호 및 2018년 6월 6일에 출원된 미국 출원 제62/639,481호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 파라미터의 지문에 대한 기여도를 결정하기 위한 방법, 시스템, 및 프로그램에 관련된다.
리소그래피 장치는 기판 상에, 일반적으로 기판의 타겟부 상에 원하는 패턴을 적용하는 기기이다. 리소그래피 장치는, 예를 들어, 집적회로 (ICs)의 제조에 사용될 수 있다. 이 경우, 마스크 또는 레티클이라고도 불리는 패터닝 디바이스가 IC의 개별 층 상에 회로 패턴을 생성하는데 사용될 수 있다. 이러한 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 다이 또는 수 개의 다이를 포함) 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판 상에 제공되는 방사선 감응 재료(레지스트)의 층 상으로의 이미징을 통해 이루어진다. 일반적으로, 단일 기판은 순차적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 이러한 타겟부는 일반적으로 "필드"로 지칭된다.
리소그래피 프로세스에서, 예를 들어, 프로세스 제어 및 확인을 위하여, 회로 패턴을 형성하는 생성된 구조를 자주 측정하는 것이 바람직하다. 임계 치수를 측정하는데 흔히 사용되는 주사 전자 현미경 및 (적어도 부분적으로 패터닝된 기판에서 2개의 층의 정렬의 정확도인) 오버레이를 측정하는 전문 툴을 포함하여, 이러한 측정을 하기 위한 다양한 툴이 알려져 있다. 다양한 기법이 리소그래피 프로세스의 성능을 측정하는데 사용될 수 있다. 이에 의하여 리소그래피 장치에 의해 수행되는 동작의 제어에서 정교한 프로세스 보정이 가능하게 된다.
기판의 노광 후에, 즉, 패턴이 기판에 전사된 경우, 다양한 상이한 프로세스가 상기 기판 상에서 수행된다. 따라서, 상이한 프로세싱 툴이 기판 상에 사용될 수 있다. 그러나, 각각의 프로세싱 툴은 상기 오버레이 지문을 미세하게 변화시킨다. 일례로 리소그래피 장치 액추에이터를 조정하고 제어를 향상시킴으로, 프로세싱 툴에 의해 야기되는 변동의 효과를 감소시키는 방법들이 있다. 그러나, 각각의 기판에 상기 동일한 보정이 제공될 수 있고, 이는 각각의 기판에 야기된 변동이 개별적으로 다루어지지 않는 것을 의미한다.
한가지 문제는, 여러 개의 상이한 디바이스가 기판의 처리를 수행하도록 제공될 수 있다는 것이다. 예를 들어 에칭 디바이스 및 연마 디바이스와 같이, 여러 개의 상이한 타입의 기판을 상이한 방식으로 처리하는데 사용되는 디바이스가 있을 수 있다. 또한, 각각의 기판에 대해 사용되도록 이용되는 동일한 타입의 다수의 디바이스 가 있을 수 있다. 따라서, 각각의 기판 상에 사용될 수 있는 다중의 에칭 및/또는 연마 디바이스가 있을 수 있고, 각각의 기판은 복수의 디바이스로부터의 상이한 조합의 디바이스를 사용하여 처리될 수 있다. 상기 디바이스의 각각은 파라미터의 지문에 상이한 효과를 미칠 수 있고, 개개의 디바이스가 기판의 파라미터에 어떠한 효과를 미치는지 반드시 분명한 것은 아니다.
본 발명의 실시예는, 예를 들어, 복수의 디바이스 중의 디바이스로부터의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하는 목표를 가진다.
한 양태에 따르면, 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하기 위한 방법이 제공되며, 이 방법은: 파라미터 데이터 및 사용 데이터를 획득하는 단계; 및 상기 사용 데이터 및 파라미터 데이터를 사용하여 상기 기여도를 결정하는 단계;를 포함하되, 상기 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 상기 사용 데이터는 상기 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시한다.
한 양태에 따르면, 이 프로세서는 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성되는 프로세서를 포함하는 시스템이 제공되며, 상기 프로세서는 파라미터 데이터 및 사용 데이터를 획득하고 상기 사용 데이터 및 상기 파라미터 데이터를 사용하여 기여도를 결정하도록 구성되되, 상기 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 상기 사용 데이터는 상기 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시한다.
한 양태에 따르면, 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도의 결정을 제어하기 위한 프로그램이 제공되며, 상기 프로그램은: 파라미터 데이터 및 사용 데이터를 획득하는 단계; 및 상기 사용 데이터 및 상기 파라미터 데이터를 사용하여 상기 기여도를 결정하는 단계;를 포함하는 방법을 수행하기 위한 명령을 포함하되, 상기 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 상기 사용 데이터는 상기 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시한다.
다른 양태에 따르면, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체가 제공되는데, 프로그램은 복수의 디바이스 중의 디바이스의, 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하는 것을 제어하기 위한 것이고, 프로그램은 적어도: 파라미터 데이터 및 사용 데이터를 획득하는 것 ― 파라미터 데이터는 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하는 것이고, 사용 데이터는 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 나타내는 것임 ―; 사용 데이터에 따라 파라미터 데이터를 그룹화하는 것; 그룹화된 파라미터 데이터에 기초하여 기여도를 결정하는 것을 수행하기 위한 명령을 포함한다.
또다른 양태에 따르면, 복수의 디바이스 중의 디바이스의, 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성되는 프로세서를 포함하는 시스템이 제공되는데, 프로세서는 적어도: 파라미터 데이터 및 사용 데이터를 획득하되, 파라미터 데이터는 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하는 것이고, 사용 데이터는 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 나타내는 것이며; 사용 데이터에 따라 파라미터 데이터를 그룹화하고; 그룹화된 파라미터 데이터에 기초하여 기여도를 결정하도록 구성된다.
이하, 본 발명의 실시예가 아래의 첨부된 도면을 참조하여 예시의 목적으로만 설명된다.
도 1은 발명의 실시예가 사용될 수 있는 시스템의 예로서, 디바이스에 대한 생산 설비를 형성하는 다른 장치와 함께 리소그래피 장치를 나타낸다.
도 2는 단지 예시의 목적으로만 복수의 디바이스가 도시된 도 1의 리소그래피 장치를 나타낸다.
발명의 실시예를 상세히 설명하기에 앞서, 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익할 것이다. 본 발명은, 예를 들어, 리소그래피 제조 공정에서 기판의 적어도 부분적인 처리 후에 적용될 수 있다. 본 발명은 일례로 리소그래피 장치의 일부인 디바이스의 효과를 결정하는데 적용될 수 있다. 반도체 디바이스의 제조를 위한 리소그래피 프로세스는 본 방법이 사용되는 예시적인 맥락에서 제공되는 것으로 기술될 것이다. 본 발명의 원칙은 다른 프로세스에 제한없이 적용될 수 있다.
도 1은 대량의 리소그래피 제조 프로세스를 구현하는 산업적인 설비의 일부로서 리소그래피 장치(LA)를 100에 도시한다. 본 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(예컨대, 집적 회로)을 제조하기 위해 적응된다. 상이한 타입의 기판을 이러한 프로세스를 변형하여 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(100)") 내에는 102 에 측정 스테이션(MEA)이 도시되고, 104에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 106에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학적 리소그래피 장치에서, 컨디셔닝된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이는 전형적으로 기판 상의 방사선 감응 재료의 층의 이미지를 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선에 대하여 적합하거나 또는 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대하여 적합한, 굴절형, 반사형, 반사 굴절형(catadioptric), 자기형, 전자기형, 및 정전형 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로서 폭넓게 해석되어야 한다. 패터닝 디바이스(MA)는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스(MA)에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 투영 시스템은 기판에 대한 지지 및 위치설정 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그래밍가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외(DUV) 또는 극자외(EUV) 파장대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 장치로 하여금 기판(W) 및 패터닝 디바이스(MA)을 수용하고 패터닝 동작을 구현하게 한다. 제어 유닛(LACU)은 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 리소그래피 장치(LA) 내의 서브시스템 또는 컴포넌트의 (실시간) 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
한 실시예로서, 패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 이러한 준비 단계는 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽을 맵핑하는 것과 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커의 위치를 측정하는 것을 포함할 수 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 정렬 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어날 수 있다. 결과적으로, 이러한 장치가 제품 피처를 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다.
이러한 리소그래피 장치(LA)는 두 개의 기판 테이블을 가지고 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 위치 설정 시스템이 있는 소위 듀얼 스테이지 타입일 수 있다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다양한 준비 단계들이 수행될 수 있도록 다른 기판은 측정 스테이션(MEA)에 있는 나머지 기판 테이블에 로딩될 수 있다. 정렬 마크를 측정하는 것은 시간이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 노광 스테이션뿐만 아니라 측정 스테이션에 있는 동안 위치 센서가 기판 테이블의 위치를 측정할 수 없다면, 기판 테이블의 위치가 양 스테이션에서 추적될 수 있도록 제2 위치 센서가 제공될 수 있다. 대안적으로, 측정 스테이션 및노광 스테이션이 조합될 수 있다. 예를 들어, 노광전 측정 페이즈 중에 측정 스테이지가 일시적으로 결합되는 단일 기판 테이블이 알려져 있다. 본 발명은 어느 타입의 시스템인지에 제한되지 않는다.
생산 설비 내에서, 장치(100)(LA)는 예를 들어, 이러한 장치(100)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 도포하도록 구성되는 코팅 장치(108)를 또한 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 노광된 패턴을 물리적인 레지스트 패턴으로 현상하기 위해, 장치(100)의 출력 쪽에, 베이킹 디바이스(110)(BAKE), 및 현상 디바이스(112)(DEV)이 제공된다. 이러한 디바이스 모두들 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치/디바이스의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 "트랙"으로서도 지칭되는 이들 디바이스는 트랙 제어 유닛의 제어 하에 있을 수 있으며, 이 트랙 제어 유닛은 그 자체가 감독 제어 시스템(SCS)에 의해 제어되고, 이 감독 제어 시스템은 또한 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다. 따라서, 위에서 설명된 상이한 디바이스들, 및 상기 리소그래피 장치의 일부일 수 있는 디바이스들, 예를 들어 기판 테이블은, 스루풋과 처리 효율을 극대화하기 위하여 운용될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
패턴이 리소셀에서 적용되고 현상되면, 패터닝된 기판(120)은 122, 124, 126에 예시되는 것과 같은 하나 이상의 다른 처리 디바이스로 전달된다. 통상적인 제조 설비 내에는 다양한 디바이스에 의해 광범위한 처리 단계들이 구현될 수 있다. 예시를 위하여, 이 예에서 디바이스(122)는 에칭 스테이션(ETCH)이고, 디바이스(124)는 에칭후 어닐링 단계를 수행하는 장치(ANNEAL)이다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 디바이스(126 등)에 적용된다. 이들 구체적인 디바이스는 예시만을 위해 제공되는 것이고, 이하에서 상세하게 설명되는 실시예의 범위 내에서 다른 조합의 디바이스가 사용될 수 있다. 추가적으로, 기판이 디바이스에 의해 처리되는 순서는 예시만을 위한 것이고, 디바이스는 도시되지 않은 상이한 순서로 사용될 수 있다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 이러한 디바이스들에 의해 실행될 수 있다. 디바이스(126)는, 실무적으로, 하나 이상의 디바이스에서 수행되는 일련의 상이한 처리 단계를 나타낼 수 있다. 이들 디바이스는 장치로도 지칭될 수 있다.
반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(130)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 디바이스(116)를 떠나는 기판(132)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 기판(132)는 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조의 각각의 층은 통상적으로 상이한 세트의 프로세스 단계를 수반하고, 각각의 층에서 사용되는 디바이스(122, 124, 126)는 전혀 다른 타입일 수 있다. 더 나아가, 디바이스들(122, 124, 126)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계(122, 124, 126)를 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 달리 말하면, 동일한 타입의 몇몇 디바이스, 예를 들어, 몇몇 에칭 디바이스(122), 몇몇 어닐링 디바이스(124) 및/또는 추가적인 디바이스(126)의 각각의 타입에서 몇몇들이 있을 수 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 동일한 타입의 디바이스들이 다른 기판들에 다른 방식으로 영향을 준다는 것을 의미할 수 있다. 심지어, 에칭(디바이스(122))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 일반적으로 수반한다.
앞서 언급한 바와 같이, 이전의 및/또는 후속 프로세스는 다른 리소그래피 장치에서 수행될 수 있고, 심지어 다른 타입의 리소그래피 장치에서 수행될 수 있다. 예를 들어, 분해능 및/또는 오버레이와 같은 파라미터에 있어서 요건이 매우 까다로운 일부 층들은 디바이스 제조 공정 중에 요건이 덜 까다로운 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 액침 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 리소 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 하나 이상의 특성을 측정하기 위해 노광 기판을 검사하는 것이 바람직하다. 따라서, 리소셀이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(140)(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)(138)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 계측이 금새 행해지고 동일한 로트의 하나 이상의 다른 기판이 아직 노광이 되어야 할 정도로 충분히 빠르다면, 하나 이상의 후속 기판의 하나 이상의 노광에 하나 이상의 조정이 이루어질 수 있다. 또한, 하나 이상의 이미 노광된 기판은 수율을 개선하기 위해 스트리핑되고 재작업(rework) 되거나, 또는 폐기되어, 이를 통하여 결함이 있는 것으로 알려진 기판에 추가적인 처리가 수행되는 것을 피할 수도 있다. 기판의 하나 이상의 타겟부에만 결함이 있는 경우, 양호한 하나 이상의 타겟부에만 추가적 노광이 수행될 수 있다.
도 1에 도시된 바와 같이, 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 계측 장치(140)가 제공될 수 있다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 흔한 예는, 예를 들어 각도-분해 산란계 또는 분광식 산란계인데, 이것은 장치(122)에서의 에칭 이전에 120에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(140)를 사용하면, 예를 들어, 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(120)을 재처리할 기회가 있다. 추가적으로 또는 대안적으로, 계측 장치(140)로부터의 계측 결과(142)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(106)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 감소시키거나 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(140) 및/또는 다른 계측 장치(미도시)는 처리된 기판(132, 134), 및 인입하는 기판(130)의 특성을 측정하기 위해 적용될 수 있다.
리소그래피 제조 공정의 예에서, 기판은 패터닝 단계에서 패턴이 적용되는 반도체 웨이퍼 또는 다른 기판, 그리고 물리적 및 화학적 프로세스 단계들에 의해 형성되는 구조물이다.
본 발명의 실시예는 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하기 위한 방법을 제공한다. 따라서, 기여도는 부분적인 기여도 또는 상대적인 기여도로서고려될 수 있다. 이 실시예는 도 2에 도시된 디바이스에 관해 기술될 것이다. 도 2로부터 분명한 바와 같이, 3개의 에칭 디바이스가 제공되고(122A, 122B, 122C), 3개의 어닐링 디바이스가 제공된다 (124A, 124B, 124C). 리소그래피 장치에서 처리된 기판(120)은, 다음으로 에칭 단계 및 어닐링 단계에서 추가적으로 처리도니다. 도 2에 도시된 바와 같이 일례로 현상 단계와 같은 다른 단계 또한 포함될 수 있다.
기판(120)을 에칭하기 위해, 기판(120)은 제1 에칭 디바이스(122A), 제2 에칭 디바이스(122B), 또는 제3 에칭 디바이스(122C)를 통과할 수 있다. 어닐링 기판(120)을 어닐링 하기 위해, 기판(120)은 제1 어닐링 디바이스(124A), 제2 어닐링 디바이스(124B), 또는 제3 어닐링 디바이스(124C)을 통과할 수 있다. 상이한 에칭 디바이스 및 어닐링 디바이스들 각각은 결과적인 기판(134)의 지문에 상이한 영향을 미칠 수 있다. 지문은 공간적 지문일 수 있고, 필드내(intra-field) 및/또는 필드간(inter-field) 지문, 예컨대 기판 지문, 또는 필드 지문, 슬릿(slit) 지문, 또는 시간 또는 시퀀스에 따른 임의의 것일 수 있다.
위에서 지적한 바와 같이, 사용되는 상이한 디바이스 및 장치는 상이한 기판에 상이한 방식으로 영항을 끼칠 수 있다. 기판, 예컨대 기판(134)이 완전히 처리되면, 설령 기판의 파라미터에 관해 측정이 수행된 경우라도, 상이한 디바이스의 각각이, 예를 들어 상이한 에칭 디바이스(122A, 122B, 또는 122C)의 하나 이상 및/또는 상이한 어닐링 디바이스(124A, 124B, 또는 124C)의 하나 이상이, 기판의 파라미터의 지문에 어떻게 영향을 주었는지 반드시 분명한 것은 아니다. 위에서 설명한 바와 같이, 동일한 타입의 복수의 상이한 디바이스가 사용되는 경우라 하더라도, 기판에 상이한 방식으로 영향을 끼칠 수 있다. 사용된 구체적인 디바이스에 무관하게 일관적인 기판을 생산하는 것이 바람직하다. 따라서, 상이한 디바이스가 기판에 미치는 영향을 결정하는 것이 바람직하다. 예를 들어, 진단적인 이유를 위해 또는 제어를 향상하기 위해, 디바이스가 어떻게 기판 상의 파라미터에 영향을 주는지를 아는 것이 유익하다. 이 정보는 다양한 방식으로, 예를 들면 특정 디바이스가 언제 변경이 이루어져야 할지를 결정하기 위해 사용될 수 있거나, 또는 기판의 처리를 제어하기 위한 피드백 루프의 일부로서 사용될 수 있는데, 이는 이하에서 상세하게 설명한다.
따라서, 실시예로서, 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하기 위한 방법이 제공된다. 이는, 기판의 처리 중에 파라미터가 영향을 받거나 제어됨을, 또는 파라미터가 처리의 결과로 나타나게 됨을 의미할 수 있다. 처리(processing)는 디바이스에 의해 수행되고, 아래에서 기술되는 바와 같이 에칭 및 어닐링과 같은 다양한 상이한 프로세스를 포함할 수 있다. 본 방법은 다양한 상이한 파라미터의 지문에 관해 사용될 수 있다. 파라미터는 기판의 처리 중에 영향을 받거나 제어되는 임의의 파라미터일 수 있다. 예를 들어, 파라미터는 임계 치수, 오버레이, 임계 치수 균일성, 측벽 각, 라인 에지 배치, 정렬, (레벨링으로도 지칭될 수 있는) 포커스, 패턴 시프트, 라인 에지 거칠기, 마이크로 토폴로지, 및/또는 에지 배치 오차(EPE)를 포함하는 그룹으로부터 선택될 수 있다. 이에 더하여 또는 이를 대체하여, 파라미터는 측벽 각, 레지스트 높이, 및/또는 컨택홀 이심률과 같은 피처의 형상 기술(shape description)을 포함하는 그룹으로부터 선택될 수 있다. 이에 더하여 또는 이를 대체하여, 파라미터는 코팅 두께와 같은, 선택사항으로 바닥 반사방지 코팅 두께 및/또는 레지스트 두께와 같은, 처리 파라미터를 포함하는 그룹으로부터 선택될 수 있다. 이에 더하여 또는 이를 대체하여, 파라미터는 선택적으로 굴절율 및/또는 흡광 계수(extinction coefficient)와 같은 흡수의 척도를 표시할 수 있는 코팅의 광학적 특성과 같은 처리 파라미터를 포함하는 그룹으로부터 선택될 수 있다. 이에 더하여 또는 이를 대체하여, 파라미터는 선택사항으로 결함 및/또는 전기적 성능 등의 수율 파라미터와 같은, 기판 측정으로부터 결정되는 파라미터를 포함하는 그룹으로부터 선택될 수 있다. 본 방법은 이러한 파라미터 중 임의의 것에 적용될 수 있고, 파라미터 중 어느 것이 특정한 사용자에게 관심이 있거나 중요한지에 따라 다수의 파라미터에 사용될 수 있다.
이 실시예에서, 적어도 2개의 상이한 클래스의 디바이스가 있을 수 있다. 단지 2개의 클래스만 기술되지만, 추가적인 클래스도 제공될 수 있다. 특정한 클래스 내의 디바이스는, 도 2에 도시된 예와 같이 에칭이나 어닐링과 같이 유사한 기능을 수행하는데 사용될 수 있다. 달리 말하면, 주어즌 클래스 내의 디바이스는 동일한 타입이다. 예를 들어, 도 2에 도시된 바와 같이, 적어도 2개의 클래스는 적어도 2개의 디바이스를 가진다. 이는 적어도 2개의 클래스 내에 동일한 타입의 적어도 2개의 디바이스가 있다는 것을 의미한다. 기판은 제1 클래스의 디바이스 중 하나의 디바이스 및/또는 제2 클래스의 디바이스 중 하나의 디바이스에 의해 처리될 수 있고, 예를 들어, 기판은 적어도 하나의 클래스 내의 디바이스 중 오직 하나에 의하여, 또는 각각의 클래스 내의 디바이스 중 오직 하나에 의해 처리될 수 있다.
도 2에 나타낸 예에서, 몇몇 상이한 클래스의 디바이스가 도시되었다. 예를 들어, 에칭 디바이스(122A, 122B, 122C)는 각각 제1 클래스의 디바이스 내에 있고, 어닐링 디바이스(124A, 124B, 124C)는 각각 제2 클래스의 디바이스 내에 있다. 도 2에 도시된 바와 같이, 3개의 에칭 디바이스와 3개의 어닐링 디바이스가 있다. 이와 상이한 수의 클래스, 각각의 클래스 내에 상이한 수의 하나 이상의 디바이스, 및 각각의 클래스 내의 수와 클래스의 상이한 조합이 제공될 수 있다.
복수의 디바이스가 있으므로, 개개의 디바이스의 효과를 결정하는 것이 바람직하다. 따라서, 실시예로서, 본 방법은 상기 복수의 디바이스 중의 단일 디바이스의 기여도를 결정하기 위한 것이다. 이러한 방식으로, 단일 디바이스의 영향이 계산될 수 있다. 설명된 바와 같이, 파라미터는 여러가지 것에 관련될 수 있으나, 가장 일반적으로는, 파라미터는 기판의 처리에 연관된다. 따라서, 파라미터는 기판을 처리함에 의해 영향을 받을 가능성이 있고, 따라서 상기 복수의 디바이스 중 상이한 디바이스에 의해 상이한 방식으로 영향을 받을 가능성이 있다.
본 방법은 파라미터 데이터 및 사용 데이터를 획득하는 단계를 더 포함한다. 파라미터 데이터는 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 한다. 보다 상세하게는, 파라미터 데이터는 복수의 디바이스에 의해 처리된 기판에 대한 파라미터에 대응되는 측정에 관련된다. 사용 데이터는 복수의 디바이스 중에 어느 디바이스가 기판의 처리에 사용되었는지를 표시한다. 달리 말하면, 사용 데이터는 각각의 기판을 처리하는데 어느 디바이스가 구체적으로 사용되었는지를 표시한다. 따라서 사용 데이터는 어느 개개의 디바이스가, 예를 들어 클래스의 디바이스 내의 어느 특정한 디바이스가 기판을 처리하는데 사용되었는지에 대한 표시를 제공한다. 기판을 처리하는데 사용된 디바이스는 위에서 위에서 설명되고 도 1 및 도 2에 도시된 디바이스를 포함할 수 있고, 기판을 처리하는데 사용된 리소그래피 장치(100) 내의 하나 이상의 디바이스, 예를 들어 일례로 (듀얼 스테이지 리소그래피 장치 내에서) 노광에 사용된 기판 테이블을 포함할 수 있다.
본 방법은 사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하는 단계를 더 포함한다. 따라서 본 방법은, 위에서 기술한 데이터를 사용하여 기판을 처리하는 것에 연관된 파라미터의 지문에 대하여, 복수의 디바이스 중의 디바이스 중 하나로부터의 기여도를 결정한다. 이는 여러가지 상이한 방식으로 행해질 수 있다.
일 실시예로서, 본 방법은 기여도를 결정하기 위해 행렬을 사용하는 것을 포함할 수 있다. 행렬은 행 또는 열에 있는 양(quantities) 또는 표현의 어레이를 지칭할 수 있다. 행렬은 방정식에서 미지의 값을 결정하기 위해 풀이될 수 있는 행렬 방정식의 일부일 수 있다. 본 방법은 사용 데이터를 사용하는 행렬을 결정하는 단계를 포함할 수 있다. 기여도를 결정하는 단계는 행렬을 포함하는 방정식을 풀이하고 파라미터 데이터를 사용하는 단계를 포함할 수 있다. 행렬은 다수의 기판을 처리하는데 사용되는 디바이스들을 표현할 수 있다. 따라서 행렬은 디바이스의 파라미터의 지문에 대한 기여도와 파라미터 데이터 사이의 관계를 규정하는데 사용될 수 있다. 이 실시예는 임의의 행렬 해법 또는 그 변형으로 사용될 수 있다. 아래의 예에서 기술되는 바와 같이, 행렬 방정식은 행렬의 변환된 버전에 파라미터 데이터를 곱합으로써 풀이될 수 있으며, 선택적으로 행렬의 변환된 버전은 행렬의 역행렬이다.
행렬의 결정은 여러가지 상이한 방식으로 행해질 수 있다. 일반적으로, 실시예에서, 행렬의 각각의 행은 제1 클래스의 디바이스 중 적어도 하나의 디바이스 및 제2 클래스의 디바이스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현한다. 따라서, 각각의 행은 적어도 부분적으로 처리된 기판을 표현한다. 이는 디바이스의 영향을 결정하는데 사용되는 측정을 제공하는 각각의 기판은 행렬에서 단일의 대응 행을 가진다는 것을 의미한다. 이는 제1 클래스의 디바이스 중 적어도 하나 및 제2 클래스의 디바이스 중 적어도 하나를 통과한 기판에 대응될 수 있다. 도 2의 예에서, 제1 클래스의 디바이스는 에칭 디바이스(122A, 122B)이고, 제2 클래스의 디바이스는 어닐링 디바이스(124A, 124B)이다. 행렬 내의 각각의 열은 복수의 디바이스 중 하나의 디바이스를 표현한다. 기판은 임의의 특정한 클래스 중 하나의 디바이스, 예를 들어 제1 클래스의 디바이스 중 하나의 디바이스 및/또는 제2 클래스의 디바이스 중 하나의 디바이스에 의하여 처리될 수 있다.
기판이 처리되면서, 상이한 타입의 디바이스, 즉, 상이한 클래스 내의 디바이스를 통과할 것이다. 따라서, 기판은 다양한 클래스 중 적어도 하나의 디바이스를 통과할 수 있다. 기판은 각각의 클래스 내의 적어도 하나의 디바이스를 통과할 수 있다. 각각의 기판에 대해, 해당 기판을 처리하는데 어느 디바이스가 사용되었는지를 표시하도록 데이터가 수집되거나 및/또는 획득될 수 있다. 설명된 바와 같이, 이는 사용 데이터이다. 사용 데이터 행렬을 생성하는데 사용될 수 있다. 행렬의 행은 해당 특정한 기판을 처리하는데 사용되는 디바이스의 각각에 대응하여 0이 아닌 항목을 가진다. 달리 말하면, 각각의 기판에 대해, 상기 복수의 디바이스로부터의 어느 특정한 디바이스가 해당 기판의 처리에 사용되었는지를 표시하는 행렬에서 항목이 있다. 항목은 1과 같은 값일 수 있고, 또는 (이하에서 상세하게 설명하는) 부분-설계 행렬(sub-design matrix)일 수 있다. 예로서, 모델은 개개의 측정 지점에 대해 풀이될 수 있고, 지점 별로 모델은 아래와 같을 수 있다:
Figure 112021075032059-pat00001
여기서, i는 측정 번호를, 즉, 어느 기판이 측정에 관련되었는지를 표시한다. 이 예에서, 파라미터 데이터 x 는 에칭 디바이스 및 어닐링 디바이스에 의해 처리된 기판으로부터 적어도 하나의 측정을 기초로 한다. 및 B는 특정한 에칭 및 어닐링 디바이스 중 임의의 것을 각각 표시할 수 있다. 예를 들어, A는 1로 치환될 수 있고 etch1은 도 2에서 제1 에칭 디바이스(122A)에 대응될 수 있으며, B는 2로 치환될 수 있고 anneal2는 도 2에서 제2 어닐링 디바이스(124B)에 대응될 수 있다. (예를 들어 b의) 파라미터가 단일 값이므로 기호는 굵은 글씨로 표현되지 않으며, 분해된 측정으로서 해석될 수 있다. 요구되는 경우, 모든 분해된 측정은 지문 모델로 근사될 수 있다.
다수의 기판에 대한 개개의 측정 지점에 대해, 다수의 기판은 각각 적어도 하나의 디바이스에 의해 처리될 수 있다. 수학식 1의 해를 구하기 위하여, 상이한 기판, 즉, i의 상이한 값을 가지는 기판에 대해 다중의 수학식이 주어진다. 다수의 기판에 대한 모델을 기초로 하여 행렬이 공식화될 수 있고, 수학식 1은 각각의 기판에 적용될 수 있다. 특정한 지점에서 각각의 기판에 대한 측정을 기초로 한 파라미터 데이터는 x = [x1, x2, x3??xn]인 벡터로 기재될 수 있다. 각각의 기판에 대해 수학식 1에 동등한 수학식이 행렬 공식화로 조합될 수 있다. 파라미터의 지문을 추정하기 위한 선형 모델의 행렬 공식화는 아래와 같이 표현될 수 있다:
Figure 112021075032059-pat00002
여기서, 기호는 벡터와 행렬을 가리키기 때문에 굵은 글씨이다. 이 예에서,
Figure 112021075032059-pat00003
는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 한 벡터, 즉,
Figure 112021075032059-pat00004
는 파라미터 데이터이고,
Figure 112021075032059-pat00005
는 선형 모델의 파라미터의 벡터이며,
Figure 112021075032059-pat00006
는 행렬이다. 기판은 행렬
Figure 112021075032059-pat00007
의 행에 의해 표현되고, 따라서 행렬
Figure 112021075032059-pat00008
의 행의 수는 벡터
Figure 112021075032059-pat00009
의 크기와 동일하다. 각각의 열은
Figure 112021075032059-pat00010
내의 하나의 파라미터에 대하여 모든 측정에 대해 평가되는 기저 함수(basis function)를 포함할 수 있고, 각각의 행은 하나의 기판에 대한 측정을 기초로 하여 평가되는 모든 기저 함수를 포함할 수 있다.
예로서, 6개의 기판들(예를 들어, x1, x2, x3, x4, x5, x6)이 도 2에 도시된 바와 같은 제1 에칭 디바이스(122A), 제2 에칭 디바이스(122B), 또는 제3 에칭 디바이스(122C), 그리고 제1 어닐링 디바이스(124A), 제2 어닐링 디바이스(124B), 또는 제3 어닐링 디바이스(124C)에 의해 처리된다. 제1 기판은 제1 에칭 디바이스(122A) 및 제1 어닐링 디바이스(124A)을 사용하여 처리된다. 제2 기판은 제2 에칭 디바이스(122A) 및 제2 어닐링 디바이스(124B)을 사용하여 처리된다. 제3 기판은 제1 에칭 디바이스(122A) 및 제3 어닐링 디바이스(124C)을 사용하여 처리된다. 제4 기판은 제3 에칭 디바이스(122C) 및 제1 어닐링 디바이스(124A)을 사용하여 처리된다. 제5 기판은 제2 에칭 디바이스(122B) 및 제3 어닐링 디바이스(124C)을 사용하여 처리된다. 제6 기판은 제3 에칭 디바이스(122C) 및 제2 어닐링 디바이스(124B)을 사용하여 처리된다.
각각의 기판은 수학식 1에 대응되는 방정식을 가지며, 상이한 기판에 대해 사용되는 디바이스들로부터의 조합은 수학식 2에서와 같은 행렬 공식화에 적용될 수 있다. 따라서, 수학식 2의 행렬 공식화는 이 예에 대해 아래와 같이 완전히 기재될 수 있다:
Figure 112021075032059-pat00011
설명된 바와 같이, 행렬
Figure 112021075032059-pat00012
의 각각의 행은 기판을 표시하고, 각각의 열은 디바이스 중 하나를 표현한다. 따라서, 이 예에서, 행렬
Figure 112021075032059-pat00013
은 제1 행에서 제1 기판, 제2 행에서 제2 기판, 및 제3 행에서 제3 기판 등을 표현하도록 생성될 수 있다. 또한, 제1 열은 제1 에칭 디바이스(122A)에 관련될 수 있고, 제2 열은 제2 에칭 디바이스(122B)에 관련될 수 있고, 제3 열은 제3 에칭 디바이스(122C)에 관련될 수 있고, 제4 열은 제1 어닐링 디바이스(124A)에 관련될 수 있고, 제5 열은 제2 어닐링 디바이스(124B)에 관련될 수 있고, 제6 열은 제3 어닐링 디바이스(124C)에 관련될 수 있다.
제1 기판은 제1 에칭 디바이스(122A) 및 제1 어닐링 디바이스(124A)에 대응되는 0이 아닌 항목을 가져야 한다. 따라서, (제1 행에서) 제1 기판은 행렬
Figure 112021075032059-pat00014
의 제1 열 및 제4 열에서 0이 아닌 항목을 가진다. 제1 기판은 제2 에칭 디바이스(122B), 제3 에칭 디바이스(122C), 제2 어닐링 디바이스(124B), 또는 제3 어닐링 디바이스(124C)에 의해 처리되지 않았으므로, 제1 행은 제2, 제3, 제5, 및 제 6 열에서 0인 항목을 가진다.
제2 기판은 제2 에칭 디바이스(122B) 및 제2 어닐링 디바이스(124B)에 대응되는 0이 아닌 항목을 가져야 한다. 따라서, (제2 행에서) 제2 기판은 행렬
Figure 112021075032059-pat00015
의 제2 열 및 제 5 열에서 0이 아닌 항목을 가진다. 제2 기판은 제1 에칭 디바이스(122A), 제3 에칭 디바이스(12C), 제1 어닐링 디바이스(124A), 또는 제3 어닐링 디바이스(124C)에 의해 처리되지 않았으므로, 제2 행은 제1, 제3, 제4, 및 제 6 열에서 0인 항목을 가진다.
제3 기판은 제1 에칭 디바이스(122A) 및 제3 어닐링 디바이스(124C)에 대응되는 0이 아닌 항목을 가져야 한다. 따라서, (제3 행에서) 제3 기판은 행렬
Figure 112021075032059-pat00016
의 제1 열 및 제6 열에서 0이 아닌 항목을 가진다. 제3 기판은 제2 에칭 디바이스(122B), 제3 에칭 디바이스(122C), 제1 어닐링 디바이스(124A), 또는 제2 어닐링 디바이스(124B)에 의해 처리되지 않았으므로, 제1 행은 제2, 제3, 제4, 및 제5 열에서 0인 항목을 가진다.
제4, 제5, 및 제6 기판에 대한 행렬의 항목은 동일한 방식으로 결정된다. 이러한 방식으로, 사용 데이터는 행렬
Figure 112021075032059-pat00017
을 생성하는데 사용될 수 있다. 행렬
Figure 112021075032059-pat00018
은 상기 복수의 디바이스 중 어느 것이 기판을 처리하는데 사용되었는지를 명확하게 표시한다. 따라서 행렬
Figure 112021075032059-pat00019
은 수학식 3에서의 행렬에 대응하여 아래와 같이 결정된다:
Figure 112021075032059-pat00020
파라미터 데이터가 전처리된 측정을 기초로 하는 경우와 같이 더욱 복잡한 모델에 대해 위와 동일한 수학식이 적용될 수 있다. 예로서, 상이한 디바이스로부터의 기여도의 모델은 아래와 같이 기재될 수 있다:
Figure 112021075032059-pat00021
여기서 A 및 B 는 제1 및 제2 디바이스의 라벨(label)이다. 모델은 디바이스 A 및 B에 대해 상이할 수 있고, 상이한 수의 파라미터를 가질 수 있으며, 이로부터 상이한 크기의 벡터
Figure 112021075032059-pat00022
및 행렬
Figure 112021075032059-pat00023
의 상이한 수의 열이 도출될 수 있다. 전과 마찬가지로,
Figure 112021075032059-pat00024
는 또한 벡터이다. 이 예는 수학식 1에 대응되지만, 부분-설계 행렬을 사용한다.
수학식 5의 해를 구하는데에는, 하나를 초과하는 한 세트의 측정이 요구된다. 따라서, 파라미터 데이터는 다수의 기판에 대한 측정을 기초로 하고, 이 다수의 기판은 수학식 6에 나타낸 바와 같이 제1 및 제2 기판일 수 있다:
Figure 112021075032059-pat00025
여기서 숫자 아래첨자는 처리된 기판을 표시하고, A 및 B는 수학식 5에서와 같이 해당 기판을 처리하는데 사용된 디바이스의 라벨(label)이다. 수학식 6 내의 각각의 식은 하나의 기판에 대한 모델/지문에 관련, 즉, 단일 기판 상에서 다수의 지점에 관련된다.
수학식 6은 아래와 같이 다시 기재될 수 있다:
Figure 112021075032059-pat00026
수학식 7은 설계 행렬(design matrix)이 어떻게 다수의 부분-설계 행렬, 예를 들어
Figure 112021075032059-pat00027
,
Figure 112021075032059-pat00028
,.. 등으로 구성될 수 있는지를 보여준다. 각각의 부분-설계 행렬은 일반적으로
Figure 112021075032059-pat00029
으로 기재될 수 있고, 여기서 i는 기판을 표시하고 X 는 기판 상의 디바이스 사용을 표시한다. 부분-설계 행렬
Figure 112021075032059-pat00030
은 설계 행렬
Figure 112021075032059-pat00031
내의 행렬이다. 2개의 선형 모델을 조합하는 이 메커니즘은 추가적인 기판 및/또는 디바이스에 대한 기여인자 모델을 더 포함하도록 확장될 수 있다. 부분-설계 행렬은 0인 항목 부분-설계 행렬 또는 0이 아닌 항목 부분-설계 행렬일 수 있다. 0인 항목 부분-설계 행렬은 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대응될 수 있다. 0인 항목 부분-설계 행렬은 0 항목으로만 구성되는 행렬일 수 있다. 단일 행렬 내의 부분-설계 행렬은, 0인 항목 부분-설계 행렬 및 0이 아닌 항목 부분-설계 행렬이 동일한 크기가 되도록, 모두 동일한 크기일 수 있다. 0이 아닌 항목 부분-설계 행렬은 기판을 처리하는데 사용되는 디바이스의 각각에 대응될 수 있다. 0이 아닌 항목 부분-설계 행렬은 각각의 디바이스 및 기판으로부터의 지문에 대한 모델링된 기여도를 기초로 할 수 있다. 달리 말하면, 0이 아닌 항목 부분-설계 행렬은 특정한 디바이스로부터의 특정한 기판에 대한 기여도를 모델링하는 정보를 포함할 수 있다. 0인 항목 부분-설계 행렬은 위에서 설명된 행렬에서 0인 항목의 위치에 사용될 수 있고, 0이 아닌 항목 부분-설계 행렬은 위에서 설명된 행렬에서 0이 아닌 항목의 위치에 사용될 수 있다.
본 예에서, 기판마다, 해당 기판 상에 사용된 각각의 클래스의 디바이스에 대해 하나의 항으로 모델이 예컨대 다음과 같이 생성된다:
Figure 112021075032059-pat00032
이 예에서, etch는 제1 클래스의 디바이스, 즉, 에칭 디바이스를 표시하고, anneal은 제2 클래스의 디바이스, 즉, 어닐링 디바이스를 표시한다. 0 행렬은 행렬
Figure 112021075032059-pat00033
에 대응되는 차원을 가진다.
상기 복수의 디바이스의 각각은 다수의 기판 중 적어도 하나를 처리하는데 사용될 수 있다. 달리 말하면, 복수의 디바이스 중의 디바이스로부터의 기여도를 결정하기 위한 행렬을 포함하는 방정식의 해를 구하기 위해, 디바이스는 행렬 내의 기판 중 적어도 하나에 사용되었어야 한다. 더 적은 수의 디바이스가 조합될수록, 결과적인 결정에는 불확실성이 더 커진다.
비록 에칭 및 어닐링 디바이스가 위에서 설명된 예로서 사용되었으나, 임의의 상이한 타입의 디바이스(또한, 하나의 타입에서 임의의 수의 디바이스, 및 임의의 수의 상이한 타입들의 디바이스)가 사용될 수 있다. 디바이스는 위에서 설명된 파라미터에 영향을 끼치는 임의의 디바이스일 수 있다. 따라서 상이한 타입의 디바이스는 에칭 디바이스, 증착 툴, 기판 테이블, (화학적-기계적 평탄화 디바이스와 같은) 연마 디바이스, (금속 열적 어닐링(rapid thermal annealing) 디바이스와 같은) 어닐링 디바이스, 세정 디바이스, 코팅 디바이스 (레지스트를 도포하는데 사용되는 것과 같은), 현상 디바이스, 트랙 디바이스, 이식 디바이스 및/또는 베이킹 디바이스를 포함할 수 있다. 이해할 수 있는 바와 같이, 임의의 조합의 디바이스가 적용 가능하고, 기판 상에 사용될 수 있다. 기여도의 결정은 디바이스의 수가 증가함에 따라 복잡성이 증가될 것이나, 기술된 행렬 방법을 사용하여 여전히 가능할 것이다.
파라미터 데이터는 상이한 방식에서의 측정에 관련될 수 있다 (측정은 측정 데이터로도 지칭될 수 있다). 예로서, 파라미터는 측정치와 동일할 수 있다. 달리 말하면, 측정치에 대해 어떠한 전처리도 수행되지 않을 수 있고, 기여도는 초기 처리(initial processing)가 없는 파라미터 데이터를 사용하여 결정될 수 있다. 대안적으로, 측정은 파라미터 데이터를 제공하기 위해 여러가지 상이한 방법을 사용하여 처리될 수 있다. 달리 말하면, 파라미터 데이터는 처리된 측정을 기초로 한다. 예를 들어, 측정은 주성분 분석을 사용하여 또는 (예를 들어 제르니케(Zernike) 분석을 사용하는, 다항식 모델이나 선형 모델과 같은) 모델을 측정치에 근사하여 처리될 수 있다. 제르니케 분석의 사용은 위에서 설명된 바와 같이 부분-설계 행렬을 사용할 수 있다. (예컨대 통계적 또는 공간적 상관관계를 사용하는) 주성분 분석은 노이즈 필터링에 장점을 가질 수 있다. 기판들 간에 작거나 상관 관계가 없는 차이는 억제하고 주요 지문만을 기초로 하는 통계적 상관관계가 사용될 수 있다. 처리된 측정을 기초로 하는 파라미터 데이터는 수학식 5 내지 8과 관련하여 기술된 바와 같이 부분-설계 행렬이 될 수 있다.
상이한 타입들의 근사가 사용될 수 있고, 이는 노이즈를 억제하기 위해 효과적일 수 있다. 따라서, 파라미터 데이터는 복수의 디바이스에 의해 처리된 기판의 측정을 기초로 한 모델일 수 있다. 이는 사용 가능한 파라미터 데이터를 원하는 정도의 정확도로 제공하면서도 필요한 측정의 수를 줄일 수 있다.
행렬을 포함하는 방정식은 여러가지 상이한 방법으로 풀이될 수 있다. 가장 일반적으로, 행렬 방정식은 행렬의 변환된 버전에 파라미터 데이터를 곱함으로써 풀이될 수 있다. 예를 들어, 위의 수학식 2는 표준 선형 대수(standard linear algebra) 기법을 사용하여 풀이될 수 있고, 예를 들어 행렬을 포함하는 방정식은 최소 자승 근사(least square fit)을 사용하여 풀이될 수 있다:
Figure 112021075032059-pat00034
그리고 유사한 방법이 수학식 7에 적용될 수 있다. 최소 자승 근사를 사용하는 장점은, 이는 다른 방법보다 빠를 수 있으며, 예를 들어 펜로즈 유사역행렬(Penrose pseudo-inverse), 또는 QR 분해를 사용하여, 명시적인 역행렬화(matrix inversion) 없이도 풀이될 수 있다는 것이다. 추가적으로, 위의 수학식, 예를 들어 수학식 2 및/또는 7은, 노이즈 분산이 감소된 효과를 가지게 되는 노이즈 분산의 표시를 포함하도록 수정될 수 있다. 그러나, 이는 불확정 시스템(undetermined system)에서 작동하지 않을 것이고, 과잉근사(overfitting)가 있을 수 있다.
Figure 112021075032059-pat00035
행렬이 거의 특이(singular)한 경우, 문제를 보다 쉽게 풀이할 수 있는, 예를 들어 특이값 분해(SVD) 같은 대안적인 기법이 존재한다.
특이 값 분해는 아래의 정리를 기초로 하여 사용될 수 있다:
Figure 112021075032059-pat00036
여기서
Figure 112021075032059-pat00037
Figure 112021075032059-pat00038
는 각각 유니터리 행렬, 즉,
Figure 112021075032059-pat00039
Figure 112021075032059-pat00040
(즉,
Figure 112021075032059-pat00041
Figure 112021075032059-pat00042
는 직교함)이고,
Figure 112021075032059-pat00043
는 대각 행렬이다. 아래첨자 m 및 n 값은 각각의 행렬의 행 및 열의 수를 각각 의미한다, 즉,
Figure 112021075032059-pat00044
는 m x m 행렬이고,
Figure 112021075032059-pat00045
는 m x k 행렬이다. 이는 위의 수학식에 적용되어 다음과 같이 될 수 있다:
Figure 112021075032059-pat00046
이 수학식을 풀이하면 아래의 해를 가질 수 있다:
Figure 112021075032059-pat00047
문제의 일부는 행렬이 과잉결정될(overdetermined) 가능성이 있다는 것이고, 다시 말해서 반드시 하나의 단순한 해만 존재하는 것은 아니다. 이는 역행렬의 계산이 흔히 동작하지 못함을 의미한다. 임의의 해에 대해, 무한한 수의 대안적인 해는 임의의 수 또는 벡터를 하나의 그룹의 모든 b 또는 b에 더함과 동시에 동일한 수의 벡터를 다른 그룹의 b 또는 b로부터 뺌으로써 생성될 수 있다.
이를 해결하는 하나의 유용한 방식은 디바이스의 클래스의 평균 기여도를 0으로 하고, 평균 지문이 새로운 b 또는 b에 있도록 하는 것이다.
위의 수학식을 참조하면, 이는 추가적인 bglobal 이 모델에 더해지고, 모델의 풀이 중에 다루어질 수 있는 아래의 2개의 추가적인 제약 조건(constraints)이 있게 될 것임을 의민한다:
Figure 112021075032059-pat00048
Figure 112021075032059-pat00049
추가적인 제약 조건을 포함하는 이 예는 위에서 설명된 수학식 8의 수정을 기초로 한다.
대안적으로, 측정 또는 기판이 제거된 열 기여인자 라벨(label)을 가지는 경우, 각각의 그룹의 열들 중 하나를 제거하고 해당 그룹의 남아있는 열에 -1을 더함으로써, 추가적인 제약 조건이 명시적인 행렬 내에 명시화될 수 있다. 또한, 제거된 기여인자의 b 또는 b 또한 제거된다. 다음 예에서, 수학식 3을 기초로, 각각의 그룹의 마지막 기여인자가 제거된다:
Figure 112021075032059-pat00050
시스템이 풀이된 후, betch3 는 -(betch1 + betch2)로서 계산될 수 있고; 마찬가지로, banneal3 는 -(banneal1 + banneal2)로서 계산될 수 있다.
행렬을 포함하는 방정식은 정규화(regularization)를 기초로 하여 최소 자승 근사를 사용하여 풀이될 수 있다. 선택사항으로서, L-커브(L-curve) 방법 및/또는 리브-원-아웃 교차 검증(leave-one-out cross validation) 방법이 사용될 수 있다. 정규화 기법은 과잉근사(overfitting)을 방지하는데 사용될 수 있다. 이러한 방법은 측정 노이즈 및/또는 다른 기여인자를 포함할 수 있는 무작위성을 억제하는데 유용할 수 있다. L-커브 방법의 사용은 Computational Inverse Problems in Electrocardiology, ed. P. Johnston, Advances in Computational Bioengineering 에서 P. C. Hansen 저술의"The L-curve and its use in numerical treatment of inverse problems" (https://www.sintef.no/globalassets/project/evitameeting/2005/lcurve.pdf)에 기술되어 있으며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다. 일반적으로 정규화는, 예를 들어 고주파를 감소시키는 등, 근사된 파라미터의 범위를 제한하면서도, 예를 들어 믹싱 모델과 같은 완전한 모델의 사용을 가능하게 하나, 측정치에 있어서 노이즈를 암묵적으로 억제한다. 예를 들어, 릿지 회귀(ridge regression)와 같은 정규화 기법은, 근사 오차를 감소시키거나 최소화하기 위하여 사용될 수 있다. 이러한 기법은 아래의 수학식을 기초로 하여 사용될 수 있다:
Figure 112021075032059-pat00051
요약하면, 한 세트의 람다(λ)에 대해, 본 절차는, 모든 조합에 대해 하나의 기판을 배제하고 (즉, 기판 1-19, 기판 1-18+20, 등) 특정한 람다로 수학식 16의 모델을 풀이하는 단계를 포함한다. 추가적인 단계는 배제된(left-out) 기판에 대해 근사 오차를 계산하는 단계, 평균 근사 오차를 계산하는 단계, 람다에 대하여 평균 근사 오차를 플롯팅(plotting)하는 단계, 및 가장 작은 근사 오차를 가지는 람다를 선택하는 단계를 포함한다. 행렬을 포함하는 방정식은 베이지안 통계(Bayesian statistics)를 사용하여 풀이될 수 있고, 이는 과잉근사(overfitting)을 회피하고, 기존의 지식이 체계적으로 병합될 수 있으며, 단일의 포인트보다는 그 결과의 분포가 제공될 수 있다는 장점을 가진다. 그러나, 많은 계산 처리가 요구될 수 있고, 큰 메모리의 요건이 있을 수 있다.
다차원 측정 (예를 들어 오버레이)의 경우, 위의 방법은 xy 상에 독립적으로 적용될 수 있다.
위의 예에서, 행렬에서 항목은, 프로세스 특정한 기판을 처리하는 데 사용되는 구체적인 디바이스를 표현하는, 단순히 (0이 아닌 항목으로서) 1 또는 0일 수 있다. 그러나, 행렬은 수학식 5 ~ 8에 나타낸 바와 같은 적어도 하나의 부분-설계 행렬을 포함할 수 있다. 달리 말하면, 행렬에서 항목은 추가적인 행렬을 포함할 수 있다. 예를 들어, 파라미터가 개개의 지점에서 결정되는 경우 더 단순한 행렬이 사용될 수 있고, 다수의 지점에서 처리하는 경우 일례로 부분-설계 행렬을 사용함으로써 행렬이 더욱 복잡해질 수 있다. 부분-설계 행렬의 내용은 변화될 수 있고, 예를 들어 하나의 클래스의 디바이스에 대해 오프셋/곡률 및 다른 클래스의 디바이스에 대해 광역(global)과 같이, 예를 들어 상이한 부분-설계 행렬이 상이한 클래스에 대해 사용될 수 있다.
위에서 설명한 하나 이상의 실시예에서, 행렬이 결정될 수 있고, 복수의 디바이스 중의 디바이스의 파라미터의 지문에 대한 기여도를 결정하는데 사용될 수 있다. 설명된 바와 같이, 행렬이 결정되는 몇가지 방식이 있다. 위에서 설명한 방법은 인쇄된 기판(W)의 파라미터에 부정적으로 영향을 가하는 부정확한 교란 소스를 찾고자 한다. 기판(W)의 측정은 구체적인 디바이스의 기여도를 이끌어 내기 위하여 컨텍스트 데이터를 기초로 하여 분해될 수 있다. 이러한 방식으로, 본 방법은 무엇이 인쇄된 기판의 파라미터에 영향을 주는지를 상대적으로 우수한 정확도로 결정하는데 사용될 수 있다. 이러한 방식으로, 구체적인 디바이스로부터의 파라미터에 대한 부정적인 기여도가 고려될, 즉, 최소화 및/또는 보정 될 수 있다.
충분히 정보가 풍부한 데이터 세트는 특정한 디바이스의 기여도를 결정하기 위해 파라미터의 지문을 적절히 분해하는데 사용될 수 있다. 이는 상대적으로 큰 세트의 데이터가 필요하고, 이에는 비용이 많이 듦을 의미한다. 달리 말하면, 가능한 문제는, 임의의 하나의 디바이스에 대한 구체적인 지문을 결정하기 위해서는 많은 수의 기판(W)이 여러가지 상이한 방식으로 처리된 다음 측정되어야 할 수 있다는 것이다. 또한, 데이터 세트 내에 상대적으로 많은 양의 중복 데이터가 있을 수 있다. 원하는 데이터 세트를 얻기 위해, 처리되고 측정되어야 할 기판의 수를 줄이기 위해 주기적/무작위 샘플링 등이 사용될 수 있다. 그러나, 아래에 기술된 바와 같이 더욱 집중된 방법을 사용함으로써 더 우수한 데이터 세트를 제공할 수 있다. 본 방법은 측정이 어디에서 및 얼마나 많은 기판(W)에서 수행되어야 할 지를 표시할 수 있다. 따라서, 본 방법은 상이한 디바이스의 기여도를 결정하는데 더 적은 수의 기판(W)이 사용되도록 행렬의 결정을 향상하거나 최적화 하는 단계를 포함할 수 있다.
보다 상세하게는, 행렬은, 가장 짧은 시간 내에 유용한 수의 기판을 측정하기 위해 어느 기판(W)이 측정되어야 할 지 및/또는 어느 디바이스가 기판을 처리하는데 사용되어야 할 지에 관한 조언을 제공하고, 및/또는 이미 수집된 데이터 세트에 대한 부가로서, 예를 들어 선택된 추가적인 기판을 처리하는데 어느 디바이스를 사용할 것인지를 결정함으로써, 감소된 또는 최소한의 수의 기판으로 어떻게 데이터 세트를 확장할 것인지에 관해 조언을 제공하도록 특정한 방식으로 결정될 수 있다.
일반적으로, 행렬을 결정하는 방법은 다수의 기판에 적용되는 프로세스 단계의 스레드(thread)를 선택하기 위한 것이다. 이는 행렬은 선택된 그룹의 기판 중의 개개의 기판을 처리하는데 어느 조합의 디바이스가 사용되어야 하는지를 선택하는데 사용될 수 있다는 것을 의미한다. 본 방법은 행렬 내의 한 행에 대응될 수 있는 제1 스레드 (예를 들어 기판을 처리하기 위한 제1 조합의 디바이스)를 선택하는 단계를 포함한다. 본 방법은, 제1 스레드 및 제2 스레드와 연관된 프로세스 단계의 특성이 결정되는 예상되는 개선을 기초로 하여 적어도 하나의 추가적인 스레드(예를 들어 적어도 하나의 추가적인 기판을 처리하기 위한 적어도 하나의 추가적인 조합의 디바이스)를 선택하는 단계를 포함할 수 있다.
보다 상세하게는, 여기에 기술된 바와 같은 행렬을 결정하는 방법은 바람직하게는 아래의 순서로 제공되는 아래의 단계를 포함할 수 있다:
a. 상기 복수의 기판을 처리하는데 사용되는 디바이스의 가능한 조합을 표현하는 제1 행렬
Figure 112021075032059-pat00052
을 생성하는 단계;
b. 제1 행렬
Figure 112021075032059-pat00053
의 각각의 행 i에 대해 벡터
Figure 112021075032059-pat00054
를 결정하는 단계;
c. 각각의 행에 대해,
Figure 112021075032059-pat00055
인 델타 공분산 행렬(delta covariance matrix)
Figure 112021075032059-pat00056
을 계산하는 단계;
d. 제1 행렬
Figure 112021075032059-pat00057
으로부터 행 i를 선택하고 선택된 행을 제2 행렬
Figure 112021075032059-pat00058
으로서 저장하는 단계;
e. 중지 기준이 만족되었는지를 판단하는 단계 - 중지 기준이 만족되지 않으면 선택된 행을 제거하여 업데이트된 제1 행렬
Figure 112021075032059-pat00059
을 사용하여 단계 f로 진행하고, 중지 기준이 만족되면 제2 행렬
Figure 112021075032059-pat00060
을 결정된 행렬로서 사용함;
f. 업데이트된 제1 행렬
Figure 112021075032059-pat00061
의 각각의 남아있는 행에 대응되는 의사행렬식(pseudo-determinant)을 계산하는 단계;
g. 선호되는 의사행렬식을 가지는 행을 결정하고, 선호되는 의사행렬식을 가지는 행을 포함하도록 제2 행렬
Figure 112021075032059-pat00062
을 업데이트 하며, 선호되는 의사행렬식을 가지는 행을 제거함으로써 제1 행렬
Figure 112021075032059-pat00063
을 업데이트 하는 단계;
h. 중지 기준이 만족되었는지를 판단하는 단계 - 중지 기준이 만족되지 않으면 선호되는 의사행렬식(preferred pseudo-determinant)을 가지는 행을 제거한 업데이트된 제1 행렬
Figure 112021075032059-pat00064
을 사용하여 단계 f로 복귀하고, 중지 기준이 만족되면 업데이트된 제2 행렬
Figure 112021075032059-pat00065
이 결정된 행렬로 사용됨.
이와 같은 방법으로 행렬을 결정하는 것은, 인쇄된 기판(W)의 파라미터가 어떻게 영향을 받?年쩝嗤? 적은 또는 최소한의 수의 테스트 기판를 사용하면서 측정하는 것이 가능할 수 있음을 의미한다. 이 방법은 적은 또는 최소한의 계측 부하로 정보성이 있는 측정을 구현하는 계측 타겟의 선택이 가능하게 하는 샘플링 방식 최적화를 제공한다. 따라서, 이 방법을 사용하는 것은 계측 부하(즉, 측정될 기판의 수)를 줄이면서도 행렬을 제공할 수 있어 사용자에게 유익하다는 것을 의미한다.
위에서 설명된 방법은 구체적인 디바이스에 의해 처리된 어느 기판을 제2 행렬
Figure 112021075032059-pat00066
의 내용을 기초로 하여 측정할 것인지에 관한 가이드를 제공한다. 이는 기여도 추정에서 우수한 정확도를 가능하게 하면서도 측정 노고를 줄이거나 최소화한다는 점에서 유익하다. 이는 추가적인 기판을 측정하는데 비용-효율적인 대안을 제공한다.
본 방법에서, 기판(W)은 최적의, 가능하게는 제한된, 조합의 스레드(즉, 개개의 기판을 처리하기 위한 구체적인 조합의 디바이스)에 따라 처리될 수 있고, 이는 이러한 기판으로부터의 측정치만이 사용될 수 있다는 것을 의미한다. 가장 유익한 스레드의 이러한 선택은 정확한 컨텍스트 특정 지문 결정을 가져올 수 있다. 위에서 설명된 단계에서 사용된 공분산 분석 기법에는 일반적으로 많은 양의 계산 처리를 실행할 것이 요구되지 않는다. 또한, 이 방법은, 많은 수의 기판(W)이 많은 수의 스레드에 대해 처리된 경우, 컨텍스트 구체적인 지문 정보를 결정하기 위해 어느 성능 파라미터 측정이 수행되어야 하는지를 가이드하는데 사용될 수 있다는 점에서 장점이 있다.
제1 행렬
Figure 112021075032059-pat00067
은 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시하는 사용데이터를 사용한다는 것을 주목하여야 한다. 따라서, 제1 행렬
Figure 112021075032059-pat00068
은 모든 상기 복수의 기판을 처리하는데 사용되는 디바이스의 가능한 조합을 표현할 수 있다.
제1 행렬
Figure 112021075032059-pat00069
은 컨텍스트 믹싱 행렬, 즉, 기판이 거칠 수 있는 모든 가능한 컨텍스트 경로를 지니고 있는 행렬, 즉, 기판을 처리하는데 사용될 수 있는 디바이스의 모든 상이한 가능한 조합으로도 지칭될 수 있다. i 번째 행 벡터에 대한 벡터
Figure 112021075032059-pat00070
은 i 번째 행 벡터로도 지칭될 수 있다. 제1 행이 제2 행렬
Figure 112021075032059-pat00071
에 추가되는 경우 샘플링 방식을 초기화하는 것으로 지칭될 수 있으며, 제1 행렬
Figure 112021075032059-pat00072
의 샘플은 제2 행렬
Figure 112021075032059-pat00073
을 형성하는데 사용된다.
각각의 행에 대한 델타 공분산 행렬
Figure 112021075032059-pat00074
의 크기는 제1 행렬
Figure 112021075032059-pat00075
의 크기를 기초로 할 수 있다. 행렬
Figure 112021075032059-pat00076
이 n_a x n_b의 차원을 가진다면,
Figure 112021075032059-pat00077
는 n_b x n_b의 크기, 즉, 동일한 수의 행과 열을 가지는 정사각형 행렬이다. 설명된 바와 같이, n_b는 디바이스의 양일 수 있고, n_a는 복수의 조합의 디바이스를 표시할 수 있다.
제1 행렬
Figure 112021075032059-pat00078
로부터 행이 선택되고 제2 행렬
Figure 112021075032059-pat00079
에 저장된 경우, 새로운 행은 제2 행렬
Figure 112021075032059-pat00080
의 하단에 첨부될 수 있다. 따라서, 이와 같은 방법으로, 행은 제1 행렬
Figure 112021075032059-pat00081
로부터 제2 행렬
Figure 112021075032059-pat00082
로 전사될 수 있고, 제2 행렬
Figure 112021075032059-pat00083
에 추가되는 행은 순서대로 추가될 수 있다. 이는, 추후 제2 행렬
Figure 112021075032059-pat00084
이 절단될(truncated) 필요가 있는 경우, 일례로 테스트되는 기판의 수를 사용자가 추가적으로 제한할 필요가 있는 경우, 제2 행렬
Figure 112021075032059-pat00085
의 상단에 최적 행을 유지하면서 제2 행렬
Figure 112021075032059-pat00086
이 감소될 수 있으므로 장점이 있다. 또한, 행이 제2 행렬
Figure 112021075032059-pat00087
에 순서대로 추가되는 경우, 사용자는 실험의 바람직한 크기를 보다 쉽게 평가할 수 있다. 예를 들어, 어떠한 관심 파라미터가 기판의 수/기판에 사용된 상이한 조합의 처리 디바이스에 비교될 수 있다. 예를 들어, 파라미터는 실험의 "크기"의 함수로서 도식화된다. 이는
Figure 112021075032059-pat00088
의 몇 개의 행이 특정 임계를 통과하는데 필요한지, 즉, 중지 기준을 만족하는데 필요한지를 체크하는데 사용될 수 있다. 이는 실험의 "크기" (예컨대 샘플링할 기판의 수)를 나타낸다.
전술한 방법은 기본적으로 단계 f 내지 h를 사용하여 제1 행렬
Figure 112021075032059-pat00089
에 남아있는 행들 각각을 조사하여 어느 행이 가장 가치가 있는지를 결정한다. 가장 가치 있는 행은 추가적인 행렬로 추가된다. 추가적인 행렬은 제2 행렬
Figure 112021075032059-pat00090
이다. 달리 말하면, 제2 행렬
Figure 112021075032059-pat00091
은 위에서 설명된 하나 이상의 실시예에 있는 선형 방정식에 사용될 수 있다. 제2 행렬
Figure 112021075032059-pat00092
은 나중에 복수의 디바이스 중의 디바이스의 기여도를 결정하는데 사용될 수 있다. 행이 제1 행렬
Figure 112021075032059-pat00093
으로부터 제거되면, 프로세스가 반복되고 남아있는 행이 탐색되어 남아있는 행의 어느 것이 가장 가치 있는지를 결정한다. 다음으로, 그 다음 가치 있는 행이 제2 행렬
Figure 112021075032059-pat00094
에 추가된다. 이러한 방식으로, 가장 가치 있는 제1 행렬
Figure 112021075032059-pat00095
의 행이 중지 기준이 만족될 때까지 제2 행렬
Figure 112021075032059-pat00096
에 추가될 수 있다. 중지 기준은 아래에 기술되는 바와 같이 여러가지 상이한 옵션에 따라 선택되거나 미리 정해질 수 있다.
위에서 설명한 바와 같이, 각각의 행에 대한 의사행렬식이 선호되는 의사행렬식을 가지는 행을 결정하기 위해 계산된다. 의사행렬식은 각각의 행에 대해 델타 공분산 행렬
Figure 112021075032059-pat00097
를 제3 행렬
Figure 112021075032059-pat00098
에 더함으로써 계산되고, 여기서 제3 행렬
Figure 112021075032059-pat00099
는 제2 행렬
Figure 112021075032059-pat00100
의 공분산이다. 이에 더하여, 제3 행렬
Figure 112021075032059-pat00101
의 합의 행렬식 및 행에 대한 델타 공분산 행렬
Figure 112021075032059-pat00102
이 다음으로 계산될 수 있다. 따라서,
Figure 112021075032059-pat00103
의 행렬식이 계산된다. 모든 반복에서, 즉, 제1 행렬
Figure 112021075032059-pat00104
로부터의 각각의 행 i에 대해,
Figure 112021075032059-pat00105
의 의사행렬식이 평가된다. 이는 현재의 제2 행렬
Figure 112021075032059-pat00106
의 공분산 및
Figure 112021075032059-pat00107
의 합과 같다(제1 행렬
Figure 112021075032059-pat00108
의 행 i에 대해). 이 계산은 제1 행렬
Figure 112021075032059-pat00109
의 모든 행 i에 대해 수행된다. 제3 행렬
Figure 112021075032059-pat00110
는 추적되며, 제2 행렬
Figure 112021075032059-pat00111
의 공분산을 표현한다. 따라서, 제2 행렬
Figure 112021075032059-pat00112
가 업데이트 될 때마다, 제3 행렬
Figure 112021075032059-pat00113
은 그에 따라 업데이트 된다.
제1 행렬
Figure 112021075032059-pat00114
의 행은 상기 복수의 기판을 처리하는데 사용되는 디바이스의 가능한 조합을 표현할 수 있다. 제1 행렬
Figure 112021075032059-pat00115
의 열은 복수의 디바이스 중 하나를 표현할 수 있다. 이 경우에, 제1 행렬
Figure 112021075032059-pat00116
의 행은 해당 각각의 행에 의해 표현되는 복수의 기판을 처리하는데 사용된 디바이스의 각각에 대응하여 0이 아닌 항목을 가질 수 있다. 이와 유사하게, 제1 행렬
Figure 112021075032059-pat00117
은 해당 각각의 행에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스의 각각에 대응하여 0인 항목을 가질 수 있다.
선호되는 의사행렬식이 결정되고 다음으로 이 제2 행렬
Figure 112021075032059-pat00118
에 포함됨을 위에서 설명하였다. 선호되는 의사행렬식은 최적 의사행렬식으로도 지칭될 수 있다. 선호되는 의사행렬식은 가장 큰 값을 가지는 의사행렬식으로 간주될 수 있다. 달리 말하면, 가장 큰 의사행렬식을 달성한 제1 행렬
Figure 112021075032059-pat00119
의 행 n이 제2 행렬
Figure 112021075032059-pat00120
에 추가될 수 있다. 하나 이상의 다른 수단이 사용될 수 있다. 예를 들어, inv(
Figure 112021075032059-pat00121
)의 트레이스(trace)가 사용될 수 있다 (트레이스는 대각 요소들의 합이다). 이 경우, 트레이스의 최소값을 가지는 행은 선호되는 의사행렬식을 가질 수 있다. 대안적으로, v_j의 값은 v_j = sqrt(x_j * inv(
Figure 112021075032059-pat00122
) * x_j') 로 최소화될 수 있으며, 여기서 x_j 은 조합된 [
Figure 112021075032059-pat00123
;
Figure 112021075032059-pat00124
] 행렬의 j번째 행이다. 이 경우, v_j 의 최대값을 가지는 행은 선호되는 의사행렬식을 가질 수 있다.
각각의 행에 대한 델타 공분산 행렬이 계산된 후에는, 제1 행렬
Figure 112021075032059-pat00125
로부터 행이 (최초로 선택된 행으로서) 선택된다. 최초로 선택된 행은 제1 행렬
Figure 112021075032059-pat00126
의 제1 행일 수 있다. 이러한 것이 필수적인 것은 아니지만, 최초로 선택된 행이 단순히 제1 행렬
Figure 112021075032059-pat00127
의 제1 행이라는 점에서 프로세스를 단순화한다.
위에서 설명한 바와 같이, 행렬을 결정하기 위한 프로세스는 중지 기준이 만족되면 중지될 수 있다. 중지 기준은 여러가지 상이한 선택된 기준의 하나 이상을 기초로 할 수 있다. 중지 기준은 핵심 성능 지표로서도 지칭될 수 있다. 중지 기준은 미리 정해지거나 선택된 값을 가질 수 있다. 그러나, 이 값은 예를 들어 사용자의 선택에 따라 변경될 수 있다.
예를 들어, 제2 행렬
Figure 112021075032059-pat00128
에 사용된 행의 수가 미리 정해진 값에 도달하면 중지 기준이 만족될 수 있다. 이에 더하여 또는 이를 대체하여, 제1 행렬
Figure 112021075032059-pat00129
의 모든 행이 제2 행렬
Figure 112021075032059-pat00130
에서 사용되면 중지 기준이 만족될 수 있다. 이에 더하여 또는 이를 대체하여, 성능 파라미터의 값이 미리 정해진 값에 도달하면 중지 기준이 만족될 수 있다. 성능 파라미터는 기판에 관련된 임의의 파라미터일 수 있다. 예를 들어, 성능 파라미터는: i. 임계 치수, 오버레이, 임계 치수 균일성, 라인 에지 배치, 정렬, 포커스, 패턴 시프트, 라인 에지 거칠기, 마이크로 토폴로지, 및/또는 에지 배치 오차; 및/또는 ii. 측벽 각, 레지스트 높이, 및/또는 컨택홀 이심률과 같은 피처의 형상 기술(shape description); 및/또는 iii. 바닥 반사방지 코팅 두께 및/또는 레지스트 두께 등의 코팅 두께, 및/또는 굴절율 및/또는 흡광 계수와 같이 흡수의 척도를 표시할 수 있는 코팅의 광학적 특성과 같은 처리 파라미터; 및/또는 iv. 결함 및/또는 전기적 성능 등의 수율 파라미터와 같은, 기판 측정으로부터 결정되는 파라미터;로부터 선택되는 하나 이상일 수 있다. 사용자가 여기에 기술된 중지 기준에 추가적이거나 대안적으로 사용될 수 있는 다른 중지 기준을 선택할 수 있다는 것을 이해할 것이다.
제1 행렬
Figure 112021075032059-pat00131
의 모든 행이 사용된 경우, 가장 최적의 또는 더욱 최적의 행은 제2 행렬
Figure 112021075032059-pat00132
의 상단 쪽으로 제공될 수 있기 때문에, 행은 제2 행렬
Figure 112021075032059-pat00133
내에서 관련성에 의해 효과적으로 소팅될 수 있다. 따라서, 제2 행렬
Figure 112021075032059-pat00134
의 행 목록은 나중에 감소된 크기로 절단될 수 있다. 달리 말하면, 제1 행렬
Figure 112021075032059-pat00135
의 모든 행이 제2 행렬
Figure 112021075032059-pat00136
에 사용된 경우, 결과적인 행렬은 나중에 절단되어 미리 정해진 데이터 세트와 같은 다른 중지 기준과 동일한 효과를 초래할 수 있다.
본 방법의 위의 단계는 다양한 결정, 계산, 및 선택 단계를 포함할 수 있다. 이러한 각각의 단계 중에 및 후에 사용되는 데이터는 적절한 데이터 저장 매체 (예를 들어, 반도체 메모리, 자기 또는 광 디스크)에 저장될 수 있다는 점을 이해할 수 있을 것이다.
추가적인 실시예에서, 기여도는 행렬의 사용(비록 행렬 방정식이 선택사항으로 사용될 수는 있지만)을 요구하지 않고 결정된다. 이 실시예는 행렬의 사용을 제외하면 이전의 실시예와 본질적으로 동일한다. 이 실시예는 사용 데이터를 사용하여 파라미터 데이터의 변동을 분석하는 단계를, 즉, 측정 데이터 상에 "변이 분석"(ANOVA)을 수행하는 단계를 포함할 수 있다. 이 실시예에서는, 일반적인 아이디어는, 사용 데이터에 따라 측정 데이터를 그룹화할 때 측정 데이터 사이의 변동을 평가하는 것이다. 따라서, 이 방법은 분석된 변동을 사용하여 측정 데이터를 그룹화함으로써 각각의 디바이스에 대하여 파라미터의 기여도를 결정하는 단계를 포함한다. 또한, 다양한 파라미터로부터의 지문에 대한 기여도가 지문 모델을 사용하여 평가될 수 있다. 이는 데이터의 주성분 분석 계수(로딩(loading)이라고도 함)에 기반한 지문의 모델을 사용하여 가장 효율적으로 행해진다.
파라미터의 지문에 대한 디바이스의 기여도를 결정하기 위해, 본 방법은 측정 지점 마다 ANOVA 절차(예를 들어 매트랩(Matlab)의 "anovan")를 사용하고, 각각의 클래스의 디바이스에 대해 디바이스마다 그룹 측정의 평균과 광역(global) 평균의 차이를 불러오고, 분해된 측정값으로 취하는 것을 포함할 수 있다. 요구되는 경우 모든 분해된 측정은 지문 모델로 근사될 수 있다.
본 실시예의 방법은 디바이스를 제어하는데 사용될 수 있다. 디바이스 중 적어도 하나는 해당 디바이스의 파라미터의 지문에 대해 결정된 기여도를 기초로 하여 제어될 수 있다. 따라서, 디바이스에 대해 결정된 기여도는 해당 디바이스에 의해 기판의 처리가 수행되는 방식을 변경하는데 사용될 수 있다. 달리 말하면, 구체적인 디바이스에 의해 의도치 않게 초래된 지문에서의 임의의 오차나 변동은 그 결정된 파라미터 기여도를 기초로 하여 디바이스를 제어함으로써 감소되거나 제거될 수 있다. 이에 더하여 또는 이를 대체하여, 리소 툴(100)을 포함하는 다른 디바이스가 결정된 파라미터 기여도를 기초로 하여 제어될 수 있다. 설명된 바와 같이, 파라미터는 여러 상이한 것들일 수 있다. 파라미터는 디바이스에 의해 적용되는 제어에 직접적으로 또는 간접적으로 영향을 주는 임의의 파라미터일 수 있다.
행렬의 행은 기판을 표현할 수 있고, 특히, 제1 클래스의 디바이스 중 적어도 하나의 디바이스 및 제2 클래스의 디바이스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현할 수 있으며, 열은 상기 복수의 디바이스 중 하나를 표현하는 것으로 위에서 설명되었다. 그러나, 행과 열은 스위칭 될 수 있음을 이해할 수 있을 것이다. 따라서, 행렬의 행은 상기 복수의 디바이스 중 하나를 표현할 수 있고, 열은 기판을 표현할 수 있다. 달리 말하면, 위에서 설명된 행렬은 전치(transpose)될 수 있다.
일반적으로, 위에서 설명된 방법은 적어도 2개의 클래스에 대해, 및 적어도 2개의 클래스 내의 적어도 2개의 디바이스에 대해 사용되며, 이는 행렬을 초과결정(overdetermined)되게 한다. 이론적으로, 적어도 하나의 클래스는 단지 하나의 디바이스를 가질 수 있고, 이는 여전히 복수의 디바이스의 효과를 결정하는데 유용할 것이다. 적어도 하나의 클래스는 수학식 7 및 8과 관련하여 위에서 설명된 예들에서 보인 바와 같이 2개를 초과하는 상이한 디바이스를 포함할 수 있다. 이론적으로, 오직 하나의 클래스의 디바이스와 해당 클래스 내의 다수의 디바이스가 있을 수 있다. 이 경우에도, 예를 들어 이러한 디바이스를 기초로 평균 지문을 획득하는데 여전히 유용할 것이다. 대안적으로, 설명에서 제1 클래스 및 제2 클래스가 언급되지만, 2개를 초과하는 상이한 클래스가 있을 수 있다. 클래스는 위에서 기술한 타입의 클래스, 기술된 클래스 중 적어도 하나와 적어도 하나의 추가적인 클래스의 조합을 포함할 수 있고, 또는 상이한 클래스들이 제공될 수 있다. 상이한 수의 클래스 그리고 각각의 클래스 내에 상이한 수의 디바이스가 있을 수 있다.
일 실시예로서, 복수의 디바이스 중의 디바이스로부터의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성되는 프로세스를 포함하는 시스템이 제공된다. 프로세서는 여기에서의 임의의 실시예에 따른 방법을 수행하도록 구성된다. 프로세서는 자동화된 프로세스 제어(APC) 시스템 및/또는 감독 제어 시스템의 일부이거나 이에 연결될 수 있다.
프로세서는 복수의 디바이스 중의 디바이스로부터의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성될 수 있고, 프로세서는: 파라미터 데이터 및 사용 데이터를 획득하고; 사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하도록; 구성되되, 여기서 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 각각의 기판의 처리에 사용된 디바이스를 표시한다.
일 실시예로서, 컴퓨터 프로그램은 복수의 디바이스 중의 디바이스로부터의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하는 방법을 기술하는 하나 이상의 시퀀스의 기계 판독가능한 명령을 포함하여 제공된다. 여기의 임의의 방법은 하나 이상의 시퀀스의 기계 판독가능한 명령을 포함하는 컴퓨터 프로그램을 사용하여 구현될 수 있다. 그러한 프로그램을 비일시적 형태로 저장하는 데이터 기록매체 (예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)가 또한 제공될 수 있다.
복수의 디바이스 중의 디바이스로부터의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도의 결정을 제어하기 위해 프로그램이 제공된다. 프로그램은: 파라미터 데이터 및 사용 데이터를 획득하는 단계; 및 사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하는 단계;를 포함하는 방법을 수행하기 위한 명령을 포함할 수 있고, 여기서 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 상기 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시할 수 있다. 프로그램은 여기에 기술된 임의의 방법의 단계를 수행하기 위한 명령을 포함할 수 있다.
컴퓨터 프로그램은, 일례로 도 1의 제어 유닛(LACU), 또는 다른 제어기 내에서, 일례로 계측 장치(140)를 포함하는 계측 시스템 내에서, 또는 진보된 프로세스 제어 시스템 또는 별개의 권고 툴(advisory tool)에서 실행될 수 있다. 프로그램은, 선택사항으로서, 자동화된 프로세스 제어(APC) 시스템 및/또는 감독 제어 시스템의 일부이거나 이에 이해 접근 가능한 메모리에 저장될 수 있다.
본 명세서의 추가 실시예는 아래의 번호가 매겨진 실시예 목록으로 기재된다:
1. 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하는 방법으로서,
파라미터 데이터 및 사용 데이터를 획득하는 단계; 및
사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하는 단계;를 포함하되, 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 상기 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
2. 실시예 1에서, 사용 데이터를 사용하는 행렬을 결정하는 단계를 더 포함하고, 지문에 대한 기여도의 결정은 파라미터 데이터를 사용하는 행렬을 포함하는 방정식의 해를 구하는 단계를 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
3. 실시예 2에서, 행렬 방정식은 행렬의 변환된 버전에 파라미터 데이터를 곱함으로써 복수의 디바이스 중의 디바이스의 기여도를 결정하기 위해 풀이되고, 선택사항으로 행렬의 변환된 버전은 행렬의 역행렬인, 파라미터의 지문에 대한 기여도를 결정하는 방법.
4. 실시예 2 또는 실시예 3에서, 행렬의 행은 디바이스의 제1 클래스 중 적어도 하나의 디바이스 및 디바이스의 제2 클래스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현하고, 행렬의 열은 상기 복수의 디바이스 중 하나를 표현하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
5. 실시예 2 내지 실시예 4 중 어느 하나에서, 행렬의 행은 해당 각각의 행에 의해 표현되는 기판을 처리하는데 사용되는 디바이스의 각각에 대응하여 0이 아닌 항목을 가지고, 해당 각각의 행에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대해 0인 항목을 가지는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
6. 실시예 2 또는 실시예 3에서, 행렬의 열은 디바이스의 제1 클래스 중 적어도 하나의 디바이스 및 디바이스의 제2 클래스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현하고, 행렬의 행은 상기 복수의 디바이스 중 하나를 표현하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
7. 실시예 2, 실시예 3, 또는 실시예 6에서, 행렬의 열은 해당 각각의 행 또는 열에 의해 표현되는 기판을 처리하는데 사용되는 디바이스의 각각에 대응하여 0이 아닌 항목을 가지고, 해당 각각의 열에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대해 0인 항목을 가지는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
8. 실시예 2 내지 7 중 어느 하나에서, 행렬을 결정하는 단계는:
a. 상기 복수의 기판을 처리하는데 사용되는 디바이스의 가능한 조합을 표현하는 제1 행렬
Figure 112021075032059-pat00137
을 생성하는 단계;
b. 제1 행렬
Figure 112021075032059-pat00138
의 각각의 행 i에 대해 벡터
Figure 112021075032059-pat00139
를 결정하는 단계;
c. 각각의 행에 대해,
Figure 112021075032059-pat00140
인 델타 공분산 행렬(delta covariance matrix)
Figure 112021075032059-pat00141
을 계산하는 단계;
d. 제1 행렬
Figure 112021075032059-pat00142
으로부터 행 i를 선택하고 선택된 행을 제2 행렬
Figure 112021075032059-pat00143
으로서 저장하는 단계;
e. 중지 기준이 만족되었는지를 판단하는 단계 - 중지 기준이 만족되지 않으면 선택된 행을 제거하여 업데이트된 제1 행렬
Figure 112021075032059-pat00144
을 사용하여 단계 f로 진행하고, 중지 기준이 만족되면 제2 행렬
Figure 112021075032059-pat00145
을 결정된 행렬로서 사용함;
f. 업데이트된 제1 행렬
Figure 112021075032059-pat00146
의 각각의 남아있는 행에 대응되는 의사행렬식(pseudo-determinant)을 계산하는 단계;
g. 선호되는 의사행렬식을 가지는 행을 결정하고, 선호되는 의사행렬식을 가지는 행을 포함하도록 제2 행렬
Figure 112021075032059-pat00147
을 업데이트 하며, 선호되는 의사행렬식을 가지는 행을 제거함으로써 제1 행렬
Figure 112021075032059-pat00148
을 업데이트 하는 단계;
h. 중지 기준이 만족되었는지를 판단하는 단계 - 중지 기준이 만족되지 않으면 선호되는 의사행렬식(preferred pseudo-determinant)을 가지는 행을 제거한 업데이트된 제1 행렬
Figure 112021075032059-pat00149
을 사용하여 단계 f로 복귀하고, 중지 기준이 만족되면 업데이트된 제2 행렬
Figure 112021075032059-pat00150
이 결정된 행렬로 사용됨 -; 을 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
9. 실시예 8에서, 각각의 행에 대한 의사행렬식은:
a. 각각의 행에 대하여 델타 공분산 행렬
Figure 112021075032059-pat00151
를 제3 행렬
Figure 112021075032059-pat00152
에 더하는 단계 - 여기서 제3 행렬
Figure 112021075032059-pat00153
는 제2 행렬
Figure 112021075032059-pat00154
의 공분산임; 및
b. 제3 행렬
Figure 112021075032059-pat00155
의 합의 행렬식 및 행에 대한 델타 공분산 행렬,
Figure 112021075032059-pat00156
를 계산하는 단계;에 의해 계산되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
10. 실시예 8 또는 실시예 9에서, 제1 행렬
Figure 112021075032059-pat00157
의 행은 상기 복수의 기판을 처리하는데 사용되는 디바이스의 가능한 조합을 표현하고, 제1 행렬
Figure 112021075032059-pat00158
의 열은 상기 복수의 디바이스 중 하나를 표현하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
11. 실시예 10에서, 제1 행렬
Figure 112021075032059-pat00159
의 행은, 해당 각각의 행에 의해 표현되는 복수의 기판을 처리하는데 사용된 디바이스의 각각에 대응하여 0이 아닌 항목을 가지고, 해당 각각의 행에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스의 각각에 대응하여 0인 항목을 가지는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
12. 실시예 8 내지 실시예 11 중 어느 하나에서, 최적 의사행렬식은 가장 큰 값을 가지는 의사행렬식인, 파라미터의 지문에 대한 기여도를 결정하는 방법.
13. 실시예 8 내지 실시예 12 중 어느 하나에서, 단계 d에서 최초로 선택된 행은은 제1 행렬
Figure 112021075032059-pat00160
의 제1 행인, 파라미터의 지문에 대한 기여도를 결정하는 방법.
14. 실시예 8 내지 실시예 13 중 어느 하나에서, 성능 파라미터의 값이 미리 정해진 값에 도달하면 중지 기준이 만족되고, 선택사항으로, 성능 파라미터는:
i. 임계 치수, 오버레이, 임계 치수 균일성, 라인 에지 배치, 정렬, 포커스, 패턴 시프트, 라인 에지 거칠기, 마이크로 토폴로지, 및/또는 에지 배치 오차; 및/또는
ii. 측벽 각, 레지스트 높이, 및/또는 컨택홀 이심률과 같은 피처의 형상 기술(shape description); 및/또는
iii. 바닥 반사방지 코팅 두께 및/또는 레지스트 두께 등의 코팅 두께, 및/또는 굴절율 및/또는 흡광 계수와 같이 흡수의 척도를 표시할 수 있는 코팅의 광학적 특성과 같은 처리 파라미터; 및/또는
iv. 결함 및/또는 전기적 성능 등의 수율 파라미터와 같은, 기판 측정으로부터 결정되는 파라미터;에서 선택되는 하나 이상인, 파라미터의 지문에 대한 기여도를 결정하는 방법.
15. 실시예 8 내지 실시예 14 중 어느 하나에서, 제2 행렬
Figure 112021075032059-pat00161
에 사용된 행의 수가 미리 정해진 값에 도달하면 중지 기준이 만족되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
16. 실시예 8 내지 실시예 15 중 어느 하나에서, 제1 행렬
Figure 112021075032059-pat00162
의 모든 행이 제2 행렬
Figure 112021075032059-pat00163
에서 사용되면 중지 기준이 만족되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
17. 실시예 2 내지 실시예 16 중 어느 하나에서, 행렬은 적어도 하나의 부분-설계 행렬을 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
18. 실시예 17에서, 부분-설계 행렬은 0인 항목 부분-설계 행렬 또는 0이 아닌 부분-설계 행렬일 수 있고, 0인 항목 부분-설계 행렬은 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대응되며, 0이 아닌 부분-설계 행렬은 기판을 처리하는데 사용되는 디바이스의 각각에 대응되고, 0이 아닌 항목 부분-설계 행렬은 각각의 디바이스 및 기판으로부터 지문에 대한 모델링된 기여도를 기초로 하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
19. 실시예 2 내지 실시예 18 중 어느 하나에서, 행렬을 포함하는 방정식은:
i. 선택사항으로 정규화를 사용하는, 그리고 추가적인 선택사항으로 L-커브(L-curve) 방법 및/또는 리브-원-아웃 교차 검증(leave-one-out cross validation)을 사용하는, 최소 자승 근사; 및/또는
ii. 베이지안 통계(Bayesian statistics);를 사용하여 풀이되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
20. 실시예 1 내지 실시예 19 중 어느 하나에서, 사용 데이터를 사용하여 파라미터 데이터의 변동을 분석하는 단계를 더 포함하되, 디바이스에 관해 파라미터에 대한 기여도의 결정은 분석된 변동을 사용하여 데이터를 그룹화하는 단계를 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
21. 실시예 1 내지 실시예 20 중 어느 하나에서, 디바이스 중 적어도 하나는 해당 디바이스의 파라미터의 지문에 대해 결정된 기여도를 기초로 하여 제어되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
22. 실시예 1 내지 실시예 21 중 어느 하나에서, 파라미터는:
i. 임계 치수, 오버레이, 임계 치수 균일성, 라인 에지 배치, 정렬, 포커스, 패턴 시프트, 라인 에지 거칠기, 마이크로 토폴로지, 및/또는 에지 배치 오차; 및/또는
ii. 측벽 각, 레지스트 높이, 및/또는 컨택홀 이심률과 같은 피처의 형상 기술(shape description); 및/또는
iii. 바닥 반사방지 코팅 두께 및/또는 레지스트 두께 등의 코팅 두께, 및/또는 굴절율 및/또는 흡광 계수와 같이 흡수의 척도를 표시할 수 있는 코팅의 광학적 특성과 같은 처리 파라미터; 및/또는
iv. 결함 및/또는 전기적 성능 등의 수율 파라미터와 같은, 기판 측정으로부터 결정되는 파라미터;로부터 선택되는 하나 이상인, 파라미터의 지문에 대한 기여도를 결정하는 방법.
23. 실시예 1 내지 실시예 22 중 어느 하나에서, 디바이스의 타입은 에칭 디바이스, 증착 툴, 기판 테이블, 연마 디바이스, 어닐링 디바이스, 세정 디바이스, 코팅 디바이스, 현상 디바이스, 이식 디바이스, 및/또는 베이킹 디바이스를 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
24. 실시예 1 내지 실시예 23 중 어느 하나에서, 파라미터 데이터는 측정치와 동일할 수 있는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
25. 실시예 1 내지 실시예 23 중 어느 하나에서, 파라미터 데이터는 처리된 측정을 기초로 할 수 있고, 측정은 다항식 모델이나 선형 모델과 같은 모델의 주성분 분석 또는 근사를 사용하여 처리되는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
26. 실시예 1 내지 실시예 25 중 어느 하나에서, 상기 복수의 디바이스의 각각은 다수의 기판 중 적어도 하나를 처리하는데 사용된, 파라미터의 지문에 대한 기여도를 결정하는 방법.
27. 실시예 1 내지 실시예 26 중 어느 하나에서, 디바이스의 적어도 2개의 상이한 클래스가 있고, 적어도 2개의 클래스는 동일한 타입의 적어도 2개의 디바이스를 포함하는, 파라미터의 지문에 대한 기여도를 결정하는 방법.
28. 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성되는 프로세서를 포함하는 시스템으로서, 프로세서는 적어도:
파라미터 데이터 및 사용 데이터를 획득하고;
사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하도록; 구성되되, 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 상기 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시하는, 프로세서를 포함하는 시스템.
29. 복수의 디바이스 중의 디바이스의 기판의 처리에 연관된 파라미터의 지문에 대한 기여도의 결정을 제어하기 위한 프로그램으로서, 프로그램은 적어도:
파라미터 데이터 및 사용 데이터를 획득하는 단계; 및
사용 데이터 및 파라미터 데이터를 사용하여 기여도를 결정하는 단계;를 수행하기 위한 명령을 포함하되, 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하고, 사용 데이터는 상기 복수의 디바이스 중에 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 표시하는, 파라미터의 지문에 대한 기여도의 결정을 제어하기 위한 프로그램.
결론
결론적으로, 본 명세서는 파라미터의 지문에 대한 디바이스의 기여도를 결정하기 위한 방법을 기술한다. 이는 복수의 디바이스 중의 단일 디바이스로부터의 기여도를 결정할 수 있게 한다. 이는 기판을 처리하는데 사용된 디바이스의 성능을 진단하는데 및/또는 기판을 처리하는데 사용된 디바이스를 제어하는데 특히 유용하다.
개시된 방법은 디바이스의 파라미터의 지문에 대한 기여도가 결정되는 리소그래피 장치 및 리소그래피 장치를 동작시키는 방법을 제공한다.
디바이스의 파라미터의 지문에 대한 기여도를 결정하는 임의의 또는 모든 단계는 임의의 적합한 처리 장치에서 수행될 수 있으며, 이 처리 장치는 도 1의 설비에서 임의의 곳에 위치하거나, 설비로부터 물리적으로 떨어져 있을 수 있다. 본 방법의 단계는 장치의 별개의 부분에서 수행될 수 있다.
기여도, 파라미터 데이터, 및/또는 사용 데이터는 도 1의 감독 제어 시스템에서, 또는 리소 툴 제어 유닛(LACU)에서 계산될 수 있다. 이들은 원격 시스템에서 계산될 수 있고, 이후에 설비에 통신될 수 있다. 임의의 모델 및 측정 데이터는 처리 장치에 개별적으로 전달될 수 있으며, 처리 장치는 그 다음 기여도를 결정하는 일부분으로서 이들을 결합할 수 있다.
위의 본 방법 및 변형은 리소그래피 장치를 사용하여 수행되는 것으로 기술되었다. 그러나, 하나 이상의 다른 장치가 사용될 수 있다. 리소그래피 제조 공정의 처리 단계는 본 발명의 원리가 적용될 수 있는 단지 일례에 불과하다. 리소그래피 프로세스의 다른 부분들 및 다른 타입의 제조 공정은 또한 본 명세서에 기재된 방식으로 수정된 추정 및 보정을 생성함으로부터 도움을 받을 수 있다.
당업자는 본 개시 내용을 고려하여 이러한 및 다른 수정예 및 변형예를 고려할 수 있다. 본 발명의 폭과 범위는 위에서 설명된 예시적인 실시예의 여하한 것에 의하여도 한정되지 않으며, 이하의 특허청구범위 및 그 균등물에 따라 정해져야 한다.

Claims (15)

  1. 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체로서,
    상기 프로그램은 복수의 디바이스 중의 디바이스의, 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하는 것을 제어하기 위한 것이고, 상기 프로그램은 적어도:
    파라미터 데이터 및 사용 데이터를 획득하는 것 ― 상기 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하는 것이고, 상기 사용 데이터는 상기 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 나타내는 것임 ―;
    상기 사용 데이터에 따라 상기 파라미터 데이터를 그룹화하는 것;
    그룹화된 파라미터 데이터에 기초하여 상기 기여도를 결정하는 것
    을 수행하기 위한 명령을 포함하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  2. 제1항에 있어서,
    상기 사용 데이터를 사용하는 행렬을 결정하기 위한 명령을 더 포함하되,
    상기 지문에 대한 상기 기여도의 결정은 상기 파라미터 데이터를 사용하여 상기 행렬을 포함하는 방정식의 해를 구하는 것을 포함하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  3. 제2항에 있어서,
    행렬 방정식은 상기 행렬의 변환된 버전에 상기 파라미터 데이터를 곱함으로써 복수의 디바이스 중의 디바이스의 기여도를 결정하도록 풀이되는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  4. 제2항에 있어서,
    상기 행렬의 행은 디바이스의 제1 클래스 중 적어도 하나의 디바이스 및 디바이스의 제2 클래스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현하고, 상기 행렬의 열은 상기 복수의 디바이스 중 하나를 표현하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  5. 제2항에 있어서,
    상기 행렬의 행은, 해당 각각의 행에 의해 표현되는 기판을 처리하는 데 사용되는 디바이스의 각각에 대응하여 0이 아닌 항목을 가지고, 해당 각각의 행에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대해 0인 항목을 가지는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  6. 제2항에 있어서,
    상기 행렬의 열은 디바이스의 제1 클래스 중 적어도 하나의 디바이스 및 디바이스의 제2 클래스 중 적어도 하나의 디바이스에 의해 처리된 기판을 표현하고, 상기 행렬의 행은 상기 복수의 디바이스 중 하나를 표현하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  7. 제2항에 있어서,
    상기 행렬의 열은, 해당 각각의 행 또는 열에 의해 표현되는 기판을 처리하는데 사용되는 디바이스의 각각에 대응하여 0이 아닌 항목을 가지고, 해당 각각의 열에 의해 표현되는 기판을 처리하는데 사용되지 않은 복수의 디바이스 중의 디바이스에 대해 0인 항목을 가지는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  8. 제2항에 있어서,
    상기 행렬을 포함하는 방정식은:
    i. 최소 자승 근사; 및
    ii. 베이지안 통계(Bayesian statistics)
    중 하나 또는 양자 모두를 사용하여 풀이되는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  9. 제1항에 있어서,
    상기 사용 데이터를 사용하여 상기 파라미터 데이터의 변동을 분석하기 위한 명령을 더 포함하되, 상기 파라미터 데이터를 그룹화하는 것은 분석된 변동에 기초하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  10. 제1항에 있어서,
    상기 디바이스 중 적어도 하나는 해당 디바이스의 파라미터의 지문에 대해 결정된 기여도를 기초로 하여 제어되는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  11. 제1항에 있어서,
    디바이스의 타입은 에칭 디바이스, 증착 툴, 기판 테이블, 연마 디바이스, 어닐링 디바이스, 세정 디바이스, 코팅 디바이스, 현상 디바이스, 이식 디바이스, 및 베이킹 디바이스 중 하나 이상을 포함하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  12. 제1항에 있어서,
    상기 파라미터 데이터는 처리된 측정을 기초로 할 수 있고, 상기 측정은 모델의 근사 또는 주성분 분석 기법을 사용하여 처리되는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  13. 제1항에 있어서,
    상기 복수의 디바이스의 각각은 상기 다수의 기판 중 적어도 하나를 처리하는데 사용된 것인, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  14. 제1항에 있어서,
    디바이스의 적어도 2개의 상이한 클래스가 있고, 적어도 2개의 클래스는 동일한 타입의 적어도 2개의 디바이스를 포함하는, 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  15. 복수의 디바이스 중의 디바이스의, 기판의 처리에 연관된 파라미터의 지문에 대한 기여도를 결정하도록 구성되는 프로세서를 포함하는 시스템으로서, 상기 프로세서는 적어도:
    파라미터 데이터 및 사용 데이터를 획득하되, 상기 파라미터 데이터는 상기 복수의 디바이스에 의해 처리된 다수의 기판에 대한 측정을 기초로 하는 것이고, 상기 사용 데이터는 상기 복수의 디바이스 중의 어느 디바이스가 각각의 기판의 처리에 사용되었는지를 나타내는 것이며;
    상기 사용 데이터에 따라 상기 파라미터 데이터를 그룹화하고;
    그룹화된 파라미터 데이터에 기초하여 상기 기여도를 결정하도록 구성되는, 프로세서를 포함하는 시스템.
KR1020217020308A 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법 KR102373843B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762523531P 2017-06-22 2017-06-22
US62/523,531 2017-06-22
US201862639481P 2018-03-06 2018-03-06
US62/639,481 2018-03-06
KR1020197018863A KR102273132B1 (ko) 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법
PCT/EP2018/063527 WO2018233966A1 (en) 2017-06-22 2018-05-23 METHOD FOR DETERMINING THE CONTRIBUTION TO A DIGITAL IMPRINT

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018863A Division KR102273132B1 (ko) 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20210084671A KR20210084671A (ko) 2021-07-07
KR102373843B1 true KR102373843B1 (ko) 2022-03-11

Family

ID=62386438

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217020308A KR102373843B1 (ko) 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법
KR1020197018863A KR102273132B1 (ko) 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197018863A KR102273132B1 (ko) 2017-06-22 2018-05-23 지문에 대한 기여도를 결정하기 위한 방법

Country Status (7)

Country Link
US (3) US10816904B2 (ko)
EP (1) EP3523698A1 (ko)
KR (2) KR102373843B1 (ko)
CN (2) CN110168447B (ko)
DE (2) DE112018000173B4 (ko)
TW (2) TWI780404B (ko)
WO (1) WO2018233966A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018233966A1 (en) * 2017-06-22 2018-12-27 Asml Netherlands B.V. METHOD FOR DETERMINING THE CONTRIBUTION TO A DIGITAL IMPRINT
WO2021001114A1 (en) * 2019-07-04 2021-01-07 Asml Netherlands B.V. Method and apparatus for determining feature contribution to performance
US11868119B2 (en) * 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150079700A1 (en) 2013-09-13 2015-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US20160246185A1 (en) 2013-10-02 2016-08-25 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process
WO2016162231A1 (en) 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5611059A (en) * 1994-09-02 1997-03-11 Square D Company Prelinked parameter configuration, automatic graphical linking, and distributed database configuration for devices within an automated monitoring/control system
JP3597280B2 (ja) * 1995-11-28 2004-12-02 株式会社リコー 統合シミュレーション装置及び回路シミュレーション用パラメータ抽出方法
US6477685B1 (en) * 1999-09-22 2002-11-05 Texas Instruments Incorporated Method and apparatus for yield and failure analysis in the manufacturing of semiconductors
JP3626448B2 (ja) * 2001-11-28 2005-03-09 株式会社東芝 露光方法
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
WO2011104613A1 (en) * 2010-02-23 2011-09-01 Carl Zeiss Sms Ltd. Critical dimension uniformity correction by scanner signature control
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
WO2016202560A1 (en) 2015-06-18 2016-12-22 Asml Netherlands B.V. Calibration method for a lithographic apparatus
EP3279737A1 (en) * 2016-08-05 2018-02-07 ASML Netherlands B.V. Diagnostic system for an industrial process
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3396456A1 (en) * 2017-04-25 2018-10-31 ASML Netherlands B.V. Method of monitoring and device manufacturing method
US11106141B2 (en) * 2017-04-28 2021-08-31 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
WO2018233966A1 (en) * 2017-06-22 2018-12-27 Asml Netherlands B.V. METHOD FOR DETERMINING THE CONTRIBUTION TO A DIGITAL IMPRINT

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150079700A1 (en) 2013-09-13 2015-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US20160246185A1 (en) 2013-10-02 2016-08-25 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process
WO2016162231A1 (en) 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology

Also Published As

Publication number Publication date
CN110168447A (zh) 2019-08-23
CN110168447B (zh) 2021-07-06
US20190271919A1 (en) 2019-09-05
US10816904B2 (en) 2020-10-27
KR20210084671A (ko) 2021-07-07
US20220342319A1 (en) 2022-10-27
CN113376976A (zh) 2021-09-10
TW202020939A (zh) 2020-06-01
TWI685881B (zh) 2020-02-21
TW201905968A (zh) 2019-02-01
KR20190086555A (ko) 2019-07-22
US11378891B2 (en) 2022-07-05
TWI780404B (zh) 2022-10-11
US20210003927A1 (en) 2021-01-07
DE112018008256B3 (de) 2023-08-31
DE112018000173T5 (de) 2019-08-22
DE112018000173B4 (de) 2022-12-15
EP3523698A1 (en) 2019-08-14
KR102273132B1 (ko) 2021-07-05
WO2018233966A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
KR102513021B1 (ko) 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
KR102555175B1 (ko) 패터닝 프로세스용 보정 결정 방법
KR102427132B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
US11099485B2 (en) Maintaining a set of process fingerprints
CN112272796B (zh) 使用指纹和演化分析的方法
US20220342319A1 (en) Method for determining contribution to a fingerprint
KR102580686B1 (ko) 제품 유닛들의 제조를 위한 공정들의 시퀀스의 최적화
EP3579051A1 (en) Generation of sampling scheme
KR102209725B1 (ko) 메트롤로지 시스템의 성능을 예측하는 장치 및 방법
WO2024046691A1 (en) Method for configuring a field of view of an inspection apparatus
TW202347042A (zh) 度量衡方法及其相關聯裝置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant