DE102018103231B4 - Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben - Google Patents

Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben Download PDF

Info

Publication number
DE102018103231B4
DE102018103231B4 DE102018103231.5A DE102018103231A DE102018103231B4 DE 102018103231 B4 DE102018103231 B4 DE 102018103231B4 DE 102018103231 A DE102018103231 A DE 102018103231A DE 102018103231 B4 DE102018103231 B4 DE 102018103231B4
Authority
DE
Germany
Prior art keywords
pattern
aci
opc
wafer
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018103231.5A
Other languages
English (en)
Other versions
DE102018103231A1 (de
Inventor
Ki-Soo Kim
No-Young Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102018103231A1 publication Critical patent/DE102018103231A1/de
Application granted granted Critical
Publication of DE102018103231B4 publication Critical patent/DE102018103231B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)

Abstract

Verfahren zur optischen Nahbereichskorrektur (OPC), aufweisend:ein Vorbereiten von Basisdaten für die OPC (S110);ein Messen einer kritischen Abmessung (CD) eines Photoresistmusters (PR) bei Inspektion nach der Entwicklung (ADI) mit einem Rasterelektronenmikroskop (REM) in Bezug auf eine Probe (S122);ein Messen einer CD bei Inspektion nach Reinigung (ACI) eines mit dem PR-Muster gebildeten Wafermusters mit dem REM (S124);ein Erzeugen von CD-Daten der Probe, die die durch die REM-Messung verursachte PR-Schrumpfung widerspiegeln, unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters (S125, S126); undein Erzeugen eines OPC-Modells (S130, S 140) basierend auf den Basisdaten und den CD-Daten der Probe.

Description

  • HINTERGRUND
  • Gebiet
  • Ausführungsformen beziehen sich auf ein Verfahren zur Herstellung einer Maske, insbesondere auf ein Verfahren zur optischen Nahbereichskorrektur (OPC) und ein Verfahren zur Herstellung einer Maske unter Verwendung des OPC-Verfahrens.
  • Beschreibung der verwandten Technik
  • In einem Halbleitererzeugungsprozess kann ein Photolithographieprozess unter Verwendung einer Maske durchgeführt werden, um ein Muster auf einem Halbleitersubstrat, z.B. auf einem Wafer oder dergleichen, zu bilden. Die Maske kann als Musterübertragungselement definiert werden, wobei ein Muster mit einem opaken Material auf einem transparenten Trägermaterial gebildet wird.
  • Bei einem Maskenerzeugungsprozess kann zuerst eine gewünschte Schaltung entworfen werden, ein Layout der Schaltung kann entworfen werden, und Designdaten, die durch eine optische Nahbereichskorrektur (OPC) erhalten werden, können als Masken-Tape-Out (MTO)-Designdaten bereitgestellt werden. Anschließend kann ein Maskendatenaufbereitungsprozess (MDP) basierend auf den MTO-Designdaten durchgeführt werden, und eine Maske kann durch einen Front-End-of-Line (FEOL)-Prozess und einen Back-End-of-Line (BEOL)-Prozess hergestellt werden.
  • Aus der Druckschrift US 2012 / 0 237 859 A1 ist ein Verfahren zum Herstellen einer Fotomaske bekannt, die eine optische Nahbereichskorrektur OPC eines Maskenmusters basierend auf einer angenäherten (d. h. einer vorhergesagten) kritischen Abmessung (CD) eines unter Verwendung der Fotomaske gebildeten Filmmusters aufweist. Zuerst wird eine Fotomaske bereitgestellt, ein fotoempfindliches Filmmuster wird durch einen lithografischen Prozess unter Verwendung der Fotomaske gebildet, eine CD des fotoempfindlichen Filmmusters wird unter Verwendung eines Rasterelektronenmikroskops (SEM) bestimmt und ein Wert der CD des fotoempfindlichen Filmmusters, zu einem Zeitpunkt, bevor das Filmmuster durch das REM geschrumpft wurde, durch Messen der CD unter Verwendung eines Referenzmikroskops (z. B. eines AFM) und des REM oder nur durch Verwendung des REM in mehreren Schritten angenähert.
  • ZUSAMMENFASSUNG
  • Nach einem Aspekt von Ausführungsformen wird ein OPC-Verfahren bereitgestellt, das aufweist: die Vorbereitung von Basisdaten für OPC, die Messung einer kritischen Abmessung (CD) eines Photolacks (PR) bei Inspektion nach der Entwicklung (ADI) und einer CD bei Inspektion nach der Reinigung (ACI) eines Wafermusters, das unter Verwendung des PR-Musters gebildet wurde, in Bezug auf eine Probe, und Erzeugen von CD-Daten der Probe, die eine durch REM-Messung verursachte PR-Schrumpfung widerspiegeln, unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters, und Erzeugen eines OPC-Modells basierend auf den Basisdaten und den CD-Daten der Probe.
  • Nach einem anderen Aspekt der Ausführungsformen, ist ein OPC-Verfahren vorgesehen, das eine Vorbereitung der Basisdaten für OPC, ein Messen, mit einem Rasterelektronenmikroskop (REM), einer kritischen Abmessung (CD) bei Inspektion nach der Entwicklung (ADI) eines Photolack (PR)-Musters und einer CD bei Inspektion nach der Reinigung (ACI) eines Wafer-Musters, das unter Verwendung des PR-Musters gebildet wurde, in Bezug auf eine Probe, ein Korrigieren der ADI-CD des PR-Musters durch Verwendung der ACI-CD des Wafermusters zur Erzeugung von CD-Daten der Probe, ein Erzeugen eines OPC-Modells basierend auf den Basisdaten und den CD-Daten der Probe, ein Überprüfen und Auswählen des OPC-Modells, ein Verifizieren des OPC-Modells und ein Durchführen einer Simulation unter Verwendung des OPC-Modells aufweist.
  • Nach einem weiteren Aspekt der Ausführungsformen ist ein Verfahren zur Herstellung einer Maske vorgesehen, wobei das Verfahren aufweist: ein Durchführen eines OPC-Verfahrens, das ein Messen einer ADI-CD eines PR-Musters und einer ACI-CD eines mit dem PR-Muster gebildeten Wafermusters mittels eines REM in Bezug auf eine Probe, und ein Erzeugen eines OPC-Modells, das die durch REM-Messung verursachte PR-Schrumpfung widerspiegelt, unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters umfasst; und ein Liefern der durch das OPC-Verfahren erfassten Designdaten als Masken-Tape-Out (MTO)-Designdaten; ein Vorbereiten von Maskendaten basierend auf den MTO-Designdaten; und ein Durchführen einer Belichtung an einem Substrat für eine Maske basierend auf den Maskendaten.
  • Nach noch einem weiteren Aspekt der Ausführungsformen ist ein Verfahren zur Herstellung einer Halbleitervorrichtung vorgesehen, wobei das Verfahren aufweist: ein Durchführen eines OPC-Verfahrens, das ein Messen einer ADI-CD eines PR-Musters und einer ACI-CD eines unter Verwendung des PR-Musters gebildeten Wafermusters in Bezug auf eine Probe und die Erzeugung eines OPC-Modells umfasst, das die durch die REM-Messung verursachte PR-Schrumpfung unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters widerspiegelt (oder berücksichtigt); und ein Bereitstellen von durch das OPC-Verfahren erfassten Designdaten als MTO-Designdaten; ein Vorbereiten von Maskendaten basierend auf den MTO-Designdaten; ein Durchführen eines Belichtungs-, Entwicklungs- und Ätzprozesses an einem Substrat für eine Maske, basierend auf den Maskendaten, um eine Maske herzustellen; und ein Herstellen einer Halbleitervorrichtung durch einen Lithographieprozess unter Verwendung der Maske.
  • Figurenliste
  • Merkmale werden dem Fachmann ersichtlich werden durch die detaillierte Beschreibung exemplarischer Ausführungsformen mit Bezug auf die beigefügten Zeichnungen, in denen:
    • 1 ein Flussdiagramm eines Verfahrens zur optischen Nahbereichskorrektur (OPC) gemäß einer Ausführungsform zeigt;
    • 2A eine schematische Darstellung der Konfiguration eines Rasterelektronenmikroskops (REM) zeigt, das in dem OPC-Verfahren gemäß einer Ausführungsform eingesetzt wird;
    • 2B und 2C konzeptionelle Diagramme eines Phänomens darstellen, das auftritt, wenn eine kritische Abmessung (CD) eines PR-Musters mit dem REM von 2A gemessen wird;
    • 3 ein konzeptionelles Diagramm eines Phänomens zeigt, das in einem Belichtungsprozess für Negativton-Entwicklung (engl. Negative Tone Development = NTD) PR auftritt;
    • 4 ein detailliertes Flussdiagramm der in 1 dargestellten Erzeugung von CD-Daten einer Probe zeigt;
    • 5A bis 5D Querschnittsansichten von Stufen in einem Prozess der Messung einer CD eines PR-Musters bei Inspektion nach Reinigung (ACI) und einer ACI CD eines Wafermusters bei der in 4 dargestellten Erzeugung der CD-Daten einer Probe darstellen;
    • 6A bis 6C Diagramme von REM-Schiefe-Daten (bzw. REM-Skew-Daten) darstellen, die auf das OPC-Verfahren von 1 für verschiedene Muster angewendet werden;
    • 7A und 7B graphische Darstellungen des Konzepts einer T2T-CD von 6B bzw. des Konzepts einer T2S-CD von 6C zeigen;
    • 8 einen Graphen von ΔCD, das auf ein durch ein Vergleichsverfahren erzeugtes OPC-Modell angewendet wird, und von REM-Schiefe-Daten, die auf das OPC-Verfahren von 1 angewendet werden, darstellt;
    • 9 eine Tabelle zum Vergleich eines durch ein Vergleichsverfahren erzeugten OPC-Modells mit einem durch das OPC-Verfahren von 1 erzeugten OPC-Modell zeigt;
    • 10 ein Flussdiagramm eines Verfahrens zur Herstellung einer Maske nach gemäß einer Ausführungsform zeigt; und
    • 11 ein Flussdiagramm eines Verfahrens zur Herstellung eines Halbleiterbauelements gemäß einer Ausführungsform zeigt.
  • DETAILLIERTE BESCHREIBUNG
  • 1 ist ein Flussdiagramm, das die Vorgänge einer optischen Nahbereichskorrektur (OPC) gemäß einer Ausführungsform darstellt.
  • Bezug nehmend auf 1 werden Basisdaten für OPC vorbereitet (Vorgang S110), z.B. kann die Basisdatenvorbereitung die Messung von Mustern auf einem Wafer oder auf einem Computerbildschirm mittels Analog- oder Computertools beinhalten. Die Basisdaten können Daten, z.B. Informationen über eine Form von Mustern einer Probe (z.B. Art oder Form von Mustern auf einem Substrat), eine Lage der Muster der Probe (z.B. eine Position der Muster auf einem Substrat), eine Art der Messung (z.B. eine Messung von einem Zwischenraum oder einer Linie der Muster) und einen Grundmesswert enthalten. Darüber hinaus können die Basisdaten zusätzliche Informationen enthalten, z.B. eine Dicke eines Fotolacks (PR), einen Brechungsindex des PR und eine Dielektrizitätskonstante des PR, sowie eine Quellenkarte für einen Beleuchtungssystemtyp. Die Basisdaten sind jedoch nicht auf die oben genannten Daten beschränkt.
  • Als Referenz, da ein Muster minimiert wird, kann ein optischer Nahbereichseffekt (OPE) durch einen Einfluss zwischen benachbarten Mustern in einem Belichtungsprozess verursacht werden. Das OPC-Verfahren bezieht sich daher auf ein Verfahren, das ein Layout eines Musters korrigiert, um das Auftreten des OPE zu verhindern. Das OPC-Verfahren kann weitgehend in ein regelbasiertes OPC-Verfahren und ein simulationsbasiertes oder modellbasiertes OPC-Verfahren unterteilt werden. Beispielsweise kann das OPC-Verfahren nach der vorliegenden Ausführungsform das modellbasierte OPC-Verfahren sein. Das modellbasierte OPC-Verfahren verwendet nur Messergebnisse von repräsentativen Mustern, ohne dass eine große Anzahl (oder alle) der Testmuster gemessen werden müssen, was einen Zeit- und Kostenvorteil bedeutet.
  • Das OPC-Verfahren kann ein Verfahren zum Hinzufügen von sublithografischen Merkmalen, die Serifen genannt werden, zu den Ecken eines Musters oder ein Verfahren zum Hinzufügen von sub-Auflösungs-Hilfsmerkmalen (SRAFs), wie z.B. Streubalken, zusätzlich zur Modifikation eines Layouts eines Musters beinhalten. Hier können die Serifen tetragonale Merkmale sein, die jeweils in den Ecken eines Musters positioniert werden, und können verwendet werden, um Ecken eines Musters zu schärfen oder einen Verzerrungsfaktor zu kompensieren, der durch Überschneidung von Mustern verursacht wird. Das SRAF kann ein Hilfsmerkmal sein, das eingeführt wurde, um eine OPC-Abweichung zu lösen, die durch eine Dichtedifferenz zwischen den Mustern verursacht wird, und kann ein Merkmal sein, das so geformt ist, dass es eine Größe kleiner als eine Auflösung der Belichtungsausrüstung hat und nicht auf eine Resistschicht übertragen wird.
  • Als nächstes werden Bezug nehmend auf 1 die Daten der kritischen Abmessung (CD) einer Probe erzeugt, die die PR-Schrumpfung durch Rasterelektronenmikroskopie (REM) widerspiegelt (Vorgang S120).
  • Im Allgemeinen umfasst das OPC-Verfahren ein Messen der CD von Mustern in einer Probe unter Verwendung eines REM, ein Erzeugen eines OPC-Modells basierend auf den durch das Messen erhaltenen CD-Daten der Probe und ein Durchführen einer Simulation unter Verwendung des OPC-Modells, um dadurch Maskendesign-Daten nahe den tatsächlichen Messdaten zu erhalten, die sequentiell ausgeführt werden. Bei der Messung der CD der Probe durch das REM wird jedoch Energie durch einen Elektronenstrahl (e-Strahl) auf den PR aufgebracht, was zu einer Schrumpfung des PR führt und somit kann durch die Schrumpfung des PR ein Fehler in den durch die REM-Messung erhaltenen CD-Daten der Probe auftreten.
  • Der Fehler in den CD-Daten der Probe kann einen Fehler aufgrund einer Verformung des PR-Musters und/oder einer Verzerrung des Detektionssignals enthalten. Wenn also das OPC-Modell aus den CD-Daten der Probe erzeugt wird, die durch die REM-Messung erhalten wurden, kann es aufgrund des Fehlers in den CD-Daten der Probe zu einem Fehler im OPC-Modell kommen. Dadurch kann ein Fehler in dem OPC-Verfahren auftreten, d.h. ein Fehler in der Simulation mit dem OPC-Modell. Die bei der Messung der CD eines PR-Musters durch das REM auftretende PR-Schrumpfung und die Differenz zwischen der CD eines tatsächlichen PR-Musters und der CD des durch das REM gemessenen PR-Musters werden im Folgenden anhand von 3 bis 4B näher beschrieben.
  • Im Gegensatz dazu kann bei dem OPC-Verfahren nach der vorliegenden Ausführungsform das oben beschriebene Problem durch die Erzeugung von CD-Daten einer Probe gelöst werden, die eine PR-Schrumpfung aufgrund der REM-Messung widerspiegeln. Ein detaillierter Prozess zur Erzeugung von CD-Daten einer Probe, die eine PR-Schrumpfung aufgrund der REM-Messung widerspiegeln, wird im Folgenden anhand von 2A bis 5D näher beschrieben. Als Referenz werden in dem bestehenden OPC-Verfahren die CD-Daten einer Probe empirisch korrigiert und die korrigierten CD-Daten werden verwendet zur Erzeugung eines OPC-Modells, d.h. zu der OPC-Modellierung. Es können jedoch geringfügig unterschiedliche Ergebnisse aus denselben Proben-CD-Daten erzielt werden, und es gibt kein eindeutiges Kriterium für die Korrektur eines Fehlers in den CD-Daten einer Probe. Es gibt also eine Grenze bei der Verbesserung der Genauigkeit der CD-Daten der Probe.
  • Der Vorgang (Vorgang S110) der Vorbereitung der Basisdaten und der Vorgang (Vorgang S120) der Erzeugung der CD-Daten der Probe kann in beliebiger Reihenfolge durchgeführt werden. Beispielsweise kann zuerst der Vorgang (Vorgang S110) zur Vorbereitung der Basisdaten oder zuerst der Vorgang (Vorgang S120) zur Erzeugung der CD-Daten der Probe durchgeführt werden.
  • Nach Vorbereitung der Basisdaten (S110) und Erzeugung der CD-Daten der Probe (S120) wird ein optisches OPC-Modell erzeugt (Vorgang S130). Die Erzeugung des optischen OPC-Modells kann die Optimierung einer defokussierten Startposition (DS) und einer Position des besten Fokus (BF) in einem Belichtungsprozess beinhalten. Darüber hinaus kann die Erzeugung des optischen OPC-Modells die Optimierung eines optischen Zustands der Belichtungsgeräte selbst oder eines Lichtbeugungsphänomens beinhalten. Die Erzeugung des optischen OPC-Modells ist jedoch nicht darauf beschränkt. Beispielsweise kann die Erzeugung des optischen OPC-Modells verschiedene Prozesse im Zusammenhang mit einem optischen Phänomen in den Belichtungsprozess einbeziehen. Bei der Erzeugung des optischen OPC-Modells können die oben beschriebenen CD-Daten der Probe verwendet werden.
  • Nach der Erzeugung des optischen OPC-Modells wird ein OPC-Modell für den PR erzeugt (Vorgang S140). Die Erzeugung des OPC-Modells für den PR kann die Optimierung eines Schwellenwertes des PR beinhalten. Der Schwellenwert des PR bezeichnet dabei einen Schwellenwert, bei dem eine chemische Veränderung im Belichtungsprozess eintritt. Beispielsweise kann der Schwellenwert als Intensität des Belichtungslichts angegeben werden. Die Erzeugung des OPC-Modells für den PR kann auch die Auswahl einer geeigneten Modellform aus verschiedenen PR-Modellformen beinhalten. Dabei kann jede der PR-Modellformen eine Zusammensetzung der chemischen Eigenschaften des PR sein. Beispielsweise kann eine erste PR-Modellform die chemischen Eigenschaften 1, 2 und 3 und eine zweite PR-Modellform die chemischen Eigenschaften 1, 3 und 4 enthalten. Beispielsweise können auch bei der Erzeugung des OPC-Modells für den PR die CD-Daten des oben beschriebenen Beispiels verwendet werden.
  • Das optische OPC-Modell und das OPC-Modell für den PR werden gemeinsam als ein OPC-Modell bezeichnet. So kann ein Prozess der Erzeugung des optischen OPC-Modells und ein Prozess der Erzeugung des OPC-Modells für den PR gemeinsam als ein Prozess der Erzeugung des OPC-Modells bezeichnet werden, d.h. ein OPC-Modellierungsprozess. Im Folgenden wird, wenn nicht anders angegeben, das OPC-Modell verwendet, um auf ein integrales Modell einschließlich des optischen OPC-Modells und des OPC-Modells für den PR zu verweisen.
  • Nach der Erzeugung des OPC-Modells wird das OPC-Modell geprüft und ausgewählt (Vorgang S150). Die Überprüfung des OPC-Modells kann z.B. durch eine RMS-Berechnung (Berechnung des quadratischen Mittelwerts) für einen CD-Fehler erfolgen. Nach der Überprüfung des OPC-Modells wird das OPC-Modell ausgewählt. Konkret wird zunächst die RMS-Berechnung für den CD-Fehler am erzeugten OPC-Modell durchgeführt und geprüft, ob ein durch die RMS-Berechnung gewonnener RMS-Wert (quadratischer Mittelwert) innerhalb einer vorgegebenen Spezifikation liegt. Liegt der RMS-Wert innerhalb der Spezifikation (innerhalb Spezifikation), wird das erzeugte OPC-Modell ausgewählt. Weicht der RMS-Wert von der Spezifikation ab (außerhalb Spezifikation), wird das erzeugte OPC-Modell verworfen und ein Vorgang zur Erzeugung eines anderen OPC-Modells, d.h. der Vorgang (Vorgang S130) zur Erzeugung des optischen OPC-Modells, erneut durchgeführt.
  • Bei Auswahl eines OPC-Modells wird das ausgewählte OPC-Modell verifiziert (Vorgang S160). Die Verifikation des OPC-Modells kann durch Anwendung des OPC-Modells auf andere als die bei der Erzeugung des OPC-Modells verwendeten Muster erfolgen. Wenn das OPC-Modell auf die verschiedenen Muster angewendet wird und das Ergebnis im Wesentlichen das gleiche ist (innerhalb Spezifikation), ist die Verifikation des OPC-Modells abgeschlossen. Wenn das gleiche Ergebnis nicht erreicht wird (außerhalb Spezifikation), wird ein Vorgang zur Erzeugung eines anderen OPC-Modells, d.h. der Vorgang (Vorgang S130) zur Erzeugung des optischen OPC-Modells, erneut durchgeführt.
  • Nach Abschluss der Verifikation des OPC-Modells erfolgt eine Simulation mit dem OPC-Modell (Vorgang S170). Durch die Simulation mit dem OPC-Modell können Maskendesigndaten nahe an den tatsächlichen Messdaten gewonnen werden. Die Maskendesigndaten können als Masken-Tape-Out (MTO)-Designdaten für die Maskenerzeugung an ein Maskenfertigungsteam übertragen werden.
  • Das OPC-Verfahren nach der vorliegenden Ausführungsform kann die Genauigkeit des OPC-Modells verbessern und dadurch das OPC-Verfahren optimieren, indem es CD-Daten einer Probe erzeugt, die die durch die REM-Messung verursachte PR-Schrumpfung widerspiegeln, und die CD-Daten der Probe für die OPC-Modellierung verwendet. Darüber hinaus kann das OPC-Verfahren nach der vorliegenden Ausführungsform ein klares Kriterium für die Korrektur eines Fehlers in den CD-Daten der Probe aufgrund der PR-Schrumpfung aufgrund der REM-Messung liefern, indem es die PR-Schrumpfung aufgrund der REM-Messung in den CD-Daten der Probe nach einer vorgegebenen Regel widerspiegelt. Ein Verfahren zur Widerspiegelung der durch die REM-Messung verursachten PR-Schrumpfung in den CD-Daten der Probe nach einer vorgegebenen Regel wird im Folgenden in Bezug auf 2A bis 5D näher beschrieben.
  • 2A ist ein schematisches Konfigurationsdiagramm eines REM 200, das in dem OPC-Verfahren gemäß der vorliegenden Ausführungsform verwendet wird, und 2B und 2C sind Konzeptdiagramme, die ein Phänomen veranschaulichen, das auftritt, wenn die CD eines PR-Musters mit dem REM 200 von 2A gemessen wird.
  • Bezogen auf 2A bis 2C, wie oben beschrieben, um CD-Daten einer Probe zu erzeugen, kann die CD der PR-Muster in der Probe mit dem REM 200 gemessen werden. Das REM 200 kann eine Elektronenkanone 210, eine Anode 220, eine Magnetlinse 230, eine Abtastspule 240, einen ersten Detektor 250, einen zweiten Detektor 260, einen Scanner 270 und einen 280 Objekttisch enthalten.
  • Die Elektronenkanone 210 kann z.B. eine Schottky-Elektronenkanone oder eine thermoelektrisch-emittierende Elektronenkanone sein. Ein Elektronenstrahl kann durch Anlegen einer Beschleunigungsspannung an die Elektronenkanone 210 emittiert werden. Die Anode 220 ist eine Beschleunigungselektrode, und der Elektronenstrahl wird durch eine Spannung zwischen der Elektronenkanone 210 und der Anode 220 beschleunigt. Die magnetische Linse 230 kann den Elektronenstrahl fokussieren und beschleunigen. Die Abtastspule 240 kann den Elektronenstrahl auf einer Probe, d.h. einem Probenwafer 290, ein- oder zweidimensional abtasten. Der erste Detektor 250 detektiert rückgestreute Elektronen, wenn der Elektronenstrahl auf den Probenwafer 290 gestrahlt wird, und der zweite Detektor 260 detektiert sekundäre Elektronen von dem Probenwafer 290, die durch Elektronenbestrahlung erzeugt werden. Der Scanner 270 kann Detektionssignale für die von den ersten und zweiten Detektoren 250 und 260 detektierten Elektronen analysieren, um ein Bild für ein PR-Muster oder ein Wafermuster auf dem Probenwafer 290 zu erzeugen. Außerdem kann der Scanner 270 eine Abtastrichtung von Elektronenstrahlen steuern, indem er ein Hochfrequenz-Steuersignal an die Abtastspule 240 anlegt.
  • Der Objekttisch 280 ist ein Ort, an dem der Probenwafer 290 platziert wird, und der Probenwafer 290 kann auf der Oberseite des Objekttischs 280 platziert werden. Der Objekttisch 280 kann den Probenwafer 290 in x-Richtung, y-Richtung oder z-Richtung durch eine lineare Bewegung in x-Richtung, y-Richtung oder z-Richtung bewegen. Obwohl nicht dargestellt, kann eine Objektivlinse direkt über dem Objekttisch 280 platziert werden, und die Objektivlinse kann einen Elektronenstrahl, der von der Scanspule 240 abgelenkt wird, auf der Oberseite des Probenwafers 290 konvergieren.
  • 2B zeigt einen Querschnitt eines auf dem Probenwafer 290 gebildeten PR-Musters PRbe in Form von Linie-und-Zwischenraum, z.B. kann das PR-Muster PRbe eine Mehrzahl von trapezförmigen Mustern auf dem Probenwafer 290 enthalten, die voneinander beabstandet sind. 2B zeigt die Form des PR-Musters PRbe, bevor die CD-Messung mit dem REM 200 durchgeführt wird. Das PR-Muster PRbe kann eine erste CD CD1 in einem Zwischenraumabschnitt haben, z.B. die Abstände zwischen den benachbarten Trapezmustern, und eine zweite CD CD2 in einem Linienteil, z.B. den Trapezmustern. Im PR-Muster PRbe von 2B wird der Zwischenraumanteil nach unten geschmälert, z.B. ein umgekehrt trapezförmiger Querschnitt, und der Linienanteil nach unten verbreitert, z.B. ein trapezförmiger Querschnitt. Die Struktur des PR-Musters PRbe ist nicht darauf beschränkt. Beispielsweise kann eine Seitenfläche des Zwischenraumabschnitts oder des Linienabschnitts ein im Wesentlichen vertikales Profil haben, z.B. einen viereckigen Querschnitt, oder eine der Struktur von 2B entgegengesetzte Struktur, basierend auf dem PR-Material, das das PR-Muster PRbe bildet, oder Belichtungsbedingungen in einem Belichtungsprozess.
  • 2C zeigt einen Querschnitt eines PR-Musters PRme bei der CD-Messung mit dem REM 200. Bei der CD-Messung mit dem REM 200 kann ein Elektronenstrahl (e-Strahl) auf den PR abgetastet und die Energie des Elektronenstrahls kann auf den PR angewendet werden. Die auf den PR angewendete Energie kann den PR schrumpfen lassen und zu einer Veränderung des PR-Musters PRme führen. Beispielsweise kann durch Schrumpfung des PR die Breite eines Zwischenraumabschnitts des PR-Musters PRme vergrößert und die Breite des Linienteils verkleinert werden. In 2C zeigt eine gestrichelte Linie einen Zustand vor der PR-Schrumpfung und eine durchgezogene Linie einen Zustand nach der PR-Schrumpfung an. Mit anderen Worten, in 2C kann eine erste CD CD1' des vom REM 200 gemessenen Raumabschnitts größer sein als die erste CD CD1 eines ursprünglichen Zwischenraumabschnitts vor der Messung (in 2B), und eine zweite CD CD2' des vom REM 200 gemessenen Linienabschnitts kann kleiner sein als eine zweite CD CD2 eines ursprünglichen Linienabschnitts vor der Messung (in 2B). Somit kann eine CD eines vom REM 200 gemessenen PR-Musters einen Fehler aufweisen, der einer Differenz zwischen einer CD eines ursprünglichen PR-Musters vor der Messung durch das REM 200 (CD1/CD2) und einer CD eines durch die Messung des REM 200 modifizierten PR (CD1'/CD2') entspricht. Wenn eine CD eines PR-Musters mit einem solchen Fehler als CD-Daten einer Probe verwendet wird, können Fehler in der OPC-Modellierung und daraus folgende Fehler in einem OPC-Verfahren resultieren.
  • 3 ist ein konzeptionelles Diagramm, das ein Phänomen veranschaulicht, das in einem Belichtungsprozess für Negativtonentwicklungs (NTD)-PR auftritt. Der NTD-PR bezeichnet einen PR, bei dem ein nicht in einem Entwicklungsprozess belichteter Teil entfernt und ein belichteter Teil beibehalten wird. Positivtonentwicklungs (PTD)-PR, der das Gegenteil von einem NTD-PR ist, bezeichnet einen PR, bei dem ein belichteter Teil durch einen Entwicklungsprozess entfernt wird.
  • Unter Bezugnahme auf 3 wird ein Belichtungsprozess durch Bestrahlung des NTD-PR mit ultraviolettem (UV) oder extremem UV (EUV) Licht durchgeführt („Belichten“). Nach der Belichtung erfolgt ein Wärmebehandlungsvorgang durch Wärmebehandlung („Wärmebehandlung nach Belichtung (PEB)“). Und dann wird ein Entwicklungsprozess durchgeführt, um einen nicht belichteten NTD-PR-Teil zu entfernen und einen belichteten NTD-PR-Teil („Belichten“) zu belassen. Wenn im Belichtungs- und Wärmebehandlungsprozess Energie in einen NTD-PR-Anteil eingebracht wird, schrumpft der NTD-PR. Wie in 3 dargestellt, kann es im verbleibenden NTD-PR-Anteil zu einer seitlichen und vertikalen Schrumpfung kommen. So kann beim NTD-PR aufgrund der Tatsache, dass die PR-Schrumpfung je nach Energieanwendung erfolgt, ausreichend vorhergesagt werden, dass die PR-Schrumpfung durch die Energiezufuhr eines Elektronenstrahls auch bei der CD-Messung durch ein REM auftreten kann.
  • Als Referenz kann beim PEB-Verfahren auch Energie in einen unbelichteten Teil durch Wärmebehandlung eingebracht werden, um dadurch eine PR-Schrumpfung zu bewirken. Die chemischen Eigenschaften des unbelichteten Teils unterscheiden sich jedoch von denen des belichteten Teils, so dass ein PR-Schrumpfungsphänomen im unbelichteten Teil anders sein kann als im belichteten Teil. Da jedoch der unbelichtete Teil entfernt wird, wird die PR-Schrumpfung im unbelichteten Teil nicht angezeigt.
  • Im Übrigen wird beim PTD-PR in einem Entwicklungsprozess ein freiliegender Teil entfernt und ein unbelichteter Teil bleibt als PR-Muster erhalten. Aus Sicht der Energieanwendung kann ein aus dem PTD-PR gebildetes PR-Muster einem Zustand entsprechen, in dem eine relativ geringe Energie in den PTD-PR eingebracht wird, verglichen mit einem aus dem NTD-PR erzeugten PR-Muster. Mit anderen Worten, das aus dem NTD-PR gebildete PR-Muster ist ein Teil, in den im Belichtungsprozess Energie eingebracht wird, während das aus dem PTD-PR gebildete PR-Muster ein Teil ist, in den im Belichtungsprozess keine Energie eingebracht wird. Die Schrumpfung des PR kann im Zustand der anfänglichen Energieanwendung relativ groß sein und dann allmählich abnehmen. Infolgedessen kann vorhergesagt werden, dass eine relativ große PR-Schrumpfung bei der CD-Messung mit dem REM an dem aus PTD-PR erzeugten PR-Muster auftreten kann, vielmehr als bei der CD-Messung mit dem REM, die an dem aus dem NTD-PR erzeugten PR-Muster durchgeführt wird.
  • 4 ist ein Flussdiagramm, das den in 1 dargestellten Vorgang (Vorgang S120) der Erzeugung von CD-Daten einer Probe mehr im Detail zeigt, und 5A bis 5D sind Querschnittsansichten, die einen Prozess der Messung einer CD eines PR-Musters bei Inspektion nach Entwicklung (ADI) und einer CD eines Wafermusters bei Inspektion nach Reinigung (ACI) in dem Vorgang von 4 illustrieren. Die bereits oben genannten Beschreibungen unter Bezugnahme auf 1 werden nur kurz beschrieben oder weggelassen.
  • Bezug nehmend auf 4 und 5A wird ein PR-Muster 120 auf dem Probenwafer 290 gebildet (Vorgang S121). Der Probenwafer 290 und das PR-Muster 120 können einer Probe entsprechen. Das PR-Muster 120 kann durch einen Belichtungsprozess und einen Entwicklungsprozess gebildet werden, nachdem der Probenwafer 290 mit PR beschichtet wurde. Das PR-Muster 120 kann aus NTD-PR oder PTD-PR gebildet werden. Das PR-Muster 120 kann in Form von Linie-und-Zwischenraum gebildet werden. Linie-und-Zwischenraum können sich in einer Richtung zu oder von einer Papierebene weg erstrecken. Nachdem das PR-Muster 120 gebildet wurde, kann ein Linienabschnitt des PR-Musters 120 eine erste CD CD_ad0 haben. Die Ausgangs-CD CD_ad0 entspricht einem CD-Wert eines Linienabschnitts eines aktuellen PR-Musters 120 als ein CD-Wert vor der Messung durch das REM, d.h. die Ausgangs-CD CD_ad0 entspricht einem horizontalen Abstand zwischen zwei gegenüberliegenden Seitenwänden eines gleichen Linienmusters 120 (5A). Die ursprüngliche CD CD_ad0 kann jedoch nicht durch physikalische Messungen bestätigt werden.
  • Die Probe kann in einen ersten Bereich Bereich_1 und einen zweiten Bereich Bereich_2 unterteilt werden. Der erste Bereich Bereich _1 kann ein Bereich sein, in dem die CD des PR-Musters darin durch ein REM gemessen wird, und der zweite Bereich Bereich 2 kann ein Bereich sein, in dem die CD des PR-Musters darin nicht durch das REM gemessen wird. Eine Mehrzahl von PR-Mustern, die gleich sind, können in jedem der ersten und zweiten Bereiche Bereich_1 und Bereich_2 enthalten sein. Wie in 5A dargestellt, kann vor der CD-Messung durch das REM die CD eines Linienabschnitts eines PR-Musters 120 im ersten Bereich Bereich _1 und die CD eines Linienabschnitts eines PR-Musters 120 im zweiten Bereich Bereich _2 gleich der Ausgangs-CD CD_ad0 sein.
  • Bezug nehmend auf 4 und 5B wird nach der Bildung des PR-Musters 120 eine ADI-CD eines Linienabschnitts eines PR-Musters 120a gemessen, z.B. nur im ersten Bereich Bereich_1 der Probe durch das REM (Vorgang S122). Im Allgemeinen wird die CD-Messung für ein PR-Muster als ADI-CD-Messung bezeichnet, da das PR-Muster durch einen Entwicklungsprozess gebildet wird und die CD-Messung für das PR-Muster nach dem Entwicklungsprozess durchgeführt wird. Andererseits wird die CD-Messung für ein in einem Wafer oder einem Materialfilm auf dem Wafer durch Ätzen als ACI-CD-Messung oder (AEI) CD-Messung bei Inspektion nach Ätzen bezeichnet. ACI ist hier ein Begriff, da die Reinigung in der Regel nach dem Ätzen und die CD-Messung für ein Wafermuster oder ein Materialfilmmuster nach der Reinigung durchgeführt wird.
  • Wie man an einer gestrichelten Linie und einer durchgezogenen Linie in 5B erkennen kann, kann die Schrumpfung des PR durch die ADI-CD-Messung durch das REM verursacht werden. Das heißt, die gestrichelte Linie zeigt einen Zustand vor dem Auftreten der PR-Schrumpfung an und die durchgezogene Linie zeigt einen Zustand nach dem Auftreten der PR-Schrumpfung an. Dementsprechend kann der Linienabschnitt des PR-Musters 120a vor der Korrektur eine ADI-CD CD_ad1 haben, d.h. CD_ad1 (durchgezogene Linie in 5B) entspricht einem horizontalen Abstand zwischen zwei gegenüberliegenden Seitenwänden eines gleichen geschrumpften Linienmusters 120a (5B) nach Durchführung der ADI-CD-Messung durch das REM.
  • Die ADI-CD CD_ad1 vor der Korrektur, d.h. nach der ADI-CD-Messung durch das REM, kann kleiner sein als die ursprüngliche CD CD_ad0. Zum Beispiel, wie in 5B dargestellt, nachdem der Elektronenstrahl z.B. nur in den Bereich_1 von Bereich_1 und Bereich_2 durch das REM eingestrahlt wurde, kann der Linienabschnitt des PR-Musters 120a eine ADI-CD CD_ad1 haben, die kleiner ist als die ursprüngliche CD CD_ad0 in Bereich _2 (der nicht bestrahlt wurde).
  • Bezug nehmend auf 4 und 5C wird der Probenwafer 290 mit den PR-Mustern 120a im Bereich_1 und den PR-Mustern 120 im Bereich_2 nach der Messung der ADI-CD des PR-Musters 120a im ersten Bereich Bereich _1 zum Bilden von Wafermustern geätzt (Vorgang S123). Die resultierenden Wafermuster können ein erstes Wafermuster 110P1 im ersten Bereich Bereich _1 und ein zweites Wafermuster 110P2 im zweiten Bereich Bereich 2 enthalten. Im Einzelnen kann das erste Wafermuster 110P1 durch Verwendung des PR-Musters 120a des ersten Bereichs Bereich_1 als Maske und das zweite Wafermuster 110P2 durch Verwendung des PR-Musters 120 des zweiten Bereichs Bereich_2 als Maske gebildet werden. So kann die Breite eines Linienabschnitts des ersten Wafermusters 110P1 kleiner sein als die Breite eines Linienabschnitts des zweiten Wafermusters 110P2.
  • Die Breiten der Linienabschnitte des PR-Musters 120a und des ersten Wafermusters 110P1 im ersten Bereich Bereich_1 stimmen je nach den Bedingungen des Ätzprozesses möglicherweise nicht überein. Außerdem stimmen die Breiten der Linienabschnitte des PR-Musters 120 und des zweiten Wafermusters 110P2 im zweiten Bereich Bereich _2 möglicherweise nicht überein. Wenn jedoch eine Ätzprozessbedingung im ersten Bereich Bereich _1 die gleiche ist wie im zweiten Bereich Bereich 2, kann die Breite eines Linienabschnitts eines Wafermusters, das durch einen Linienabschnitt eines PR-Musters mit einer schmalen Breite gebildet wird, geringer sein als die Breite eines Linienabschnitts eines Wafermusters, das durch einen Linienabschnitt eines PR-Musters mit einer breiten Breite gebildet wird. So kann die Breite des Linienabschnitts des ersten Wafermusters 110P1 kleiner sein als die Breite des Linienabschnitts des zweiten Wafermusters 110P2, d.h. entsprechend den jeweiligen Mustern 120a und 120.
  • Bezug nehmend auf 4 und 5D wird nach der Bildung der Wafermuster 110P1 und 110P2 eine ACI-CD eines Wafermusters im ersten Bereich Bereich_1, d.h. des ersten Wafermusters 110P1, und eine ACI-CD eines Wafermusters im zweiten Bereich Bereich_2, d.h. des zweiten Wafermusters 110P2, gemessen (Vorgang S124). Die ACI-CD-Messung für das erste Wafermuster 110P1 und die ACI-CD-Messung für das zweite Wafermuster 110P2 können mit einem REM durchgeführt werden. Da das Material des ersten und zweiten Wafermusters 110P1 und 110P2 jedoch ein Halbleitermaterial ist, z.B. Silizium, kann es bei der Messung einer ACI-CD eines Wafermusters mit dem REM kaum zu einer Schrumpfung des Probenwafers 290, d.h. des ersten und zweiten Wafermusters 110P1 und 110P2, kommen. Dennoch ist die Möglichkeit des Schrumpfens des Probenwafers 290 bei der Messung einer ACI-CD eines Wafermusters mit Hilfe des REM nicht völlig ausgeschlossen.
  • Durch die ACI-CD-Messung für das erste Wafermuster 110P1 kann eine erste ACI-CD CD_ac1 des Linienabschnitts des ersten Wafermusters 110P1 erhalten werden. Weiterhin kann durch die ACI-CD-Messung für das zweite Wafermuster 110P2 eine zweite ACI-CD CD_ac2 des Linienabschnitts des zweiten Wafermusters 110P2 erhalten werden. Wie oben beschrieben, kann die Breite des Linienabschnitts des ersten Wafermusters 110P1 geringer sein als die Breite des Linienabschnitts des zweiten Wafermusters 110P2, aufgrund einer Differenz zwischen den PR-Mustern 120a und 120. So kann die erste ACI-CD CD_ac1 kleiner sein als die zweite ACI-CD CD_ac2.
  • Bezug nehmend auf 4 wird nach der ACI-CD-Messung für das erste Wafermuster 110P1 und für das zweite Wafermuster 110P2 eine Differenz zwischen der ersten ACI-CD CD_ac1 und der zweiten ACI-CD CD_ac2 berechnet (Vorgang S125), z.B. durch Subtraktion eines Wertes vom anderen: ΔCD = (CD_ac1) - (CD_ac2). Der Unterschied zwischen der ersten ACI-CD CD_ac 1 und der zweiten ACI-CD CD_ac2 ist auf die ADI-CD-Messung durch das REM an dem PR-Muster 120a des ersten Bereichs Bereich _1 zurückzuführen.
  • Bezug nehmend auf 4 wird nach der Berechnung der Differenz zwischen der ersten ACI-CD CD_ac1 und der zweiten ACI-CD CD_ac2 die ADI-CD eines PR-Musters mit der Differenz zwischen der ersten ACI-CD CD ac 1 und der zweiten ACI-CD CD_ac2 korrigiert (Vorgang S126). Beispielsweise wird die Differenz zwischen der ersten ACI-CD CD_ac1 und der zweiten ACI-CD CD_ac2 in der ADI-CD eines PR-Musters widergespiegelt, das durch die Messung mit dem REM erhalten wurde, d.h. in der ADI-CD CD_ad1 vor der Korrektur, und somit kann ein Fehler in der ADI-CD des PR-Musters korrigiert werden, der durch eine durch die REM-Messung verursachte PR-Schrumpfung verursacht wurde. Dementsprechend kann eine korrigierte ADI CD CD_co des PR-Musters erhalten werden, die der ursprünglichen CD CD_ad0 des PR-Musters entspricht. Mit anderen Worten, da die korrigierte ADI-CD CD_co des PR-Musters der ursprünglichen CD CD_ad0 des PR-Musters entspricht, d.h. CD_co entspricht ungefähr dem Wert von CD_ad0 vor der Bestrahlung, kann ΔCD zur Berechnung der korrigierten ADI-CD CD co des PR-Musters verwendet werden.
  • Ein Verfahren, um die korrigierte ADI-CD CD_co des PR-Musters zu erhalten, wird durch Gleichung 1 ausgedrückt. CD _ ad 0 CD_co = CD_ad1 + Δ
    Figure DE102018103231B4_0001
  • In diesem Fall ist CD_ad0 die ursprüngliche CD des PR-Musters (siehe 5A), CD_co ist die korrigierte ADI-CD des PR-Musters, CD_ad1 ist die ADI-CD vor der Korrektur des PR-Musters, Δ ist der Unterschied zwischen der ersten ACI-CD CD_ac1 und der zweiten ACI-CD CD_ac2 (z.B. CD_ac2 - CD_ac1), wobei Δ positiv (+) oder negativ (-) sein kann, je nachdem, ob die mit dem REM gemessene CD einem Linienabschnitt des PR-Musters oder einem Zwischenraumabschnitt des PR-Musters entspricht. Wenn beispielsweise Gleichung 1 in Bezug auf den Linienabschnitt des PR-Musters verwendet wird, wird die ADI-CD CD_ad 1 vor der Korrektur aufgrund der durch die REM-Messung verursachten PR-Schrumpfung kleiner als die ursprüngliche CD CD_ad0. Da die zweite ACI-CD CD_ac2 größer ist als die erste ACI-CD CD_ac1, wird außerdem Δ positiv (+). Damit wird die korrigierte ADI CD CD_co größer als die ADI CD CD_ad1 vor der Korrektur.
  • Wenn Gleichung 1 in Bezug auf einen Zwischenraumabschnitt des PR-Musters verwendet wird, wie aus 3C im Falle der CD des Spacerabschnitts des PR-Musters ersichtlich ist, wird die ADI CD CD_ad1 vor der Korrektur größer als die ursprüngliche CD CD_ad0 aufgrund der durch die REM-Messung verursachten PR-Schrumpfung. Da die zweite ACI-CD CD_ac2 kleiner ist als die erste ACI-CD CD ac1, wird außerdem Δ negativ (-). Dadurch wird die korrigierte ADI CD CD_co kleiner als die ADI CD CD_ad1 vor der Korrektur.
  • Obwohl das OPC-Verfahren nach der vorliegenden Ausführungsform mit einem PR-Muster im ersten Bereich Bereich_1 und einem entsprechenden PR-Muster im zweiten Bereich Bereich 2 beschrieben wurde, ist das OPC-Verfahren nach der vorliegenden Ausführungsform nicht darauf beschränkt. Zum Beispiel können mindestens zwei identische PR-Muster, die an unterschiedlichen Positionen in jedem der ersten und zweiten Bereiche Bereich_1 und Bereich _2 angeordnet sind, verwendet werden, um eine korrigierte ADI-CD CD_co eines PR-Musters zu erhalten, und dementsprechend kann die Zuverlässigkeit eines Verfahrens zum Erhalten der korrigierten ADI-CD CD_co des PR-Musters verbessert werden.
  • Genauer gesagt, kann eine Mehrzahl von identischen PR-Mustern an unterschiedlichen Positionen in jedem der ersten und zweiten Bereiche Bereich_1 und Bereich_2 enthalten sein. Die ADI-CD-Messung durch das REM erfolgt an n (n ≥ 2) PR-Mustern im ersten Bereich Bereich _1. Und dann wird der Musterwafer 100 mit PR-Mustern zu Wafermustern geätzt, ACI-CDs für n Wafermuster, d.h. n erste Wafermuster, im ersten Bereich Bereich_1 und ACI-CDs für n Wafermuster, d.h. n zweite Wafermuster, im zweiten Bereich Bereich_2 werden ebenfalls gemessen. Als nächstes kann eine korrigierte ADI CD CD_co mit n PR-Mustern nach Gleichung 1 erhalten werden. Eine genau korrigierte ADI CD CD_co kann durch das Erhalten und Mitteln der korrigierten ADI CDs CD_co von n PR-Mustern erhalten werden.
  • Bei dem OPC-Verfahren nach der vorliegenden Ausführungsform können zur Verbesserung der Zuverlässigkeit des Verfahrens zur Gewinnung einer korrigierten ADI-CD CD_co eines PR-Musters mindestens 10 identische PR-Muster verwendet werden, die in den ersten und zweiten Bereichen Bereich_1 und Bereich _2 jeweils an unterschiedlichen Positionen angeordnet sind.
  • Gemäß einer Ausführungsform können in den ersten und zweiten Bereichen Bereich_1 und Bereich _2 jeweils eine Mehrzahl unterschiedlicher PR-Muster enthalten sein. In diesem Fall müssen PR-Muster, die die gleiche Form haben wie die der PR-Muster, die im ersten Bereich Bereich _1 enthalten sind, im zweiten Bereich Bereich_2 enthalten sein. Genauer gesagt, wenn Muster_1, Muster_2 und Muster_3, die verschiedene Arten von PR-Mustern sind, im ersten Bereich Bereich _1 angeordnet sind, müssen Muster_1, Muster_2 und Muster_3 auch im zweiten Bereich Bereich_2 angeordnet sein. Denn wenn ADI-CDs von Muster_1, Muster_2 und Muster_3 im ersten Bereich Bereich_1 mit dem REM gemessen werden, müssen Muster_1, Muster_2 und Muster_3 auch im zweiten Bereich Bereich_2 vorhanden sein, damit eine ACI-CD eines Wafermusters im ersten Bereich Bereich _1 und eine ACI-CD eines Wafermusters im zweiten Bereich Bereich_2 nach einem Ätzvorgang gemessen werden können, um dadurch eine Differenz zwischen den gemessenen ACI-CDs und einer korrigierten ADI-CD jedes der PR-Muster zu berechnen.
  • Obwohl das Verfahren zum Erhalten eines korrigierten ADI CD CD_co eines PR-Musters unter Verwendung eines PR-Musters vom Linien-und-Zwischenraum-Typ mit Bezug auf 5A bis 5D beschrieben wurde, ist das Verfahren zum Erhalten einer korrigierten ADI CD CD_co eines PR-Musters nicht darauf beschränkt. Beispielsweise kann das Verfahren zum Erhalten einer korrigierten ADI CD CD_co auch auf ein PR-Muster mit einer Form wie einem Kreis, einer Ellipse oder einem Polygon angewendet werden. Unabhängig davon, ob ein PR-Muster eine Linien-und-Zwischenraum-Form oder eine Form wie ein Kreis, eine Ellipse oder ein Polygon hat, wird ein Abschnitt wie ein Linienabschnitt, in dem ein PR vorhanden ist, durch die REM-Messung geschrumpft und ein Zwischenraumabschnitt, in dem kein PR vorhanden ist, durch die REM-Messung vergrößert. So kann das Verfahren zur Erlangung einer korrigierten ADI CD CD_co eines PR-Musters, das gemäß der vorliegenden Ausführungsform auf das OPC-Verfahren angewendet wird, generell unabhängig von der Form des PR-Musters angewendet werden.
  • 6A bis 6C sind Diagramme, die die auf das OPC-Verfahren von 1 angewandten REM-Schiefe-Daten für verschiedene Muster zeigen, und 7A und 7B sind Diagramme, die das Konzept einer T2T-CD von 6B bzw. das Konzept einer T2S-CD von 6C zeigen. Dabei stellt die x-Achse die Art der PR-Muster und die y-Achse den Grad der durch die REM-Messung verursachten PR-Schrumpfung dar, d.h. eine REM-Schiefe, die Δ in der oben beschriebenen Gleichung 1 entspricht und eine beliebige Einheit hat. 6A gibt Daten wieder, die einfachen Mustern entsprechen, z.B. Linien-und-Zwischenraum-Muster, die durch 1D gekennzeichnet sind, 6B gibt Daten wieder, die den in 7A dargestellten Mustern entsprechen, wobei T2T einen Zwischenraum zwischen einer Spitze eines Musters und einer Spitze eines benachbarten Musters bezeichnet, und 6C gibt Daten wieder, die den in 7B dargestellten Mustern entsprechen, wobei T2S einen Zwischenraum zwischen einer Spitze eines Musters und einer (z.B. seitlichen) Seite eines benachbarten Musters bezeichnet. Als Referenz werden komplexe Muster in der Regel als 2D abgekürzt. Die PR-Muster können eng beieinander liegende PR-Muster und ein eigenständiges Muster enthalten und können grundsätzlich vertikal angeordnet sein.
  • Bezug nehmend auf 6A ist zu verstehen, dass die REM-Schiefe-Daten mit einem bestimmten Muster wiederholt werden, da die PR-Muster wiederholt mit einer bestimmten Regel angeordnet sind. Die Tatsache, dass die REM-Schiefe-Daten ein bestimmtes Muster in Bezug auf die sich wiederholenden PR-Muster aufweisen, ermöglicht es, die Genauigkeit des ADI-CD-Korrekturverfahrens für ein PR-Muster vorherzusagen, das entsprechend der vorliegenden Ausführungsform auf das OPC-Verfahren angewendet wird. Die REM-Schiefe-Daten der PR-Muster nehmen in einer Wiederholungsperiode allmählich ab, was durch einen Dichteunterschied zwischen den PR-Mustern verursacht werden kann. Zum Beispiel, wenn eine PR-Musterdichte relativ niedrig ist, d.h. wenn die PR-Muster grob angeordnet sind, kann eine REM-Schiefe relativ groß sein, und wenn die PR-Musterdichte relativ hoch ist, d.h. wenn die PR-Muster eng angeordnet sind, kann die REM-Schiefe relativ klein sein.
  • Bezogen auf 6B und 7A zeigt die Grafik von 6B die REM-Schiefe-Daten für eine T2T-CD CDT2T, d.h. eine CD zwischen einem Spitzenteil eines ersten Musters P1 und einem Spitzenteil eines zweiten Musters P2, was in 7A dargestellt ist. Genauer gesagt, kann eine CD zwischen dem Spitzenteil des ersten Musters P1 und dem Spitzenteil des zweiten Musters P2 mit einem REM gemessen werden, und dann kann Δ durch das oben beschriebene Verfahren berechnet werden, um die REM-Schiefe-Daten für die T2T CD CDT2T zu erhalten. Wie in 6B gezeigt, kann man verstehen, dass die REM-Schiefe-Daten der T2T-CD der PR-Muster sehr stark variieren.
  • Bezug nehmend auf 6C und 7B zeigt das Diagramm von 6C die REM-Schiefe-Daten für eine T2S-CD CDT2S, d.h. eine CD zwischen einem Spitzenteil eines ersten Musters P1 und einem Seitenteil eines dritten Musters P3, das in 7B dargestellt ist. Genauer gesagt, kann eine CD zwischen dem Spitzenteil des ersten Musters P1 und dem Seitenteil des dritten Musters P3 mit einem REM gemessen werden, und dann kann Δ durch das oben beschriebene Verfahren berechnet werden, um die REM-Schiefe-Daten für die T2S CD CDT2S zu erhalten. Wie in 6C gezeigt, kann man verstehen, dass die REM-Schiefe-Daten der T2S-CD der PR-Muster sehr stark variieren.
  • 8 ist ein Diagramm, das die Anwendung von ΔCD auf ein durch ein Vergleichsverfahren erzeugtes OPC-Modell und die Anwendung von REM-Schiefe-Daten auf das OPC-Verfahren von 1 zeigt. Dabei gibt die x-Achse die Art der PR-Muster wieder, und gibt die y-Achse ΔCD und die REM-Schiefe-Daten wieder, die eine beliebige Einheit haben. Die ΔCD kann einem von Ingenieuren empirisch wiedergegebenen Korrekturwert für die Korrektur einer ADI-CD eines mit einem REM gemessenen PR-Musters in einer vergleichenden OPC-Modellierung entsprechen. Die REM-Schiefe-Daten können die gleichen sein wie die REM-Schiefe-Daten von 6A.
  • Bezug nehmend auf 8 ist zu verstehen, dass ΔCDs unregelmäßig sind und im Allgemeinen hohe Werte im Vergleich zu den REM-Schiefe-Daten aufweisen. Da es sich bei den PR-Mustern um sich wiederholende Muster handelt, kann davon ausgegangen werden, dass die ADI-CD-Korrektur für ein PR-Muster unter Verwendung der REM-Schiefdaten genauer ist als die ADI-CD-Korrektur für ein PR-Muster unter Verwendung von ΔCDs. Es kann daher davon ausgegangen werden, dass ein OPC-Modell, das auf den REM-Schiefe-Daten basiert, dazu beitragen kann, ein genaueres OPC-Verfahren durchzuführen als ein OPC-Modell, das auf den ΔCDs basiert.
  • 9 ist eine Tabelle zum Vergleich eines durch ein Vergleichsverfahren erzeugten OPC-Modells mit einem durch das OPC-Verfahren von 1 erzeugten OPC-Modell. Dabei bezeichnet PTD ein OPC-Modell, das durch ein Vergleichsverfahren erzeugt wird, und REM-PTD ein OPC-Modell, das durch Berücksichtigung einer REM-Schiefe gemäß dem OPC-Verfahren der vorliegenden Ausführungsform erzeugt wird. Zusätzlich stellt 1D-RMS einen Fehler-RMS-Wert für einfache Muster, 2D-RMS einen Fehler-RMS-Wert für komplexe Muster und Gesamt-RMS einen Fehler-RMS-Wert für alle Muster dar. 1D-Erfolgsrate stellt den Prozentsatz der zulässigen einfachen Muster dar, 2D-Erfolgsrate stellt den Prozentsatz der zulässigen komplexen Muster dar und Gesamterfolgsrate stellt den Prozentsatz aller zulässigen Muster dar.
  • Bezug nehmend auf 9, je niedriger 1D-RMS, 2D RMS und Gesamt-RMS sind, desto höher ist die Leistung eines OPC-Modells. Je höher die 1D-Erfolgsrate, die 2D-Erfolgsrate und die Gesamt-Erfolgsrate sind, desto höher ist die Leistungsfähigkeit eines OPC-Modells. Wie in der Tabelle von 9 dargestellt, sind 1D-RMS, 2D-RMS und Gesamt-RMS des REM-PTD jeweils niedriger als 1D-RMS, 2D-RMS und Gesamt-RMS des PTD. Zusätzlich sind die ID-Erfolgsrate, die 2D-Erfolgsrate und die Gesamt-Erfolgsrate des REM-PTD jeweils höher als die 1D-Erfolgsrate, die 2D-Erfolgsrate und die Gesamterfolgsrate des PTD. Es kann daher verstanden werden, dass das OPC-Modell, das durch Berücksichtigung einer REM-Schiefe nach dem OPC-Verfahren der vorliegenden Ausführungsform erzeugt wird, dem durch das Vergleichsverfahren erzeugten OPC-Modell überlegen ist.
  • 10 ist ein Flussdiagramm eines Verfahrens zur Herstellung einer Maske nach einer Ausführungsform. Die obigen Beschreibungen zu 1 bis 5D werden nur kurz beschrieben oder weggelassen.
  • Bezug nehmend auf 10 wird zunächst OPC durchgeführt (Vorgang S100). Der Vorgang der Durchführung der OPC kann den Vorgang der Vorbereitung von Basisdaten (Vorgang S110), den Vorgang der Erzeugung von CD-Daten einer Probe (Vorgang S120), den Vorgang der Erzeugung eines OPC-Modells (Vorgänge S130 und S140), den Vorgang der Überprüfung und Auswahl des OPC-Modells (Vorgang S150), den Vorgang der Überprüfung des OPC-Modells (Vorgang S160) und den Vorgang der Durchführung einer Simulation (Vorgang S170), wie mit Bezug auf 1 beschrieben, umfassen. Der Vorgang der Erzeugung der CD-Daten der Probe (Vorgang S120) kann den Vorgang der Bildung eines PR-Musters (Vorgang S121), den Vorgang der Messung einer ADI-CD des PR-Musters (Vorgang S122), den Vorgang der Bildung eines Wafermusters (Vorgang S123), das Messen einer ACI-CD des Wafermusters (Vorgang S124), das Berechnen einer Differenz zwischen einer ersten und einer zweiten ACI-CD (Vorgang S125) und das Korrigieren der ADI-CD des PR-Musters unter Verwendung der Differenz (Vorgang S126) umfassen, wie mit Bezug auf 4 beschrieben wurde.
  • Nach Ausführung des OPC werden die MTO-Designdaten empfangen (Vorgang S200). Generell kann das MTO die Übertragung von Maskendesigndaten bezeichnen, für die die OPC abgeschlossen ist, um eine Maskenherstellung anzufordern. Die MTO-Auslegungsdaten können daher als Maskendesigndaten bezeichnet werden, für die die OPC abgeschlossen wurde. Die MTO-Designdaten können ein Grafikdatenformat haben, das in der EDA (elektronische Design-Automation)-Software verwendet wird. Beispielsweise können die MTO-Designdaten ein Datenformat wie das Grafikdatensystem II (GDS2) oder den Open Artwork System Interchange Standard (OASIS) haben.
  • Nach Erhalt der MTO-Designdaten wird eine Maskendatenvorbereitung (MDP) durchgeführt (Vorgang S300). Die MDP kann z.B. eine Formatkonvertierung, die als Frakturierung bezeichnet wird, eine Erweiterung des Barcodes für das mechanische Lesen, ein Standardmaskenmuster für Test, ein Auftragssatz (engl. job deck) und dergleichen sowie eine automatische oder manuelle Verifizierung beinhalten. Der Auftragssatz kann die Erzeugung einer Textdatei über eine Reihe von Befehlen wie z.B. Anordnungsinformationen mehrerer Maskendateien, eine Referenzdosis, die Belichtungsgeschwindigkeit oder die Belichtungsart bezeichnen.
  • Die Formatkonvertierung, d.h. die Frakturierung, kann einen Prozess der Aufteilung der MTO-Designdaten nach Regionen und der Umwandlung des Formats der MTO-Designdaten in ein Format für ein Elektronenstrahl-Belichtungsgerät bezeichnen. Die Frakturierung kann Datenmanipulationen beinhalten, z.B. Skalierung, Größenanpassung der Daten, Rotation der Daten, Musterreflexion und Farbumkehr. Während eines Konvertierungsprozesses durch die Frakturierung können Daten über viele systematische Fehler, die bei der Übertragung von Bildern aus Designdaten auf einen Wafer auftreten können, korrigiert werden. Der Prozess der Korrektur von Daten über die systematischen Fehler kann als Maskenprozesskorrektur (MPC) bezeichnet werden und kann z.B. eine Linienbreitenanpassung, die als CD-Anpassung bezeichnet wird, und einen Vorgang zur Verbesserung der Genauigkeit in der Musteranordnung beinhalten. So kann die Frakturierung zur Verbesserung der Maskenqualität beitragen und im Voraus durchgeführt werden, um einen Maskenprozess zu korrigieren. Hierbei können die systematischen Fehler durch Verzerrungen in einem Belichtungsprozess, Maskenentwicklungs- und Ätzprozessen und einem Wafer-Abbildungsprozess verursacht werden.
  • Die MDP kann die MPC umfassen. Wie oben beschrieben, bezeichnet die MPC einen Prozess der Korrektur eines während eines Belichtungsprozesses erzeugten Fehlers, d.h. eines systematischen Fehlers. Hierbei kann der Belichtungsprozess Gesamtvorgänge wie Elektronenstrahlschreiben, Entwicklung, Ätzen und Wärmebehandlung umfassen. Vor der Belichtung kann eine Datenverarbeitung durchgeführt werden. Die Datenverarbeitung ist eine Vorverarbeitung der Maskendaten und kann eine Grammatikprüfung der Maskendaten und die Vorhersage einer Belichtungszeit beinhalten.
  • Nach der Vorbereitung der Maskendaten wird auf Basis der Maskendaten ein Substrat für die Maske belichtet (Vorgang S400). Hierbei kann die Belichtung z.B. einen Elektronenstrahl-Schreibvorgang bezeichnen. Der Elektronenstrahlschreibvorgang kann mit einem Mehrstrahl-Maskenschreiber (MBMW) in grauer Schreibart durchgeführt werden. Der Elektronenstrahlschreibvorgang kann auch mit einem Belichtungsgerät mit variabler Strahlform (VSB) durchgeführt werden.
  • Zusätzlich kann nach der Vorbereitung der Maskendaten und vor dem Belichtungsprozess ein Prozess der Umwandlung der Maskendaten in Pixeldaten durchgeführt werden. Die Pixeldaten werden direkt in einem eigentlichen Belichtungsprozess verwendet und können Daten über eine zu belichtende Form und Daten über eine der Form zugeordnete Dosis enthalten. Hierbei können die Daten über die Form durch Rasterung aus Formdaten konvertiert Bitmap-Daten sein, welche Vektordaten sind.
  • Nach dem Belichtungsprozess wird die Maske durch eine Reihe von Prozessen hergestellt (Vorgang S500). Die Reihe von Prozessen kann z.B. Entwicklungs-, Ätz- und Reinigungsprozesse umfassen. Auch die Reihe von Prozessen zur Herstellung der Maske kann einen Messprozess, einen Fehlerprüfprozess oder einen Fehlerreparaturprozess umfassen. Außerdem kann die Abfolge von Prozessen ein Pellikel-BeschichtungsVerfahren enthalten. Das Pellikel-Beschichtungsverfahren kann ein Verfahren zum Anbringen eines Pellikels zum Schutz der Maske vor Nachkontamination während der Auslieferung der Maske und einer verfügbaren Lebensdauer der Maske bezeichnen, wenn durch abschließende Reinigungs- und Testverfahren festgestellt wird, dass sich keine Kontaminationspartikel oder chemische Flecken auf der Maske befinden.
  • Bei der Herstellung einer Maske nach der vorliegenden Ausführungsform, wie in 1 beschrieben, können CD-Daten einer Probe, die eine PR-Schrumpfung durch REM-Messung widerspiegeln, erzeugt und die Genauigkeit eines OPC-Modells anhand der CD-Daten der Probe in der OPC-Modellierung verbessert werden, so dass eine hervorragende Maske durch Optimierung eines OPC-Verfahrens hergestellt werden kann. Das Verfahren zur Herstellung einer Maske nach der vorliegenden Ausführungsform ermöglicht es beispielsweise, eine Maske mit reduzierten Fehlern aufgrund von PR-Schrumpfung durch eine REM-Messung herzustellen.
  • 11 ist ein Flussdiagramm eines Verfahrens zur Herstellung eines Halbleiterbauelements nach einer Ausführungsform. Beschreibungen, die bereits oben unter Bezugnahme auf 10 gegeben wurden, werden nur kurz beschrieben oder weggelassen.
  • Bezug nehmend auf 11 werden die Durchführung von OPC (Vorgang S100), die Eingabe von MTO-Konstruktionsdaten (Vorgang S200), die Vorbereitung von Maskendaten (Vorgang S300), die Belichtung eines Substrats für eine Maske (Vorgang S400) und die Herstellung der Maske (Vorgang S500) wie in 10 beschrieben zur Herstellung der Maske durchgeführt.
  • Bei der Herstellung der Maske können verschiedene Halbleiterprozesse an einem Halbleitersubstrat wie z.B. einem Wafer durchgeführt werden, indem die Maske zur Herstellung eines Halbleiterbauelements verwendet wird (Vorgang S600). Beispielsweise kann der die Maske verwendende Prozess ein Musterungsprozess mit einem Belichtungsprozess sein. Auf dem Halbleitersubstrat oder einer Materialschicht kann durch den Strukturierungsprozess mit der Maske ein gewünschtes Muster gebildet werden.
  • Die Halbleiterprozesse können einen Abscheidungsprozess, einen Ätzprozess, einen Ionisationsprozess und einen Reinigungsprozess umfassen. Der Abscheidungsprozess kann verschiedene Verfahren zur Bildung von Materialschichten umfassen, wie z.B. chemische Gasphasenabscheidung (CVD), Sputtern und Spin Coating. Der Ionisationsprozess kann Prozesse wie Ionenimplantation, Diffusion und Wärmebehandlung umfassen. Darüber hinaus können die Halbleiterprozesse einen Verpackungsprozess für die Montage eines Halbleiterbauelements auf einer gedruckten Leiterplatte (PCB) und die Versiegelung des Halbleiterbauelements unter Verwendung eines Versiegelungsmaterials sowie einen Testprozess für die Prüfung des Halbleiterbauelements oder eines Halbleitergehäuses umfassen.
  • Die hier beschriebenen Verfahren, Prozesse und/oder Vorgänge können durch einen Code oder von Anweisungen ausgeführt werden, die von einem Computer, Prozessor, Controller oder einem anderen Signalverarbeitungsgerät ausgeführt werden. Der Computer, der Prozessor, der Controller oder ein anderes Signalverarbeitungsgerät kann die hier beschriebenen oder eines zusätzlich zu den hier beschriebenen Elementen sein. Da die Algorithmen, die den Verfahren (oder Vorgängen des Computers, Prozessors, Controllers oder anderer Signalverarbeitungsgeräte) zugrunde liegen, detailliert beschrieben werden, kann der Code oder können die Anweisungen zur Implementierung der Vorgänge der Ausführungsformen eines Verfahrens den Computer, Prozessor, Controller oder andere Signalverarbeitungsgeräte in einen Spezialprozessor zur Durchführung der hier beschriebenen Verfahren verwandeln.
  • Eine andere Ausführungsform kann auch ein computerlesbares Medium enthalten, z.B. ein nicht-transitorisches, computerlesbares Medium zur Speicherung des oben beschriebenen Codes oder der Anweisungen. Das computerlesbare Medium kann ein flüchtiger oder nichtflüchtiger Speicher oder eine andere Speichervorrichtung sein, die abnehmbar oder fest mit dem Computer, Prozessor, Controller oder einer anderen Signalverarbeitungsvorrichtung verbunden sein kann, die den Code oder Anweisungen zur Ausführung der hier beschriebenen Ausführungsformen eines Verfahrens ausführen soll.
  • Zusammenfassend und übersichtsartig bieten Ausführungsformen ein Verfahren zur optischen Nahbereichskorrektur (OPC), mit dem ein OPC-Modell mit hoher Genauigkeit erzeugt werden kann. Das heißt, bei dem Verfahren zur Herstellung eines Halbleiterbauelements nach der vorliegenden Ausführungsform können CD-Daten einer Probe, die eine durch REM-Messung verursachte PR-Schrumpfung widerspiegeln, erzeugt werden und die Genauigkeit eines OPC-Modells kann mit den CD-Daten der Probe in der OPC-Modellierung verbessert werden. Dementsprechend kann durch die Optimierung eines OPC-Verfahrens eine hervorragende Maske hergestellt werden. Darüber hinaus ist es durch einen Belichtungsprozess und andere Halbleiterprozesse unter Verwendung der Maske möglich, Halbleiterbauelemente mit reduzierten Defekten herzustellen. So kann das Herstellungsverfahren für Halbleiterbauelemente nach der vorliegenden Ausführungsform die Ausbeute eines Halbleiterprozesses erheblich erhöhen.
  • Beispielhafte Ausführungsformen wurden hier offengelegt, und obwohl spezifische Begriffe verwendet werden, sind sie nur in einem allgemeinen und beschreibenden Sinne und nicht zum Zwecke der Einschränkung zu interpretieren. In einigen Fällen, wie es für einen Fachmann auf diesem Gebiet zum Zeitpunkt der Einreichung der vorliegenden Anmeldung offensichtlich ist, können Merkmale, Eigenschaften und/oder Elemente, die in Verbindung mit einer bestimmten Ausführungsform beschrieben sind, einzeln oder in Kombination mit Merkmalen, Eigenschaften und/oder Elementen, die in Verbindung mit anderen Ausführungsformen beschrieben sind, verwendet werden, sofern es nicht ausdrücklich anders angegeben ist. Dementsprechend wird ein Fachmann verstehen, dass verschiedene Änderungen in der Form und im Detail vorgenommen werden können, ohne vom Umfang der vorliegenden Erfindung, wie er in den folgenden Ansprüchen dargelegt ist, abzuweichen.

Claims (20)

  1. Verfahren zur optischen Nahbereichskorrektur (OPC), aufweisend: ein Vorbereiten von Basisdaten für die OPC (S110); ein Messen einer kritischen Abmessung (CD) eines Photoresistmusters (PR) bei Inspektion nach der Entwicklung (ADI) mit einem Rasterelektronenmikroskop (REM) in Bezug auf eine Probe (S122); ein Messen einer CD bei Inspektion nach Reinigung (ACI) eines mit dem PR-Muster gebildeten Wafermusters mit dem REM (S124); ein Erzeugen von CD-Daten der Probe, die die durch die REM-Messung verursachte PR-Schrumpfung widerspiegeln, unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters (S125, S126); und ein Erzeugen eines OPC-Modells (S130, S 140) basierend auf den Basisdaten und den CD-Daten der Probe.
  2. OPC-Verfahren nach Anspruch 1, wobei das Erzeugen der CD-Daten der Probe (S125, S126) das Korrigieren der ADI-CD des PR-Musters (S126) unter Verwendung der ACI-CD des Wafermusters umfasst, so dass die CD-Daten der Probe auf der Grundlage der korrigierten ADI-CD des PR-Musters erzeugt werden.
  3. OPC-Verfahren nach Anspruch 2, wobei: die ACI-CD des Wafermusters eine erste ACI-CD (CD_ac1) des Wafermusters, das unter Verwendung eines PR-Musters (120) gebildet wurde, bei dem eine ADI-CD unter Verwendung des REM gemessen wurde, und eine zweite ACI-CD (CD_ac2) des Wafermusters, das unter Verwendung eines PR-Musters (120) gebildet wurde, bei dem eine ADI-CD nicht unter Verwendung des REM gemessen wurde, enthält und die ADI-CD des PR-Musters durch eine Differenz zwischen der ersten ACI-CD (CD_ac1) und der zweiten ACI-CD (CD_ac2) korrigiert wird.
  4. OPC-Verfahren nach Anspruch 1, wobei das Erzeugen der CD-Daten der Probe aufweist: ein Messen der ADI-CD des PR-Musters (120) in einem ersten Bereich der Probe mit Hilfe des REM; ein Ätzen eines Wafers unter Verwendung des PR-Musters (120) zur Erzeugung des Wafermusters (110P1, 110P2); ein Messen einer ersten ACI-CD (CD_ac1) des Wafermusters (110P1) entsprechend dem PR-Muster im ersten Bereich und ein Messen einer zweiten ACI-CD (CD_ac2) des Wafermusters (110P2) in einem vom ersten Bereich verschiedenen zweiten Bereich mit dem REM; ein Berechnen einer Differenz zwischen der ersten ACI-CD (CD_ac1) und der zweiten ACI-CD (CD_ac2) durch Vergleich der ersten ACI-CD (CD_ac1) mit der zweiten ACI-CD (CD_ac2); und ein Korrigieren der ADI-CD des PR-Musters (120) anhand der Differenz.
  5. OPC-Verfahren nach Anspruch 4, wobei das Berechnen der Differenz zwischen der ersten und der zweiten ACI-CD (CD_ac1, CD_ac2) den Vergleich der ersten ACI-CD (CD_ac1) und der zweiten ACI-CD (CD_ac2) für Wafermuster (110P1, 110P2) mit einer im Wesentlichen gleichen Form umfasst.
  6. OPC-Verfahren nach Anspruch 4, wobei: der zweite Bereich Wafermuster (110P1, 110P2) mit einer im Wesentlichen gleichen Form wie diejenigen des ersten Bereichs enthält, das Messen der ersten ACI-CD (CD_ac1) des Wafermusters ein Messen einer ersten ACI-CD von jedem der Wafermuster im ersten Bereich an zehn oder mehr Stellen im ersten Bereich enthält, und das Messen der zweiten ACI-CD (CD_ac2) des Wafermusters ein Messen einer zweiten ACI-CD der einzelnen Wafermuster im zweiten Bereich an Stellen im zweiten Bereich, die den zehn oder mehr Stellen im ersten Bereich entsprechen, enthält.
  7. OPC-Verfahren nach Anspruch 4, wobei die ADI-CD (CD_ad) des PR-Musters vor der Korrektur, die erste ACI-CD (CD_ac1), die zweite ACI-CD (CD_ac2) und die ADI-CD (CD_co) des PR-Musters nach der Korrektur die folgende Gleichung 1 erfüllen, CD _ co = CD _ ad + Δ
    Figure DE102018103231B4_0002
    wobei Δ = CD_ac2 - CD_ac1.
  8. OPC-Verfahren nach einem der Ansprüche 1 bis 7, wobei die Erzeugung des OPC-Modells (S130, S140) umfasst: ein Erzeugen eines optischen OPC-Modells (S130); und ein Erzeugen eines OPC-Modells für den PR (S140).
  9. OPC-Verfahren nach einem der Ansprüche 1 bis 8, ferner aufweisend nach der Erzeugung des OPC-Modells (S130, S140): ein Überprüfen und Auswählen des OPC-Modells (S150); ein Verifizieren des OPC-Modells (S160); und ein Durchführen einer Simulation mit Hilfe des OPC-Modells (S170), wobei das Überprüfen des OPC-Modells durch Berechnen eines quadratischen Mittelwertes (RMS) eines CD-Fehlers durchgeführt wird, und wenn das OPC-Modell nicht innerhalb eines festgelegten Kriteriums liegt, das Erzeugen des OPC-Modells erneut durchgeführt wird, und wobei das Verifizieren des OPC-Modells durch Anwenden des OPC-Modells auf ein anderes Muster durchgeführt wird, und wenn für das andere Muster kein im Wesentlichen gleiches Ergebnis erzielt wird, das Erzeugen des OPC-Modells erneut durchgeführt wird.
  10. Verfahren zur optischen Nahbereichskorrektur (OPC), umfassend: ein Vorbereiten von Basisdaten für OPC (S110); ein Messen einer kritischen Abmessung (CD) eines Photoresistmusters (PR) bei Inspektion nach der Entwicklung (ADI) mit einem Rasterelektronenmikroskop (REM) in Bezug auf eine Probe (S122); ein Messen einer CD eines mit dem PR-Muster (S124) gebildeten Wafermusters bei Inspektion nach Reinigung (ACI) mit dem REM; ein Korrigieren der ADI-CD des PR-Musters durch Verwenden der ACI-CD des Wafermusters zum Erzeugen von CD-Daten der Probe (S125, S126); ein Erzeugen eines OPC-Modells auf Basis der Basisdaten und der CD-Daten der Probe (S130, S140); ein Überprüfen und Auswählen des OPC-Modells (S150); ein Verifizieren des OPC-Modells (S160); und ein Durchführen einer Simulation mit Hilfe des OPC-Modells (S170).
  11. OPC-Verfahren nach Anspruch 10, wobei das Messen der ADI-CD des PR-Musters und der ACI-CD des Wafermusters (S124) aufweist: ein Messen der ADI-CD des PR-Musters (120) in einem ersten Bereich der Probe mit dem REM; ein Ätzen eines Wafers unter Verwendung des PR-Musters (120) zur Erzeugung des Wafermusters (110P1, 110P2); und ein Messen einer ersten ACI-CD (CD_ac1) des Wafermusters (110P1, 110P2) entsprechend dem PR-Muster (120) im ersten Bereich und ein Messen einer zweiten ACI-CD (CD_ac2) des Wafermusters (110P1, 110P2) in einem vom ersten Bereich verschiedenen zweiten Bereich mit dem REM.
  12. OPC-Verfahren nach Anspruch 11, wobei: der zweite Bereich Wafermuster enthält, die im Wesentlichen die gleiche Form wie diejenigen des ersten Bereichs haben, das Messen der ersten ACI-CD (CD_ac1) des Wafermusters ein Messen einer ersten ACI-CD von jedem der Wafermuster im ersten Bereich an zehn oder mehr Stellen im ersten Bereich umfasst, und das Messen der zweiten ACI-CD (CD_ac2) des Wafermusters ein Messen einer zweiten ACI-CD von jedem der Wafermuster im zweiten Bereich an Stellen im zweiten Bereich, die den zehn oder mehr Stellen im ersten Bereich entsprechen, umfasst.
  13. OPC-Verfahren nach Anspruch 11, wobei das Korrigieren der ADI-CD des PR-Musters unter Verwendung einer Differenz zwischen der ersten ACI-CD (CD_ac1) und der zweiten ACI-CD (CD_ac2) durchgeführt wird.
  14. OPC-Verfahren nach Anspruch 11, wobei das Korrigieren der ADI-CD des PR-Musters gemäß nachstehender Gleichung (2) durchgeführt wird, CD _ co = CD _ ad + Δ
    Figure DE102018103231B4_0003
    wobei Δ = CD_ac2 - CD_ac1, CD_ad die ADI-CD des PR-Musters vor der Korrektur, CD_ac1 die erste ACI-CD, CD_ac2 die zweite ACI-CD und CD_co die ADI-CD des PR-Musters nach der Korrektur ist.
  15. Verfahren zur Herstellung einer Maske, wobei das Verfahren aufweist: ein Durchführen eines optischen Nahbereichskorrekturverfahrens (OPC) einschließlich: einem Messen einer kritischen Abmessung (CD) eines Photoresistmusters (PR) bei Inspektion nach Entwicklung (ADI) mit einem Rasterelektronenmikroskop (REM) in Bezug auf eine Probe (S122), einem Messen einer CD eines mit dem PR-Muster gebildeten Wafermusters bei Inspektion nach Reinigung (ACI) mit dem REM (S124), und einem Erzeugen eines OPC-Modells, das die durch die REM-Messung verursachte PR-Schrumpfung widerspiegelt, unter Verwendung der ADI-CD des PR-Musters und der ACI-CD des Wafermusters (S130, S140); und ein Liefern der mit dem OPC-Verfahren erfassten Designdaten als Masken-Tape-Out (MTO)-Designdaten (S200); ein Vorbereiten der Maskendaten auf Basis der MTO-Designdaten (S300); und ein Durchführen der Belichtung an einem Substrat für eine Maske (S400) basierend auf den Maskendaten.
  16. Verfahren nach Anspruch 15, wobei die Durchführung des OPC-Verfahrens aufweist: ein Vorbereiten von Basisdaten für OPC (S120); ein Messen der ADI-CD des PR-Musters und der ACI-CD des Wafermusters in Bezug auf die Probe (S122, S123, S124); ein Korrigieren der ADI-CD des PR-Musters unter Verwendung der ACI-CD des Wafermusters zur Erzeugung von CD-Daten der Probe (S125, S126); und ein Erzeugen eines OPC-Modells (S 130, S 140) basierend auf den Basisdaten und den CD-Daten der Probe.
  17. Verfahren nach Anspruch 16, wobei das Messen der ADI-CD des PR-Musters und der ACI-CD des Wafermusters aufweist: ein Messen der ADI-CD des PR-Musters in einem ersten Bereich der Probe mit dem REM (S122); ein Ätzen eines Wafers unter Verwendung des PR-Musters zur Erzeugung des Wafermusters (S123); und ein Messen einer ersten ACI-CD (CD_ac1) des Wafermusters, das dem PR-Muster im ersten Bereich entspricht, und ein Messen einer zweiten ACI-CD (CD_ac2) des Wafermusters in einem vom ersten Bereich verschiedenen zweiten Bereich (S124).
  18. Verfahren nach Anspruch 17, wobei: der zweite Bereich Wafermuster enthält, die im Wesentlichen die gleiche Form wie diejenigen des ersten Bereichs haben, das Messen der ersten ACI-CD (CD_ac1) des Wafermusters ein Messen einer ersten ACI-CD von jedem der Wafermuster im ersten Bereich an zehn oder mehr Stellen im ersten Bereich aufweist, und das Messen der zweiten ACI-CD (CD_ac2) des Wafermusters ein Messen einer zweiten ACI-CD von jedem der Wafermuster im zweiten Bereich an Stellen im zweiten Bereich, die den zehn oder mehr Stellen im ersten Bereich entsprechen, aufweist.
  19. Verfahren nach Anspruch 17, wobei das Korrigieren der ADI-CD des PR-Musters unter Verwendung von Gleichung (3) durchgeführt wird, CD _ co = CD _ ad + Δ
    Figure DE102018103231B4_0004
    wobei Δ = CD_ac2 - CD_ac1, CD_ad die ADI-CD des PR-Musters vor der Korrektur, CD_ac1 die erste ACI-CD, CD_ac2 die zweite ACI-CD und CD_co die ADI-CD des PR-Musters nach der Korrektur ist.
  20. Verfahren nach einem der Ansprüche 16 bis 19, wobei das Erzeugen des OPC-Modells aufweist: ein Erzeugen eines optischen OPC-Modells (S130); und ein Erzeugen eines OPC-Modells für den PR (S140), und wobei das OPC-Verfahren nach der Erzeugung des OPC-Modells weiter aufweist: ein Überprüfen und Auswählen des OPC-Modells (S150); ein Verifizieren des OPC-Modells (S160); und ein Durchführen einer Simulation mit Hilfe des OPC-Modells (S170).
DE102018103231.5A 2017-07-13 2018-02-14 Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben Active DE102018103231B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170089169A KR102336664B1 (ko) 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
KR10-2017-0089169 2017-07-13

Publications (2)

Publication Number Publication Date
DE102018103231A1 DE102018103231A1 (de) 2019-01-17
DE102018103231B4 true DE102018103231B4 (de) 2021-09-30

Family

ID=64745248

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018103231.5A Active DE102018103231B4 (de) 2017-07-13 2018-02-14 Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben

Country Status (5)

Country Link
US (1) US10908498B2 (de)
JP (1) JP6858732B2 (de)
KR (1) KR102336664B1 (de)
CN (1) CN109254495A (de)
DE (1) DE102018103231B4 (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
KR20210045607A (ko) * 2019-10-17 2021-04-27 삼성전자주식회사 패턴 레이아웃 설계 방법, 이를 이용한 패턴 형성 방법, 및 이를 이용한 반도체 장치 제조 방법
KR20220040308A (ko) 2020-09-23 2022-03-30 삼성전자주식회사 반도체 공정을 모델링하기 위한 시스템 및 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120237859A1 (en) 2011-03-15 2012-09-20 Samsung Electronics Co., Ltd. Method of approximating value of critical dimension of pattern formed by photolithography and method of fabricating photomask including opc based on approximated value of a cd of a pattern

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004264102A (ja) * 2003-02-28 2004-09-24 Matsushita Electric Ind Co Ltd Semシュリンク量測定方法および測長sem装置
US7015468B1 (en) 2003-03-25 2006-03-21 Kla-Tencor Technologies Corporation Methods of stabilizing measurement of ArF resist in CD-SEM
JP4263556B2 (ja) * 2003-07-15 2009-05-13 パナソニック株式会社 半導体装置の製造方法
TWI237746B (en) 2003-07-23 2005-08-11 Nanya Technology Corp Optical proximity correction method
JP2005057037A (ja) * 2003-08-04 2005-03-03 Sony Corp レジストシュリンク量の算出方法
US7285781B2 (en) 2004-07-07 2007-10-23 Intel Corporation Characterizing resist line shrinkage due to CD-SEM inspection
US7325225B2 (en) 2005-10-05 2008-01-29 Yasushi Tanaka Method and apparatus for reducing OPC model errors
JP4835481B2 (ja) * 2007-03-20 2011-12-14 凸版印刷株式会社 レジストパターン測定方法及びレジストパターン測定装置
KR100951249B1 (ko) 2007-11-23 2010-04-02 주식회사 포스코 수소응력균열 저항성과 저온인성이 우수한 후판강재 및 그제조방법
KR20090069093A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 소자 형성 방법
JP2009187967A (ja) * 2008-02-01 2009-08-20 Panasonic Corp フォーカス測定方法および半導体装置の製造方法
JP2009288497A (ja) 2008-05-29 2009-12-10 Toshiba Corp パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
KR101033225B1 (ko) * 2009-06-16 2011-05-06 주식회사 하이닉스반도체 패턴 레이아웃을 광근접효과보정하는 방법
WO2011089913A1 (ja) 2010-01-25 2011-07-28 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡
JP5686627B2 (ja) 2011-02-24 2015-03-18 株式会社日立ハイテクノロジーズ パターン寸法測定方法、及び荷電粒子線装置
JP5813413B2 (ja) 2011-08-22 2015-11-17 株式会社日立ハイテクノロジーズ シュリンク前形状推定方法およびcd−sem装置
JP5853532B2 (ja) * 2011-09-26 2016-02-09 富士通セミコンダクター株式会社 マスクパターン補正装置、マスクパターン補正方法及びマスクパターン補正プログラム
JP5859795B2 (ja) 2011-10-06 2016-02-16 株式会社日立ハイテクノロジーズ 計測方法、データ処理装置及びそれを用いた電子顕微鏡
CN103631084B (zh) 2012-08-29 2016-08-31 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
KR102491578B1 (ko) * 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120237859A1 (en) 2011-03-15 2012-09-20 Samsung Electronics Co., Ltd. Method of approximating value of critical dimension of pattern formed by photolithography and method of fabricating photomask including opc based on approximated value of a cd of a pattern

Also Published As

Publication number Publication date
KR20190007761A (ko) 2019-01-23
JP2019020719A (ja) 2019-02-07
CN109254495A (zh) 2019-01-22
KR102336664B1 (ko) 2021-12-07
DE102018103231A1 (de) 2019-01-17
US20190018325A1 (en) 2019-01-17
JP6858732B2 (ja) 2021-04-14
US10908498B2 (en) 2021-02-02

Similar Documents

Publication Publication Date Title
DE102018103231B4 (de) Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben
DE102007007080B4 (de) Mustererzeugungsverfahren und Einrichtung zum Schreiben mit geladenen Teilchen
DE69020484T2 (de) Vorrichtung und Verfahren zur Beschreibung von fotolithografischen Systemen.
US20150362834A1 (en) Exposure methods using e-beams and methods of manufacturing masks and semiconductor devices therefrom
DE60101481T2 (de) Veränderung von layout-daten einer maske zur verbesserung des erzeugten musters
DE102006054820B4 (de) Verfahren zur Korrektur von Platzierungsfehlern
DE102006017938A1 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
DE102016218977B4 (de) Verfahren zur Ermittlung eines OPC-Modells
DE19628874A1 (de) Verfahren zur Korrektur eines Maskenmusters und einer Maske, Verfahren zur Belichtung, Vorrichtung dazu und eine Photomasken- und Halbleitervorrichtung, die eine solche verwendet
DE102006041436A1 (de) Strahldosierungsberechnungsverfahren und Schreibverfahren und Aufzeichnungsträger und Schreibgerät
DE102008019341A1 (de) Verfahren zur Analyse von Masken für die Photolithographie
DE112013004657T5 (de) Metrologie der modellbasierten Positionsbestimmung und der kritischen Dimension
DE102006018074A1 (de) Photomaske
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE102013102970B4 (de) Verfahren und Vorrichtung zur Überlagerungs-Metrologie
KR20220127004A (ko) 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법
DE102017220872B4 (de) Verfahren und System zur Qualifizierung einer Maske für die Mikrolithographie
DE112005000963B4 (de) Verfahren zum photolithographischen Herstellen einer integrierten Schaltung
DE112018002123T5 (de) Bestimmen einer einheitlichkeit einer wirksamen dosis bei einer lithographie
CN111430261A (zh) 一种工艺检测方法及装置
DE102014209455B4 (de) Verfahren zur Vermessung einer Lithographiemaske oder eines Masken-Blanks
DE102011113940A1 (de) Verfahren und Vorrichtung zur Ermittlung vonDosis-Änderungen zur Anpassung von Strukturgrößen einer Maske
DE102004022595B4 (de) Verfahren und System zum Erkennen der Qualität einer alternierenden Phasenschiebermaske
DE102004022329B3 (de) Verfahren zur dynamischen Dosisanpassung in einem lithographischen Projektionsapparat und Projektionsapparat
DE102004009173A1 (de) Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final