KR102336664B1 - Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법 - Google Patents

Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법 Download PDF

Info

Publication number
KR102336664B1
KR102336664B1 KR1020170089169A KR20170089169A KR102336664B1 KR 102336664 B1 KR102336664 B1 KR 102336664B1 KR 1020170089169 A KR1020170089169 A KR 1020170089169A KR 20170089169 A KR20170089169 A KR 20170089169A KR 102336664 B1 KR102336664 B1 KR 102336664B1
Authority
KR
South Korea
Prior art keywords
pattern
aci
opc
wafer
adi
Prior art date
Application number
KR1020170089169A
Other languages
English (en)
Other versions
KR20190007761A (ko
Inventor
김기수
정노영
Original Assignee
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자 주식회사 filed Critical 삼성전자 주식회사
Priority to KR1020170089169A priority Critical patent/KR102336664B1/ko
Priority to US15/869,457 priority patent/US10908498B2/en
Priority to DE102018103231.5A priority patent/DE102018103231B4/de
Priority to CN201810430409.5A priority patent/CN109254495A/zh
Priority to JP2018125938A priority patent/JP6858732B2/ja
Publication of KR20190007761A publication Critical patent/KR20190007761A/ko
Application granted granted Critical
Publication of KR102336664B1 publication Critical patent/KR102336664B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

본 발명의 기술적 사상은 정확도가 높은 OPC 모델을 생성할 수 있는 OPC 방법, 및 그 OPC 방법을 이용한 마스크 제조방법을 제공한다. 그 OPC 방법은 OPC(Optical Proximity Correction)를 위한 기본 데이터를 준비하는 단계; 샘플에 대하여 PR(Photo Resist) 패턴의 ADI(After Development Inspection) CD(Critical Dimension)와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI(After Cleaning Inspection) CD를 SEM(Scanning Electron Microscope)으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 이용하여, 상기 SEM 측정에 의한 PR 축소(Shrink)를 반영한 상기 샘플의 CD 데이터를 생성하는 단계; 및 상기 기본 데이터 및 상기 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계;를 포함한다.

Description

OPC 방법, 및 그 OPC 방법을 이용한 마스크 제조방법{OPC(Optical Proximity Correction) method, and methods for manufacturing mask using the OPC method}
본 발명의 기술적 사상은 마스크 제조방법에 관한 것으로, 특히 OPC 방법 및 그 OPC 방법을 이용한 마스크 제조방법에 관한 것이다.
반도체 공정에서, 웨이퍼 등과 같은 반도체 기판 상에 패턴을 형성하기 위하여 마스크를 이용한 포토리소그라피 공정이 수행될 수 있다. 마스크는 간단하게 정의하면 투명한 기층 소재 상에 불투명한 재질의 패턴 형상이 형성되어 있는 패턴 전사체라고 말할 수 있다. 마스크의 제조 공정을 간단히 설명하면, 먼저 요구되는 회로를 설계하고 상기 회로에 대한 레이아웃을 디자인한 후, OPC(Optical Proximity Correction)을 통해 획득한 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달한다. 이후, 상기 MTO 디자인 데이터에 기초하여 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행하고, 전공정(FEOL)과 후공정(BEOL)을 수행하여 마스크를 제작할 수 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 정확도가 높은 OPC 모델을 생성할 수 있는 OPC 방법, 및 그 OPC 방법을 이용한 마스크 제조방법을 제공하는 데에 있다.
상기 과제를 해결하기 위하여, 본 발명의 기술적 사상은, OPC(Optical Proximity Correction)를 위한 기본 데이터를 준비하는 단계; 샘플에 대하여 PR(Photo Resist) 패턴의 ADI(After Development Inspection) CD(Critical Dimension)와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI(After Cleaning Inspection) CD를 SEM(Scanning Electron Microscope)으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 이용하여, 상기 SEM 측정에 의한 PR 축소(Shrink)를 반영한 상기 샘플의 CD 데이터를 생성하는 단계; 및 상기 기본 데이터 및 상기 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계;를 포함하는 OPC 방법을 제공한다.
또한, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, OPC를 위한 기본 데이터를 준비하는 단계; 샘플에 대하여 SEM으로 PR 패턴의 ADI CD와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI CD를 측정하는 단계; 상기 웨이퍼 패턴의 ACI CD을 이용하여 상기 PR 패턴의 ADI CD를 보정하여 상기 샘플의 CD 데이터를 생성하는 단계; 상기 기본 데이터 및 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계; 상기 OPC 모델을 체크하여 선택하는 단계; 상기 OPC 모델을 증명하는 단계; 및 상기 OPC 모델을 이용하여 시뮬레이션을 수행하는 단계;를 포함하는 OPC 방법을 제공한다.
더 나아가, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, 샘플에 대하여 PR 패턴의 ADI CD와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI CD을 SEM으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD을 이용하여, 상기 SEM 측정에 의한 PR 축소를 반영한 OPC 모델을 생성하는, OPC 방법을 수행하는 단계; 상기 OPC 방법을 통해 획득한 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달하는 단계; 상기 MTO 디자인 데이터에 기초하여 마스크 데이터를 준비하는 단계; 및 상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광을 수행하는 단계;를 포함하는 마스크 제조방법을 제공한다.
한편, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, 샘플에 대하여 PR 패턴의 ADI CD와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI CD을 SEM으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD을 이용하여, 상기 SEM 측정에 의한 PR 축소를 반영한 OPC 모델을 생성하는, OPC 방법을 수행하는 단계; 상기 OPC 방법을 통해 획득한 디자인 데이터를 MTO 디자인 데이터로서 전달하는 단계; 상기 MTO 디자인 데이터에 기초하여 마스크 데이터를 준비하는 단계; 상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광, 현상 및 식각 공정을 수행하여 마스크를 제조하는 단계; 및 상기 마스크를 이용한 리소그라피 공정을 통해 반도체 소자를 제조하는 단계;를 포함하는 반도체 소자 제조방법을 제공한다.
본 발명의 기술적 사상에 의한 OPC 방법은, SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성하고, 샘플의 CD 데이터를 OPC 모델링에 이용함으로써, OPC 모델의 정확도를 향상시키고 그에 따라 OPC 방법을 최적화시킬 수 있다.
또한, 본 발명의 기술적 사상에 의한 마스크 제조방법은, SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 기초로 한 최적화된 상기 OPC 방법을 이용하여 마스크를 제조함으로써, SEM 측정에 의한 PR 축소에 기인한 에러가 최소화된 마스크를 제조할 수 있도록 한다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 보여주는 흐름도이다.
도 2a는 SEM에 대한 개략적인 구성도이고, 도 2b 및 도 2c는 도 2a의 SEM에 의해 PR 패턴의 CD를 측정할 때 발생하는 현상을 보여주는 개념도들이다.
도 3은 NTD(Negative Tone Development) PR에 대한 노광 공정에서 발생하는 현상을 보여주는 개념도이다.
도 4는 도 1의 샘플의 CD 데이터 생성 단계를 좀더 상세하게 보여주는 흐름도이다.
도 5a 내지 도 5d는 도 4의 샘플의 CD 데이터 생성 단계에서, PR 패턴의 ADI CD 측정과 웨이퍼 패턴의 ACI 측정 과정을 보여주는 단면도들이다
도 6a 내지 도 6c는 다양한 패턴들에 대하여, 도 1의 OPC 방법에 적용되는 SEM 스큐(skew) 데이터를 보여주는 그래프들이다.
도 7a 및 도 7b는 각각 도 6b의 T2T CD의 개념과 T2S CD 개념을 보여주는 개념도이다.
도 8은 기존 방식으로 생성한 OPC 모델에 적용한 △CD와 도 1의 OPC 방법에 적용되는 SEM 스큐 데이터를 보여주는 그래프이다.
도 9는 기존 방식으로 생성한 OPC 모델과 도 1의 OPC 방법에서 생성한 OPC 모델에 대한 정확도를 비교하는 테이블이다.
도 10은 본 발명의 일 실시예에 따른 마스크 제조방법의 과정을 보여주는 흐름도이다.
도 11은 본 발명의 일 실시예에 따른 반도체 소자 제조방법의 과정을 보여주는 흐름도이다.
이하에서는 첨부된 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 보여주는 흐름도이다.
도 1을 참조하면, 먼저, OPC를 위한 기본 데이터를 준비한다(S110). 여기서, 기본 데이터는 샘플의 패턴들의 형태에 대한 데이터, 패턴들의 위치, 패턴의 스페이스(space) 또는 라인(line)에 대한 측정과 같은 측정의 종류, 및 기본 측정값 등을 포함할 수 있다. 또한, 기본 데이터는 PR(Photo Resist)에 대한 두께, 굴절률, 유전 상수 등의 정보를 포함하고, 조명계(illumination system) 형태에 대한 소스 맵을 포함할 수 있다. 물론, 기본 데이터가 상기 예시된 데이터들에 한정되는 것은 아니다.
참고로, OPC 방법은, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고, 이를 극복하기 위해서 패턴의 레이아웃을 보정하여 OPE 발생을 억제하는 방법을 말한다. 이러한 OPC 방법은 크게 두 가지로 나누어지는데, 하나는 룰 베이스(rule-based) OPC 방법이고, 다른 하나는 시뮬레이션 베이스 또는 모델 베이스(model-based) OPC 방법이다. 본 실시예의 OPC 방법은 예컨대, 모델 베이스 OPC 방법일 수 있다. 모델 베이스 OPC 방법은 대량의 테스트 패턴들 모두를 측정할 필요가 없이 대표 패턴들의 측정 결과만을 이용하므로 시간 및 비용 면에서 유리할 수 있다.
한편, OPC 방법은 패턴의 레이아웃의 변형뿐만이 아니라, 패턴의 코너 상에 세리프들(serifs)로 불리는 서브 리소그라피 피쳐들(sub-lithographic features)을 부가하는 방법이나, 스캐터링 바아들(scattering bars)과 같은 서브 레졸루션 어시스트 피쳐들(sub-lithographic assist features: SRAFs)을 부가하는 방법을 포함할 수 있다. 여기서, 세리프는 일반적으로 패턴의 각 코너 상에 위치된 사각형 피처들로서, 패턴의 코너들을 "뾰족하게(sharpen)" 하거나 패턴의 교차에 의해 초래되는 왜곡 인자를 보상하기 위해 사용될 수 있다. SRAF는 패턴의 밀도차에 기인한 OPC 편차 문제를 해결하기 위하여 도입되는 보조 피쳐로서, 노광 장비의 해상도보다 적은 크기로 형성되어 레지스트층으로 전사되지 않는 피쳐이다.
다음, SEM(Scanning Electron Microscope) 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성한다(S120). 일반적으로, OPC 방법은 먼저 SEM으로 샘플 내의 패턴들의 CD를 측정하고, SEM의 측정에 의해 얻은 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하며, OPC 모델을 이용하여 시뮬레이션을 수행하여 실측에 가까운 마스크의 디자인 데이터를 획득하는 순서로 진행하게 된다. 그러나 SEM을 통해 샘플의 CD를 측정할 때, 전자선(electron beam: E-beam)에 의한 에너지가 PR에 가해져 PR의 축소(shrink)가 발생하고, PR의 축소에 기인하여 SEM의 측정에 의해 얻은 샘플의 CD 데이터에는 오차가 존재하게 된다. 또한, 샘플의 CD 데이터의 오차에는 PR 패턴 변형 및 검출 신호 왜곡에 따른 오차도 포함될 수 있다. 따라서, SEM의 측정에 의해 얻은 샘플의 CD 데이터를 그대로 이용하여 OPC 모델을 생성하는 경우, 샘플의 CD 데이터의 오차에 기인하여 OPC 모델에도 오차가 발생하고, 결과적으로 OPC 방법, 즉 OPC 모델에 의한 시뮬레이션에서 오차가 발생할 수 있다. SEM에 의한 PR 패턴의 CD 측정에서의 PR 축소, 및 그에 따른 실제 PR 패턴의 CD와 SEM에 의해 측정된 PR 패턴의 CD 간의 차이에 대해서는 도 3 내지 도 4b의 설명 부분에서 좀더 상세히 설명한다.
그러나 본 실시예의 OPC 방법에서는 SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성함으로써, 전술한 문제점을 해결할 수 있다. SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성하는 구체적인 과정에 대해서는, 도 2 내지 도 5d의 설명 부분에서 좀더 상세히 설명한다. 참고로, 기존 OPC 방법에서는 엔지니어들이 경험적 측면에서 샘플의 CD 데이터를 보정하여 OPC 모델의 생성, 즉, OPC 모델링에 반영한다. 그러나 동일한 샘플 CD 데이터를 가지고 엔지니어마다 조금씩 다른 결과가 도출되는 문제가 있고, 또한, 샘플의 CD 데이터의 오차를 보정하는데 명확한 기준이 없어 샘플의 CD 데이터의 정확도 개선에도 한계가 있다.
한편, 기본 데이터 준비 단계(S110)와 샘플의 CD 데이터 생성 단계(S120)는 수행 순서에 있어서 제한이 없을 수 있다. 예컨대, 기본 데이터 준비 단계(S110)가 먼저 수행될 수도 있고, 샘플의 CD 데이터 생성 단계(S120)가 먼저 수행될 수도 있다.
기본 데이터 준비 및 샘플의 CD 데이터 생성 후, 광학적 OPC 모델을 생성한다(S130). 광학적 OPC 모델의 생성은 노광 공정에서 디포커스 시작(Defocus Stand: DS) 위치, 베스트 포커스(Best Focus: BF) 위치 등의 최적화를 포함할 수 있다. 또한, 광학적 OPC 모델의 생성은 광의 회절 현상이나 노광 설비 자체의 광학적 상태의 최적화 등을 포함할 수 있다. 물론, 광학적 OPC 모델의 생성이 상기 내용들에 한정되는 것은 아니다. 예컨대, 광학적 OPC 모델의 생성에는 노광 공정에서의 광학적 현상과 관련된 다양한 내용들이 포함될 수 있다. 물론, 이러한 광학적 OPC 모델을 생성하는 데에 있어서, 앞서 전술한 샘플의 CD 데이터가 이용될 수 있다.
광학적 OPC 모델 생성 후, PR에 대한 OPC 모델을 생성한다(S140). PR에 대한 OPC 모델의 생성은 PR의 문턱값의 최적화를 포함할 수 있다. 여기서, PR의 문턱값은 노광 공정에서 화학적 변화가 일어나는 문턱값을 의미하며, 예컨대, 문턱값은 노광 광의 인텐서티로 주어질 수 있다. PR에 대한 OPC 모델의 생성은 또한, 여러 PR 모델 폼들에서 적절한 모델 폼을 선택하는 것을 포함할 수 있다. 여기서, PR 모델 폼은 PR의 화학적 성질들의 구성일 수 있다. 예컨대, 제1 PR 모델 폼은 화학적 성질 1, 2, 및 3을 포함하고, 제2 PR 모델 폼은 화학적 성질 1, 3, 및 4를 포함할 수 있다. PR에 대한 OPC 모델을 생성하는 데에 있어서도, 앞서 전술한 샘플의 CD 데이터가 이용될 수 있다.
광학적 OPC 모델과 PR에 대한 OPC 모델을 합쳐서 일반적으로 OPC 모델이라고 한다. 따라서, 광학적 OPC 모델의 생성 과정과 PR에 대한 OPC 모델의 생성 과정 둘 다를 합쳐 OPC 모델의 생성 과정, 즉 OPC 모델링 과정이라고 할 수 있다. 이하, 특별히 언급하지 않는 한, OPC 모델은 광학적 OPC 모델과 PR에 대한 OPC 모델을 합한 개념으로 사용한다.
OPC 모델 생성 후, OPC 모델을 체크하고 OPC 모델을 선택한다(S150). OPC 모델의 체크는, 예컨대, CD 에러에 대한 RMS(Root Mean Square) 계산을 통해 이루어질 수 있다. OPC 모델의 체크 후에 OPC 모델을 선택한다. 좀더 구체적으로 설명하면, 먼저, 생성된 OPC 모델에 대하여 CD 에러에 대한 RMS 계산을 하고, 계산된 RMS 값이 설정된 스펙 내에 포함되는지 체크한다. 계산된 RMS 값이 스펙 내인 경우(Spec-in), 해당 OPC 모델을 선택한다. 만약, 계산된 RMS 값이 스펙에서 벗어난 경우(Spec-out), 해당 OPC 모델을 폐기하고, OPC 모델 생성 단계, 즉, 광학적 OPC 모델 생성 단계(S130)로 이행하여 다시 OPC 모델을 생성하는 과정을 수행한다.
OPC 모델이 선택되면, OPC 모델을 검증한다(S160). OPC 모델의 검증은 OPC 모델의 생성에 이용된 패턴들과 다른 패턴들로의 OPC 모델의 적용을 통해 이루어질 수 있다. OPC 모델을 다른 패턴들에 적용하여 실질적으로 동일한 결과가 나오면(Spec-in), OPC 모델에 대한 검증이 완료된다. 동일한 결과가 나오지 않으면(Spec-out), OPC 모델 생성 단계, 즉, 광학적 OPC 모델 생성 단계(S130)로 이행하여 다시 OPC 모델을 생성하는 과정을 수행한다.
OPC 모델에 대한 검증이 완료되면, OPC 모델을 이용하여 시뮬레이션을 수행한다(S170). 이러한 OPC 모델을 이용한 시뮬레이션 수행을 통해 실측에 가까운 마스크의 디자인 데이터가 획득될 수 있다. 마스크의 디자인 데이터는 이후에 마스크 제작을 위하여 MTO(Mask Tape-Out) 디자인 데이터로서 마스크 제작팀으로 전달될 수 있다.
본 실시예의 OPC 방법은, SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성하고, 샘플의 CD 데이터를 OPC 모델링에 이용함으로써, OPC 모델의 정확도를 향상시키고 그에 따라 OPC 방법을 최적화시킬 수 있다. 또한, 본 실시예의 OPC 방법은, SEM 측정에 의한 PR 축소를 소정 규칙을 가지고 샘플의 CD 데이터에 반영함으로써, SEM 측정에 의한 PR 축소에 기인한 샘플의 CD 데이터의 오차를 보정하는 데에 명확한 기준을 제공할 수 있다. SEM 측정에 의한 PR 축소를 소정 규칙을 가지고 샘플의 CD 데이터에 반영하는 방법에 대해서는, 이하 도 2 내지 도 5d의 부분에서 좀더 상세히 설명한다.
도 2a는 SEM에 대한 개략적인 구성도이고, 도 2b 및 도 2c는 도 2a의 SEM에 의해 PR 패턴의 CD를 측정할 때 발생하는 현상을 보여주는 개념도들이다.
도 2a 내지 도 2c를 참조하면, 전술한 바와 같이, 샘플의 CD 데이터를 생성하기 위하여, 일반적으로 SEM(200)에 의해 샘플 내의 PR 패턴들의 CD가 측정될 수 있다. 도 2a에 도시된 SEM(200)에 대해 간단히 설명하면, SEM(200)은 전자 총(210, electron gun), 애노드(220, anode), 마그네틱 렌즈(230, magnetic lens), 스캐닝 코일(240, scanning coil), 제1 검출기(250), 제2 검출기(260), 스캐너(270), 및 스테이지(280)를 포함할 수 있다.
전자 총(210)은 예건대, 쇼트키형, 또는 열전계 방출형 전자총이 이용될 수 있다. 전자 총(210)에 가속 전압을 인가함으로써 전자선이 방출될 수 있다. 애노드(220)는 가속 전극으로서, 전자 총(210)과 애노드(220) 사이에 인가된 전압에 의해 전자선이 가속된다. 마그네틱 렌즈(230)는 전자선을 포커싱하고 가속시키는 기능을 할 수 있다. 스캐닝 코일(240)은 전자선을 시료(specimen), 즉, 샘플 웨이퍼(290) 상에 일차원적으로 또는 이차원적으로 스캔시킬 수 있다. 제1 검출기(250)는 전자선이 샘플 웨이퍼(290)에 조사되어 반사된(back scattered) 전자들을 검출하고, 제2 검출기(260)는 전자선 조사에 의해 샘플 웨이퍼(290)에서 발생한 2차 전자를 검출한다. 스캐너(270)는 제1 및 제2 검출기(250, 260)로부터 검출된 전자들에 대한 검출 신호를 분석하여 샘플 웨이퍼(290) 상의 PR 패턴 또는 웨이퍼 패턴에 대한 이미지를 생성할 수 있다. 또한, 스캐너(270)는 스캐닝 코일(240)에 고주파 제어 신호를 인가하여 전자선들의 스캔 방향을 제어할 수도 있다.
스테이지(280)는 샘플 웨이퍼(290)가 배치되는 장소로서, 샘플 웨이퍼(290)는 스테이지(280) 상면 상에 놓여 지지될 수 있다. 스테이지(280)는 x 방향, y 방향, 또는 z 방향으로의 직선 이동을 통해 샘플 웨이퍼(290)를 x 방향, y 방향, 또는 z 방향으로 이동시킬 수 있다. 한편, 도시되지 않았지만, 스테이지(280) 바로 위에 대물렌즈가 배치되고, 대물렌즈는 스캔 코일(240)에 의해 편향된 전자선을 샘플 웨이퍼(290)의 상면 상에 집속시킬 수 있다.
도 2b는 샘플 웨이퍼(290) 상에 형성된 라인-앤-스페이스(line-and-space) 형태의 PR 패턴(PRbe)의 단면을 보여주고 있는데, SEM(200)에 의한 CD 측정이 이루어지기 전의 형태를 보여준다. PR 패턴(PRbe)은 스페이스 부분에서 제1 CD(CD1)을 가지고, 라인 부분에서 제2 CD(CD2)을 가질 수 있다. 도 2b의 PR 패턴(PRbe)에서, 스페이서 부분은 아래로 갈수록 좁아지고 라인 부분은 아래로 갈수록 넓어지는 구조를 갖는데, PR 패턴(PRbe)의 구조가 그에 한정되는 것은 아니다. 예컨대, PR 패턴(PRbe)을 이루는 PR의 재질이나 노광 공정에서의 노광 조건 등에 기초하여 스페이서와 라인 부분의 측면이 거의 수직인 프로파일을 갖거나, 또는 도 2b의 구조와 반대의 구조를 가질 수도 있다.
도 2c는 SEM(200)에 의한 CD 측정을 할 때의 PR 패턴(PRme)의 단면을 보여준다. SEM(200)에 의한 CD 측정에서, 전자선(E-beam)이 PR로 주사되고 전자선에 의한 에너지가 PR에 가해질 수 있다. PR로 가해진 에너지는 PR을 수축시키고 그에 따라 PR 패턴의 변형을 야기할 수 있다. 예컨대, PR의 수축에 의해 PR 패턴(PRme)의 스페이서 부분의 폭이 넓어지고 라인 부분의 폭이 좁아질 수 있다. 도 2c에서, 점선이 PR 수축 전의 상태를 나타내고 실선이 PR 수축 후의 상태를 나타낸다. 다시 말해서, SEM(200)에 의해 측정된 스페이스 부분의 제1 CD(CD1')는 측정 전의 초기 스페이스 부분의 제1 CD(CD1)보다 크고, SEM(200)에 의해 측정된 라인 부분의 제2 CD(CD2')는 측정 전의 초기 라인 부분의 제2 CD(CD2)보다 작을 수 있다. 따라서, SEM(200)에 의해 측정된 PR 패턴의 CD는 SEM(200)에 의한 측정 전의 초기 PR 패턴의 CD와는 차이가 있는 에러를 가질 수 있고, 그러한 에러를 갖는 PR 패턴의 CD를 샘플의 CD 데이터로 이용하는 경우에, OPC 모델링의 에러 및 그에 따른 OPC 방법의 에러를 초래할 수 있다.
도 3은 NTD(Negative Tone Development) PR에 대한 노광 공정에서 발생하는 현상을 보여주는 개념도이다. 여기서, NTD PR은, 현상(development) 공정에서 노광이 되지 않은 부분이 제거되고 노광된 부분은 유지되는 PR을 의미한다. 한편, NDT PR에 반대되는 PTD(Positive Tone Development) PR은 노광이 된 부분이 현상 공정에 의해 제거되는 PR을 의미한다.
도 3을 참조하면, NTD PR에 대하여 UV(ultraviolet) 또는 EUV(extreme UV) 광을 조사하여 노광 공정을 수행한다(Expose). 다음, 노광 공정 후, 열처리를 통해 베이크 공정을 수행한다(Post Exposure Bake: PEB). 이후, 현상 공정을 진행하여 노광되지 않은 NTD PR 부분을 제거하고, 노광된 NTD PR 부분을 남긴다(Develop). 한편, 노광 공정 및 베이크 공정에서 에너지가 NTD PR 부분에 인가됨으로써, NTD PR이 축소됨을 확인할 수 있다. 실제로 최하부에 표시된 바와 같이, 남은 NTD PR 부분은 측면 방향의 축소(lateral shrink)와 수직 방향의 축소(vertical shrink)가 발생할 수 있다. 따라서, NTD PR의 경우, 에너지 인가에 따라 PR 축소가 일어난다는 사실에 기인하여, SEM에 의한 CD 측정시에도 전자선의 에너지 인가에 의해 PR의 축소가 일어남을 충분히 예측할 수 있다.
참고로, 노광 후 베이크 공정(PEB)에서, 노광되지 않은 부분에도 열처리에 의해 에너지가 인가되어 PR 축소가 발생할 수 있다. 그러나 노광되지 않은 부분은 화학적 특성이 노광된 부분과 달라 PR 축소 현상이 노광된 부분과 다를 수 있고, 또한, 어차피 제거되는 부분이므로 PR 축소 효과가 생략되어 도시되고 있다.
덧붙여, PTD PR을 고려해 보면, PTD PR의 경우 전술한 바와 같이, 노광이 된 부분이 현상 공정에서 제거되고, 노광이 되지 않은 부분이 남아 PR 패턴이 형성될 수 있다. 에너지 인가의 관점에서, PTD PR로 생성된 PR 패턴의 경우, NTD PR로 생성된 PR 패턴과 비교할 때 에너지가 상대적으로 적게 가해진 상태에 해당할 수 있다. 다시 말해서, NTD PR의 PR 패턴의 경우 노광 공정에서 에너지가 인가된 부분이나, PTD PR의 PR 패턴의 경우 노광 공정에서 에너지가 인가되지 않은 부분이다. 한편, PR의 축소 현상은 처음의 에너지 인가에서 크게 나타나고 나중으로 갈수록 작게 나타날 수 있다. 결과적으로, NTD PR의 PR 패턴에 대해 SEM에 의한 CD 측정보다는 PTD PR에 의한 PR 패턴에 대해 SEM에 의한 CD 측정에서 PR 축소가 크게 발생할 수 있음을 예측할 수 있다.
도 4는 도 1의 샘플의 CD 데이터 생성 단계를 좀더 상세하게 보여주는 흐름도이고, 도 5a 내지 도 5d는 도 4의 샘플의 CD 데이터 생성 단계에서, PR 패턴의 ADI CD 측정과 웨이퍼 패턴의 ACI 측정 과정을 보여주는 단면도들이다. 도 1의 설명 부분에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 4 및 도 5a를 참조하면, 먼저 샘플용 웨이퍼(110) 상에 PR 패턴(120)을 형성한다(S121). 샘플용 웨이퍼(110)와 PR 패턴(120) 전체가 하나의 샘플에 해당할 수 있다. PR 패턴(120)은 샘플용 웨이퍼(110) 상에 PR을 도포한 후, 노광 공정과 현상 공정을 통해 형성할 수 있다. PR 패턴(120)은 NTD PR로 형성될 수도 있고, PTD PR로 형성될 수도 있다. PR 패턴(120)은 라인-앤-스페이스 형태로 형성될 수 있다. 라인-앤-스페이스는 지면에서 들어가거나 나오는 방향으로 연장할 수 있다. 처음에 PR 패턴(120)이 형성된 후, PR 패턴(120)의 라인 부분은 초기 CD(CD_ado)를 가질 수 있다. 초기 CD(CD_ado)는 SEM에 의해 측정 전의 CD 값으로서 실제 PR 패턴(120)의 라인 부분의 CD 값에 해당할 수 있다. 다만, 초기 CD(CD_ado)는 물리적인 측정을 통해 확인될 수는 없다.
한편, 샘플은 제1 영역(Area1)과 제2 영역(Area2)으로 구별될 수 있다. 제1 영역(Area1)은 SEM에 의해 PR 패턴의 CD 측정이 수행되는 영역이고, 제2 영역(Area2)은 SEM에 의한 PR 패턴의 CD 측정이 수행되지 않는 영역일 수 있다. 제1 영역(Area1)과 제2 영역(Area2) 각각에는 동일한 PR 패턴들이 다수 개 포함될 수 있다. 도시된 바와 같이, SEM에 의한 CD 측정 전에는 제1 영역(Area1)의 PR 패턴(120)의 라인 부분의 CD와 제2 영역(Area2)의 PR 패턴(120)의 라인 부분의 CD는 초기 CD(CD_ado)로서 동일할 수 있다.
도 4 및 도 5b를 참조하면, PR 패턴(120) 생성 후, SEM에 의해 샘플의 제1 영역(Area1)에서 PR 패턴(120a)의 라인 부분의 ADI(After Development Inspection) CD을 측정한다(S122). 일반적으로 PR 패턴의 CD 측정을 ADI CD 측정이라고 하는데, 이는 PR 패턴이 현상 공정을 통해 형성되고, 현상 공정 후에 PR 패턴의 CD 측정이 이루어지기 때문이다. 한편, 식각을 통해 웨이퍼나 또는 웨이퍼 상의 물질막에 형성한 패턴에 대한 CD 측정은 ACI(After Cleaning Inspection) CD 측정 또는 AEI(After Etch Inspection) CD 측정이라 한다. 여기서, ACI는 식각 후에 보통 세정이 수행되고, 웨이퍼 패턴이나 물질막 패턴에 대한 CD 측정은 세정 후에 이루어지기 때문에 붙여진 용어이다.
도 5b의 점선과 실선을 통해 알 수 있듯이, SEM에 의한 ADI CD 측정에 의해 PR의 축소가 발생할 수 있다. 즉, 점선이 PR 축소가 발생하기 전의 상태를 나타내고 실선이 PR 축소가 된 상태를 나타낸다. 그에 따라, SEM에 의해 측정된 PR 패턴(120a)의 라인 부분은 보정 전 ADI CD(CD_ad1)를 가질 수 있다. 보정 전 ADI CD(CD_ad1)는 초기 CD(CD_ad0)보다 작을 수 있다.
도 4 및 도 5c를 참조하면, 제1 영역(Area1)의 PR 패턴(120a)에 대한 ADI CD 측정 후, PR 패턴(120a, 120)을 이용하여 샘플용 웨이퍼를 식각하여 웨이퍼 패턴(110P1, 110P2)을 형성한다(S123). 웨이퍼 패턴(110P1, 110P2)은 제1 영역(Area1)의 제1 웨이퍼 패턴(110P1)과 제2 영역(Area2)의 제2 웨이퍼 패턴(110P2)을 포함할 수 있다. 제1 웨이퍼 패턴(110P1)은 제1 영역(Area1)의 PR 패턴(120a)을 마스크로 이용하여 형성되고, 제2 웨이퍼 패턴(110P2)은 제2 영역(Area2)의 PR 패턴(120)을 마스크로 이용하여 형성될 수 있다. 따라서, 제1 웨이퍼 패턴(110P1)의 라인 부분의 폭이 제2 웨이퍼 패턴(110P2)의 라인 부분의 폭보다 작을 수 있다.
식각 공정 조건에 따라, 제1 영역(Area1)의 PR 패턴(120a)과 제1 웨이퍼 패턴(110P1)의 라인 부분의 폭이 서로 일치하지는 않을 수 있다. 또한, 제2 영역(Area2)의 PR 패턴(120)과 제2 웨이퍼 패턴(110P2)의 라인 부분의 폭이 서로 일치하지는 않을 수 있다. 그러나 제1 영역(Area1)과 제2 영역(Area2)에서의 식각 공정 조건이 동일하다면, 작은 폭의 PR 패턴의 라인 부분에 의해 형성되는 웨이퍼 패턴의 라인 부분의 폭은, 넓은 폭의 PR 패턴의 라인 부분에 의해 형성되는 웨이퍼 패턴의 라인 부분의 폭보다 작을 수 있다. 따라서, 제1 웨이퍼 패턴(110P1)의 라인 부분의 폭이 제2 웨이퍼 패턴(110P2)의 라인 부분의 폭보다 작아짐은 당연한 결과일 수 있다.
도 4 및 도 5d를 참조하면, 웨이퍼 패턴(110P1, 110P2)을 형성한 후, 제1 영역(Area1)의 웨이퍼 패턴, 즉 제1 웨이퍼 패턴(110P1)에 대하여 ACI CD를 측정하고, 제2 영역(Area2)의 웨이퍼 패턴, 즉 제2 웨이퍼 패턴(110P2)에 대하여 ACI CD를 측정한다(S124). 제1 웨이퍼 패턴(110P1)에 대한 ACI CD 측정과 제2 웨이퍼 패턴(110P2)에 대한 ACI CD 측정은 SEM에 의해 수행될 수 있다. 다만, 제1 웨이퍼 패턴(110P1)과 제2 웨이퍼 패턴(110P2)의 재질은 실리콘과 같은 반도체이므로 SEM에 의한 웨이퍼 패턴의 ACI CD 측정 과정에서 샘플용 웨이퍼(110)의 축소는 거의 발생하지 않을 수 있다. 물론, SEM에 의한 웨이퍼 패턴의 ACI CD 측정 과정에서 샘플용 웨이퍼(110)의 축소가 완전히 배제되는 것은 아니다.
SEM에 의한 제1 웨이퍼 패턴(110P1)에 대한 ACI CD 측정을 통해, 제1 웨이퍼 패턴(110P1)의 라인 부분은 제1 ACI CD(CD_ac1)을 얻을 수 있다. 또한, SEM에 의한 제2 웨이퍼 패턴(110P2)에 대한 ACI CD 측정을 통해, 제2 웨이퍼 패턴(110P2)의 라인 부분은 제2 ACI CD(CD_ac2)을 얻을 수 있다. 전술한 바와 같이, PR 패턴들(120a, 120)의 차이에 기인하여, 제1 웨이퍼 패턴(110P1)의 라인 부분의 폭은 제1 웨이퍼 패턴(110P1)의 라인 부분의 폭보다 작을 수 있다. 따라서, 제1 ACI CD(CD_ac1)가 제2 ACI CD(CD_ac2)보다 작을 수 있다.
도 4를 참조하면, 제1 웨이퍼 패턴(110P1)과 제2 웨이퍼 패턴(110P2)에 대한 ACI CD 측정 후, 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이를 계산한다(S125). 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이는 앞서 제1 영역(Area1)의 PR 패턴(120a)에 대한 SEM에 의한 ADI CD 측정에 기인한 것으로 볼 수 있다.
도 4를 참조하면, 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이 계산 후, 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이를 이용하여 PR 패턴의 ADI CD를 보정한다(S126). 예컨대, 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이를, SEM에 의한 측정을 통해 얻은 PR 패턴의 ADI CD, 즉, 보정 전 ADI CD(CD_ad1)에 반영함으로써, SEM 측정에 의한 PR 축소에 기인하여 발생한 PR 패턴의 ADI CD의 오차를 보정할 수 있다. 그에 따라, PR 패턴의 초기 CD(CD_ad0)에 근사하는 PR 패턴의 보정 ADI CD(CD_co)을 구할 수 있다.
PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법을 수식으로 표현하면 식(1)과 같다.
CD_ad0 ≒ CD_co = CD_ad1 + △ ...................식(1)
여기서, CD_ad0는 PR 패턴의 초기 CD이고(도 5a 참조), CD_co는 PR 패턴의 보정 ADI CD이고, CD_ad1는 PR 패턴의 보정 전 ADI CD이며, △는 제1 ACI CD(CD_ac1)와 제2 ACI CD(CD_ac2) 간의 차이에 해당한다. 즉, △ = CD_ac2 - CD_ac1 일 수 있다. 여기서, △는 SEM에 의해 측정된 CD가 PR 패턴의 라인 부분에 해당하느냐, 아니면 PR 패턴의 스페이스 부분에 해당하느냐에 따라 플러스(+)일 수도 있고, 마이너스(-)일 수도 있다. 예컨대, PR 패턴의 라인 부분에 대해서 식(1)을 적용하는 경우에, SEM 측정에 의한 PR 축소에 의해 보정 전 ADI CD(CD_ad1)가 초기 CD(CD_ad0)보다 작아지게 된다. 또한, 제2 ACI CD(CD_ac2)가 제1 ACI CD(CD_ac1)보다 크므로 △는 플러스(+)가 된다. 따라서, 보정 ADI CD(CD_co)는 보정 전 ADI CD(CD_ad1)보다 커지게 된다.
한편, PR 패턴의 스페이스 부분에 대해서 식(1)을 적용하는 경우를 고려해 보면, 도 3c를 통해 알 수 있듯이, PR 패턴의 스페이서 부분의 CD의 경우, SEM 측정에 의한 PR 축소에 의해 보정 전 ADI CD(CD_ad1)가 초기 CD(CD_ad0)보다 커지게 된다. 또한, 제2 ACI CD(CD_ac2)가 제1 ACI CD(CD_ac1)보다 작으므로 △는 마이너스(-)가 된다. 따라서, 보정 ADI CD(CD_co)는 보정 전 ADI CD(CD_ad1)보다 작아지게 된다.
지금까지, 제1 영역(Area1)의 하나의 PR 패턴, 그리고 그에 대응하는 제2 영역(Area2)의 하나의 PR 패턴을 가지고 설명하였지만, 본 발명의 OPC 발명이 그에 한정되는 것은 아니다. 예컨대, 제1 영역(Area1) 및 제2 영역(Area2) 각각에서 서로 다른 위치에 배치된 적어도 2개의 동일한 PR 패턴들이 PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 데에 이용될 수 있고, 그에 따라, PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법의 신뢰성이 향상될 수 있다.
좀더 구체적으로 설명하면, 제1 영역(Area1)과 제2 영역(Area2) 각각에는 서로 다른 위치에 동일한 PR 패턴들이 다수 개 포함될 수 있다. 제1 영역(Area1)의 n(n≥2) 개의 PR 패턴들에 대하여 SEM에 의한 ADI CD 측정을 수행한다. 이후, PR 패턴들을 이용하여 샘플용 웨이퍼(100)를 식각하여 웨이퍼 패턴들을 형성하고, 제1 영역(Area1)의 n 개의 웨이퍼 패턴들, 즉 n 개의 제1 웨이퍼 패턴들에 대한 ACI CD 측정하고, 또한 제2 영역(Area2)의 n 개의 웨이퍼 패턴들, 즉 n 개의 제2 웨이퍼 패턴들에 대한 ACI CD 측정한다. 이후, 식(1)과 같은 과정을 통해 n 개의 PR 패턴들에 대한 보정 ADI CD(CD_co)을 구할 수 있다. n 개의 PR 패턴들에 대하여 보정 ADI CD(CD_co)을 구하고 평균함으로써, 보다 정확한 PR 패턴에 대한 보정 ADI CD(CD_co)을 구할 수 있다.
본 실시예의 OPC 방법에서, PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법의 신뢰성을 향상시키기 위하여, 제1 영역(Area1)과 제2 영역(Area2) 각각에 서로 다른 위치에 배치된 적어도 10개의 동일한 PR 패턴들이 이용될 수 있다.
한편, 실시예에 따라, 제1 영역(Area1)과 제2 영역(Area2) 각각에는 서로 다른 PR 패턴들이 다수 개 포함할 수도 있다. 이러한 경우에, 제1 영역(Area1) 내에 포함된 PR 패턴들에 대응하는 동일한 형태의 PR 패턴들이 제2 영역(Area2)에도 포함되어야 한다. 좀더 구체적으로 설명하면, 제1 영역(Area1) 내에 서로 다른 형태의 PR 패턴들인 패턴 1, 패턴 2, 및 패턴 3가 배치된 경우에, 제2 영역(Area2) 내에도 패턴 1, 패턴 2, 및 패턴 3의 PR 패턴들이 배치되어야 한다. 이는, 제1 영역(Area1) 내의 패턴 1, 패턴 2, 및 패턴 3에 대해 SEM에 의한 ADI CD가 측정되는 경우, 제2 영역(Area2)에도 대응하는 패턴 1, 패턴 2, 및 패턴 3이 존재해야, 식각 공정 후에 제1 영역(Area1)과 제2 영역(Area2)의 웨이퍼 패턴에 대한 ACI CD를 측정하여 서로 간의 차이를 계산하고, 계산된 차이를 이용하여 PR 패턴들 각각의 보정 ADI CD를 구할 수 있기 때문이다.
도 5a 내지 도 5d에서, 라인-앤-스페이스 형태의 PR 패턴을 가지고 PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법을 설명하였지만, PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법이 라인-앤-스페이스 형태의 PR 패턴에 한정되는 것은 아니다. 예컨대, 원, 타원, 또는 다각형과 같은 형태를 갖는 PR 패턴에 대해서도, 보정 ADI CD(CD_co)을 구하는 방법이 적용될 수 있음은 물론이다. 한편, PR 패턴이 라인-앤-스페이스 형태를 갖든, 원, 타원, 또는 다각형 등과 같은 형태를 갖든, 라인과 같이 PR이 존재하는 부분은 SEM 측정에 의해 축소가 발생하고, PR이 존재하지 않은 스페이스 부분은 SEM 측정에 의해 확대가 발생한다는 점을 고려하면, 본 실시예의 OPC 방법에 적용되는 PR 패턴에 대한 보정 ADI CD(CD_co)을 구하는 방법은 PR 패턴의 형태와 상관없이 일반적으로 적용될 수 있다.
도 6a 내지 도 6c는 다양한 패턴들에 대하여, 도 1의 OPC 방법에 적용되는 SEM 스큐(skew) 데이터를 보여주는 그래프들이고, 도 7a 및 도 7b는 각각 도 6b의 T2T CD의 개념과 T2S CD 개념을 보여주는 개념도이다. 여기서, x 축은 PR 패턴들의 종류를 나타내고, y축은 SEM 측정에 의한 PR 축소에 대한 정도, 즉 SEM 스큐로서, 예컨대 앞서 식(1)의 △에 해당하며, 단위는 임의 단위이다. 한편, 도 6a의 1D는 라인-앤-스페이스와 같은 단순한 패턴을 의미하고, 도 6b의 T2T는 패턴들의 팁과 팁 사이를 의미하며, 도 6c의 T2S는 패턴들의 팁과 사이드 사이를 의미할 수 있다. 참고로, 복잡한 패턴의 경우는 보통 2D로 약칭한다. 한편, PR 패턴들은 서로 촘촘하게 배치된 PR 패턴, 및 독립적인 하나의 패턴을 포함할 수 있고, 기본적으로 수직하게 배치될 수 있다.
도 6a를 참조하면, 그래프를 통해, SEM 스큐 데이터가 일정한 패턴을 가지고 반복됨을 알 수 있는데, 이는 PR 패턴들이 일정한 규칙을 가지고 반복적으로 배치되고 있기 때문일 수 있다. 반복적인 PR 패턴들에 대하여 일정한 패턴의 SEM 스큐 데이터를 갖는다는 점은, 본 실시예의 OPC 방법에 적용되는 PR 패턴에 대한 ADI CD 보정 방법이 어느 정도 정확성이 있음을 예측할 수 있도록 한다. 한편, 반복된 하나의 구간 내에서 PR 패턴들의 SEM 스큐 데이터가 서서히 감소하는데, 이는 PR 패턴들 간의 밀도 차이에 기인할 수 있다. 예컨대, PR 패턴의 밀도가 낮은 경우, 즉 성기게 배치된 PR 패턴의 경우에는 SEM 스큐가 크고, PR 패턴의 밀도가 높은 경우, 즉, 촘촘하게 배치된 PR 패턴의 경우는 SEM 스큐가 작을 수 있다.
도 6b 및 도 7a를 참조하면, 그래프는 T2T CD(CDT2T), 즉, 도 7a에 도시된 바와 같이, 제1 패턴(P1)의 끝단(tip) 부분과 제2 패턴(P2)의 끝단 부분 사이의 CD에 대한 SEM 스큐 데이터를 보여준다. 좀더 구체적으로, 제1 패턴(P1)의 끝단 부분과 제2 패턴(P2)의 끝단 부분 사이의 CD를 SEM에 의해 측정한 후, 앞서 설명한 바와 같은 과정을 걸쳐 △를 계산함으로써, T2T CD(CDT2T)에 대한 SEM 스큐 데이터를 얻을 수 있다. 도 6b에 도시된 바와 같이, PR 패턴들의 T2T CD의 SEM 스큐 데이터가 매우 다양하게 나타남을 확인할 수 있다.
도 6c 및 도 7b를 참조하면, 그래프는 T2S CD(CDT2S), 즉, 도 7b에 도시된 바와 같이, 제1 패턴(P1)의 끝단(tip) 부분과 제3 패턴(P3)의 사이드(side) 부분 사이의 CD에 대한 SEM 스큐 데이터를 보여준다. 좀더 구체적으로, 제1 패턴(P1)의 끝단 부분과 제3 패턴(P3)의 사이드 부분 사이의 CD를 SEM에 의해 측정한 후, 앞서 설명한 바와 같은 과정을 걸쳐 △를 계산함으로써, T2S CD(CDT2S)에 대한 SEM 스큐 데이터를 얻을 수 있다. 도 6c에 도시된 바와 같이, PR 패턴들의 T2S CD의 SEM 스큐 데이터 역시 매우 다양하게 나타남을 확인할 수 있다.
도 8은 기존 방식으로 생성한 OPC 모델에 적용한 △CD와 도 1의 OPC 방법에 적용되는 SEM 스큐 데이터를 보여주는 그래프이다. 여기서, x 축은 PR 패턴들의 종류를 나타내고, y축은 △CD와 SEM 스큐를 의미하며, 단위는 임의 단위이다. 한편, △CD는 기존 방식의 OPC 모델링에서, SEM에 의한 측정한 PR 패턴의 ADI CD의 보정을 위해 엔지니어들이 경험적으로 반영한 보정 값에 해당할 수 있다. 또한, SEM 스큐 데이터는 도 6a의 SEM 스큐 데이터와 동일할 수 있다.
도 8을 참조하면, 그래프를 통해 알 수 있듯이, SEM 스큐 데이터에 비해 △CD들이 불규칙적으로 나타나고 전반적으로 높은 값을 가짐을 확인할 수 있다. PR 패턴들이 반복적인 패턴들임을 고려할 때, SEM 스큐 데이터에 의한 PR 패턴에 대한 ADI CD 보정이 △CD들에 의한 PR 패턴에 대한 ADI CD 보정보다 정확함을 예상할 수 있다. 따라서, SEM 스큐 데이터에 기초한 OPC 모델이 △CD들에 기초한 OPC 모델에 비해 보다 정확한 OPC 방법을 수행하는 데에 기여할 수 있음을 알 수 있다.
도 9는 기존 방식으로 생성한 OPC 모델과 도 1의 OPC 방법에서 생성한 OPC 모델에 대한 정확도를 비교하는 테이블이다. 여기서, PTD는 기존 방식으로 생성한 OPC 모델을 의미하고, SEM PTD는 본 발명의 OPC 방법에 따른 SEM 스큐를 반영하여 생성한 OPC 모델을 의미한다. 또한, 1D RMS는 단순한 패턴들에 대한 에러 RMS값을 나타내고 2D RMS는 복잡한 패턴들에 대한 에러 RMS값을 나타내며, Overall RMS는 전체 패턴들에 대한 에러 RMS 값을 나타낸다. 한편, 1D Pass Rate는 허용 가능한 단순 패턴들의 퍼센트를 나타내고, 2D Pass Rate는 허용 가능한 복잡한 패턴들의 퍼센트를 나타내며, Overall Pass Rate는 허용 가능한 모든 패턴들의 퍼센트를 나타낸다.
도 9를 참조하면, 일단, 1D RMS, 2D RMS, 및 Overall RMS은 낮을수록 좋은 OPC 모델을 의미하며, 1D Pass Rate, 2D Pass Rate, 및 Overall Pass Rate는 높을수록 좋은 OPC 모델을 의미할 수 있다. 테이블을 통해 알 수 있듯이, SEM PTD의 1D RMS, 2D RMS, 및 Overall RMS 각각은 대응하는 PTD의 1D RMS, 2D RMS, 및 Overall RMS 각각보다 낮음을 알 수 있다. 또한, SEM PTD의 1D Pass Rate, 2D Pass Rate, 및 Overall Pass Rate 각각은 대응하는 PTD의 1D Pass Rate, 2D Pass Rate, 및 Overall Pass Rate 각각보다 높음을 알 수 있다. 따라서, 본 발명의 OPC 방법에 따른 SEM 스큐를 반영하여 생성한 OPC 모델이 기존 방식으로 생성한 OPC 모델보다 우수함을 알 수 있다.
도 10은 본 발명의 일 실시예에 따른 마스크 제조방법의 과정을 보여주는 흐름도이다. 도 1 내지 도 5d의 설명 부분에서 설명한 내용은 간단히 설명하거나 생략한다.
도 10을 참조하면, 먼저 OPC를 수행한다(S100). 여기서, OPC 수행은 도 1의 설명 부분에서 설명한 바와 같이, 기본 데이터를 준비하는 단계(S110), 샘플의 CD 데이터를 생성하는 단계(S120), OPC 모델을 생성하는 단계(S130, S140), OPC 모델을 체크 및 선택하는 단계(S150), OPC 모델을 검증하는 단계(S160), 및 시뮬레이션을 수행하는 단계(S170)를 포함할 수 있다. 또한, 샘플의 CD 데이터를 생성하는 단계(S120)는, 도 4의 설명 부분에서 설명한 바와 같이, PR 패턴을 형성하는 단계(S121), PR 패턴의 ADI CD를 측정하는 단계(S122), 웨이퍼 패턴을 형성하는 단계(S123), 웨이퍼 패턴의 ACI CD를 측정하는 단계(S124), 제1 및 제2 ACI CD 간의 차이를 계산하는 단계(S125), 및 상기 차이를 이용하여 PR 패턴의 ADI CD를 보정하는 단계(S126)를 포함할 수 있다.
OPC 수행 후, MTO 디자인 데이터를 입력받는다(S200). 일반적으로, MTO는 OPC 단계가 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 의미할 수 있다. 따라서, MTO 디자인 데이터는 결국, OPC 단계가 완료된 마스크 디자인 데이터라고 볼 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예컨대, MTO 디자인 데이터는 GDS2(Graphic Data System Ⅱ), OASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
MTO 디자인 데이터를 입력받은 후, 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행한다(S300). 마스크 데이터 준비는 예컨대, 분할(fracturing)로 불리는 포맷 변환, 기계식 판독을 위한 바코드, 검사용 표준 마스크 패턴, 잡-덱(job deck) 등의 추가(augmentation), 그리고 자동 및 수동 방식의 검증을 포함할 수 있다. 여기서 잡-덱은 다중 마스크 파일들의 배치정보, 기준 도우즈(dose), 노광 속도나 방식 등의 일련의 지령에 관한 텍스트 파일을 만드는 것을 의미할 수 있다.
한편, 포맷 변환, 즉 분할(fracturing)은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 의미할 수 있다. 분할에는 예컨대, 크기 조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다. 분할을 통한 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정 중의 어디에선가 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 상기 계통 오차들에 대한 데이터 보정 공정을 마스크 프로세스 보정(Mask Process Correction: MPC)이라고 부르며, 예컨대 CD 조절이라고 부르는 선폭 조절 및 패턴 배치 정밀도를 높이는 작업 등이 포함될 수 있다. 따라서, 분할은 최종 마스크의 품질 향상에 기여할 수 있고 또한 마스크 프로세스 보정을 위해 선행적으로 수행되는 공정일 수 있다. 여기서, 계통 오차들은 노광 공정, 마스크 현상(development) 및 에칭(etching) 공정, 그리고 웨이퍼 이미징 공정 등에서 발생하는 왜곡에 의해서 유발될 수 있다.
한편, 마스크 데이터 준비는 MPC를 포함할 수 있다. MPC는 전술한 바와 같이 노광 공정 중에 발생하는 에러, 즉 계통 오차를 보정하는 공정을 말한다. 여기서, 노광 공정은 전자빔 쓰기(Writing), 현상, 에칭, 베이크(bake) 등을 전반적으로 포함하는 개념일 수 있다. 덧붙여, 노광 공정 전에 데이터 프로세싱이 수행될 수 있다. 데이터 프로세싱은 일종의 마스크 데이터에 대한 전처리 과정으로서, 마스크 데이터에 대한 문법 체크, 노광 시간 예측 등을 포함할 수 있다.
마스크 데이터 준비 후, 마스크 데이터를 기반으로 하여 마스크용 기판을 노광한다(S400). 여기서, 노광은 예컨대, 전자빔 쓰기를 의미할 수 있다. 여기서, 전자빔 쓰기는 예컨대, 멀티-빔 마스크 노광기(Multi-Beam Mask Writer: MBMW)를 이용한 그레이 노광(Gray Writing) 방식으로 진행할 수 있다. 또한, 전자빔 쓰기는 가변 형상 빔(Variable Shape Beam: VSB) 노광기를 이용하여 수행할 수도 있다.
한편, 마스크 데이터 준비 단계 이후, 노광 공정 전에 마스크 데이터를 픽셀 데이터로 변환하는 과정이 수행될 수 있다. 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
노광 공정 후, 일련의 공정들을 진행하여 마스크를 제조한다(S500). 일련의 공정들은 예컨대, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다. 또한, 마스크 제조를 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 또한, 펠리클(pellicle) 도포 공정이 포함될 수도 있다. 여기서 펠리클 도포 공정은 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면, 마스크 표면을 마스크의 배송 및 마스크의 가용수명 기간 동안 후속적인 오염으로부터 마스크를 보호하기 위해서 펠리클을 부착하는 공정을 의미할 수 있다.
본 실시예의 마스크 제조방법은, 도 1에서 설명한 바와 같이, SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성하고, 샘플의 CD 데이터를 OPC 모델링에 이용하여 OPC 모델의 정확도를 향상시키며, 그에 따라 OPC 방법을 최적화함으로써, 우수한 마스크를 제조할 수 있다. 예컨대, 본 실시예의 마스크 제조방법은, SEM 측정에 의한 PR 축소에 기인한 에러가 최소화된 마스크를 제조할 수 있도록 한다.
도 11은 본 발명의 일 실시예에 따른 반도체 소자 제조방법의 과정을 보여주는 흐름도이다. 도 10의 설명 부분에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 11을 참조하면, 도 10의 설명 부분에서 설명한 바와 같이, OPC 수행 단계(S100), MTO 디자인 데이터 입력 단계(S200), 마스크 데이터 준비 단계(S300), 마스크용 기판을 노광하는 단계(S400) 및 마스크 제조 단계(S500)를 수행하여 마스크를 제조한다.
마스크가 제조되면 제조된 마스크를 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행하여 반도체 소자를 제조한다(S600). 예컨대, 마스크를 이용하는 공정은 대표적으로 노광 공정을 통한 패터닝 공정을 의미할 수 있다. 이러한 마스크를 이용한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다.
한편, 반도체 공정은 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 여기서, 증착 공정은 CVD, 스퍼터링, 스핀 코팅 등 다양한 물질층 형성 공정을 포함할 수 있다. 이온 공정은 이온 주입, 확산, 열처리 등의 공정을 포함할 수 있다. 한편, 반도체 공정은 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 또한 반도체 공정에 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정이 포함될 수도 있다.
본 실시예의 반도체 소자 제조방법은, 도 1에서 설명한 바와 같이, SEM 측정에 의한 PR 축소를 반영한 샘플의 CD 데이터를 생성하고, 샘플의 CD 데이터를 OPC 모델링에 이용하여 OPC 모델의 정확도를 향상시키며, 그에 따라 OPC 방법을 최적화함으로써, 우수한 마스크를 제조할 수 있고, 그러한 마스크를 이용하여 노광 공정과 다른 반도체 공정을 수행하여 반도체 소자를 제조함으로써, 불량이 최소화된 반도체 소자들을 생산할 수 있다. 따라서, 본 실시예의 반도체 소자 제조방법은 반도체 공정 수율을 현저하게 증가시킬 수 있다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
110: 웨이퍼, 110P1, 110P2: 웨이퍼 패턴, 120, 120a: PR 패턴, 200: SEM, 210: 전자 총, 220: 애노드, 230: 마그네틱 렌즈, 240: 스케터링 코일, 250: 제1 검출기, 260: 제2 검출기, 270: 스캐너, 280: 스테이지, 290: 샘플 웨이퍼

Claims (20)

  1. OPC(Optical Proximity Correction)를 위한 기본 데이터를 준비하는 단계;
    샘플에 대하여 PR(Photo Resist) 패턴의 ADI(After Development Inspection) CD(Critical Dimension)와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI(After Cleaning Inspection) CD를 SEM(Scanning Electron Microscope)으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 이용하여, 상기 SEM 측정에 의한 PR 축소(Shrink)를 반영한 상기 샘플의 CD 데이터를 생성하는 단계; 및
    상기 기본 데이터 및 상기 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계;를 포함하고,
    상기 샘플의 CD 데이터를 생성하는 단계는,
    상기 SEM을 이용하여 상기 샘플의 제1 영역에서 상기 PR 패턴의 ADI CD를 측정하는 단계;
    상기 PR 패턴을 이용하여 상기 웨이퍼를 식각하여 상기 웨이퍼 패턴을 생성하는 단계;
    상기 SEM을 이용하여 상기 제1 영역에서 상기 PR 패턴에 대응하는 상기 웨이퍼 패턴의 제1 ACI CD를 측정하고 상기 제1 영역과 다른 제2 영역에서 상기 웨이퍼 패턴의 제2 ACI CD를 측정하는 단계;
    상기 제1 ACI CD와 상기 제2 ACI CD를 비교하여 차이를 계산하는 단계; 및
    상기 차이를 기초로 하여 상기 PR 패턴의 ADI CD를 보정하는 단계;를 포함하는 것을 특징으로 하는 OPC 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제1 항에 있어서,
    상기 차이를 계산하는 단계에서,
    실질적으로 동일한 형태를 갖는 상기 웨이퍼 패턴들에 대하여 상기 제1 ACI CD와 상기 제2 ACI CD를 비교하는 것을 특징으로 하는 OPC 방법.
  6. 제1 항에 있어서,
    상기 제2 영역은 상기 제1 영역과 실질적으로 동일한 형태의 상기 웨이퍼 패턴들을 포함하고,
    상기 웨이퍼 패턴의 제1 ACI CD의 측정에서, 상기 제1 영역 내의 10 이상의 위치들에서 상기 웨이퍼 패턴들의 제1 ACI CD을 측정하고,
    상기 웨이퍼 패턴의 제2 ACI CD의 측정에서, 상기 제2 영역 내의 상기 10 이상의 위치들에 대응하는 위치들에서 상기 웨이퍼 패턴들의 제2 ACI CD을 측정하는 것을 특징으로 하는 OPC 방법.
  7. 제1 항에 있어서,
    보정 전 상기 PR 패턴의 ADI CD(CD_ad), 상기 제1 ACI CD(CD_ac1), 상기 제2 ACI CD(CD_ac2), 및 보정 후 상기 PR 패턴의 ADI CD(CD_co) 사이에 하기 식(1)의 관계가 성립하며,
    CD_co = CD_ad + △........................식(1),
    여기서, △ = CD_ac2 - CD_ac1인 것을 특징으로 하는 OPC 방법.
  8. 제1 항에 있어서,
    상기 OPC 모델을 생성하는 단계는,
    광학적 OPC 모델을 생성하는 단계; 및
    PR에 대한 OPC 모델을 생성하는 단계;를 포함하는 것을 특징으로 하는 OPC 방법.
  9. 제1 항에 있어서,
    상기 OPC 모델을 생성하는 단계 이후에,
    상기 OPC 모델을 체크하여 선택하는 단계;
    상기 OPC 모델을 증명하는 단계; 및
    상기 OPC 모델을 이용하여 시뮬레이션을 수행하는 단계;를 더 포함하고,
    상기 OPC 모델의 체크는 CD 에러에 대한 RMS(Root Mean Square) 계산을 통해 이루어지고, 상기 OPC 모델이 기준 내에 기준 내에 있지 않으면 상기 OPC 모델을 생성하는 단계로 이행하며,
    상기 OPC 모델의 증명은 다른 패턴으로 상기 OPC 모델의 적용을 통해 이루어지고, 상기 다른 패턴에서 실질적으로 동일한 결과가 나오지 않으면 상기 OPC 모델을 생성하는 단계로 이행하는 것을 특징으로 하는 OPC 방법.
  10. OPC를 위한 기본 데이터를 준비하는 단계;
    샘플에 대하여 SEM으로 PR 패턴의 ADI CD와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI CD를 측정하는 단계;
    상기 웨이퍼 패턴의 ACI CD을 이용하여 상기 PR 패턴의 ADI CD를 보정하여 상기 샘플의 CD 데이터를 생성하는 단계;
    상기 기본 데이터 및 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계;
    상기 OPC 모델을 체크하여 선택하는 단계;
    상기 OPC 모델을 증명하는 단계; 및
    상기 OPC 모델을 이용하여 시뮬레이션을 수행하는 단계;를 포함하고,
    상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 측정하는 단계는,
    상기 SEM을 이용하여 상기 샘플의 제1 영역에서 상기 PR 패턴의 ADI CD를 측정하는 단계;
    상기 PR 패턴을 이용하여 상기 웨이퍼를 식각하여 상기 웨이퍼 패턴을 생성하는 단계; 및
    상기 SEM을 이용하여 상기 제1 영역에서 상기 PR 패턴에 대응하는 상기 웨이퍼 패턴의 제1 ACI CD를 측정하고 상기 제1 영역과 다른 제2 영역에서 상기 웨이퍼 패턴의 제2 ACI CD를 측정하는 단계;를 포함하는 것을 특징으로 하는 OPC 방법.
  11. 삭제
  12. 제10 항에 있어서,
    상기 제2 영역은 상기 제1 영역과 실질적으로 동일한 형태의 상기 웨이퍼 패턴들을 포함하고,
    상기 웨이퍼 패턴의 제1 ACI CD의 측정에서, 상기 제1 영역 내의 10 이상의 위치들에서 상기 웨이퍼 패턴의 제1 ACI CD을 측정하고,
    상기 웨이퍼 패턴의 제2 ACI CD의 측정에서, 상기 제2 영역 내의 상기 10 이상의 위치들에 대응하는 위치들에서 상기 웨이퍼 패턴의 제2 ACI CD을 측정하는 것을 특징으로 하는 OPC 방법.
  13. 제10 항에 있어서,
    상기 PR 패턴의 ADI CD의 보정은,
    상기 제1 ACI CD와 상기 제2 ACI CD 간의 차이를 이용하는 것을 특징으로 하는 OPC 방법.
  14. 제10 항에 있어서,
    상기 PR 패턴의 ADI CD의 보정은 하기 식(1)을 이용하여 이루어지고,
    CD_co = CD_ad + △........................식(1),
    여기서, △ = CD_ac2 - CD_ac1이고, CD_ad는 보정 전 상기 PR 패턴의 ADI CD를, CD_ac1는 상기 제1 ACI CD를, CD_ac2는 상기 제2 ACI CD를, 그리고 CD_co는 보정 후 상기 PR 패턴의 ADI CD를 나타내는 것을 특징으로 하는 OPC 방법.
  15. 샘플에 대하여 PR 패턴의 ADI CD와 상기 PR 패턴을 이용하여 형성된 웨이퍼 패턴의 ACI CD을 SEM으로 측정하고, 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD을 이용하여, 상기 SEM 측정에 의한 PR 축소를 반영한 OPC 모델을 생성하는, OPC 방법을 수행하는 단계;
    상기 OPC 방법을 통해 획득한 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달하는 단계;
    상기 MTO 디자인 데이터에 기초하여 마스크 데이터를 준비하는 단계; 및
    상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광을 수행하는 단계;를 포함하고,
    상기 OPC 방법을 수행하는 단계는,
    OPC를 위한 기본 데이터를 준비하는 단계;
    상기 샘플에 대하여 상기 SEM으로 상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 측정하는 단계;
    상기 웨이퍼 패턴의 ACI CD을 이용하여 상기 PR 패턴의 ADI CD를 보정하여 상기 샘플의 CD 데이터를 생성하는 단계; 및
    상기 기본 데이터 및 샘플의 CD 데이터에 기초하여 OPC 모델을 생성하는 단계;를 포함하며,
    상기 PR 패턴의 ADI CD와 상기 웨이퍼 패턴의 ACI CD를 측정하는 단계는,
    상기 SEM을 이용하여 상기 샘플의 제1 영역에서 상기 PR 패턴의 ADI CD를 측정하는 단계;
    상기 PR 패턴을 이용하여 상기 웨이퍼를 식각하여 상기 웨이퍼 패턴을 생성하는 단계; 및
    상기 SEM을 이용하여 상기 제1 영역에서 상기 PR 패턴에 대응하는 상기 웨이퍼 패턴의 제1 ACI CD를 측정하고 상기 제1 영역과 다른 제2 영역에서 상기 웨이퍼 패턴의 제2 ACI CD를 측정하는 단계;를 포함하는 것을 특징으로 하는 마스크 제조방법.
  16. 삭제
  17. 삭제
  18. 제15 항에 있어서,
    상기 제2 영역은 상기 제1 영역과 실질적으로 동일한 형태의 상기 웨이퍼 패턴들을 포함하고,
    상기 웨이퍼 패턴의 제1 ACI CD의 측정에서, 상기 제1 영역 내의 10 이상의 위치들에서 상기 웨이퍼 패턴의 제1 ACI CD을 측정하고,
    상기 웨이퍼 패턴의 제2 ACI CD의 측정에서, 상기 제2 영역 내의 상기 10 이상의 위치들에 대응하는 위치들에서 상기 웨이퍼 패턴의 제2 ACI CD을 측정하는 것을 특징으로 하는 마스크 제조방법.
  19. 제15 항에 있어서,
    상기 PR 패턴의 ADI CD의 보정은 하기 식(1)을 이용하여 이루어지고,
    CD_co = CD_ad + △........................식(1),
    여기서, △ = CD_ac2 - CD_ac1이고, CD_ad는 보정 전 상기 PR 패턴의 ADI CD를, CD_ac1는 상기 제1 ACI CD를, CD_ac2는 상기 제2 ACI CD를, 그리고 CD_co는 보정 후 상기 PR 패턴의 ADI CD를 나타내는 것을 특징으로 하는 마스크 제조방법.
  20. 제15 항에 있어서,
    상기 OPC 모델을 생성하는 단계는,
    광학적 OPC 모델을 생성하는 단계; 및
    PR에 대한 OPC 모델을 생성하는 단계;를 포함하고,
    상기 OPC 모델을 생성하는 단계 이후에,
    상기 OPC 모델을 체크하여 선택하는 단계;
    상기 OPC 모델을 증명하는 단계; 및
    상기 OPC 모델을 이용하여 시뮬레이션을 수행하는 단계;를 더 포함하는 것을 특징으로 하는 마스크 제조방법.
KR1020170089169A 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법 KR102336664B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020170089169A KR102336664B1 (ko) 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US15/869,457 US10908498B2 (en) 2017-07-13 2018-01-12 Optical proximity correction method and method of manufacturing mask by using the same
DE102018103231.5A DE102018103231B4 (de) 2017-07-13 2018-02-14 Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben
CN201810430409.5A CN109254495A (zh) 2017-07-13 2018-05-08 光学邻近校正方法及通过使用其制造掩模的方法
JP2018125938A JP6858732B2 (ja) 2017-07-13 2018-07-02 Opc方法、及びそのopc方法を利用したマスク製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170089169A KR102336664B1 (ko) 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Publications (2)

Publication Number Publication Date
KR20190007761A KR20190007761A (ko) 2019-01-23
KR102336664B1 true KR102336664B1 (ko) 2021-12-07

Family

ID=64745248

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170089169A KR102336664B1 (ko) 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Country Status (5)

Country Link
US (1) US10908498B2 (ko)
JP (1) JP6858732B2 (ko)
KR (1) KR102336664B1 (ko)
CN (1) CN109254495A (ko)
DE (1) DE102018103231B4 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
KR20210045607A (ko) * 2019-10-17 2021-04-27 삼성전자주식회사 패턴 레이아웃 설계 방법, 이를 이용한 패턴 형성 방법, 및 이를 이용한 반도체 장치 제조 방법
KR20220040308A (ko) 2020-09-23 2022-03-30 삼성전자주식회사 반도체 공정을 모델링하기 위한 시스템 및 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005037255A (ja) * 2003-07-15 2005-02-10 Matsushita Electric Ind Co Ltd パターン寸法測定方法およびパターン寸法測定装置
JP2012173225A (ja) 2011-02-24 2012-09-10 Hitachi High-Technologies Corp パターン寸法測定方法、及び荷電粒子線装置
JP2013068864A (ja) * 2011-09-26 2013-04-18 Fujitsu Semiconductor Ltd マスクパターン補正装置、マスクパターン補正方法及びマスクパターン補正プログラム

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004264102A (ja) * 2003-02-28 2004-09-24 Matsushita Electric Ind Co Ltd Semシュリンク量測定方法および測長sem装置
US7015468B1 (en) 2003-03-25 2006-03-21 Kla-Tencor Technologies Corporation Methods of stabilizing measurement of ArF resist in CD-SEM
TWI237746B (en) 2003-07-23 2005-08-11 Nanya Technology Corp Optical proximity correction method
JP2005057037A (ja) * 2003-08-04 2005-03-03 Sony Corp レジストシュリンク量の算出方法
US7285781B2 (en) 2004-07-07 2007-10-23 Intel Corporation Characterizing resist line shrinkage due to CD-SEM inspection
US7325225B2 (en) 2005-10-05 2008-01-29 Yasushi Tanaka Method and apparatus for reducing OPC model errors
JP4835481B2 (ja) * 2007-03-20 2011-12-14 凸版印刷株式会社 レジストパターン測定方法及びレジストパターン測定装置
KR100951249B1 (ko) 2007-11-23 2010-04-02 주식회사 포스코 수소응력균열 저항성과 저온인성이 우수한 후판강재 및 그제조방법
KR20090069093A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 소자 형성 방법
JP2009187967A (ja) * 2008-02-01 2009-08-20 Panasonic Corp フォーカス測定方法および半導体装置の製造方法
JP2009288497A (ja) 2008-05-29 2009-12-10 Toshiba Corp パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
KR101033225B1 (ko) * 2009-06-16 2011-05-06 주식회사 하이닉스반도체 패턴 레이아웃을 광근접효과보정하는 방법
US9110384B2 (en) 2010-01-25 2015-08-18 Hitachi High-Technologies Corporation Scanning electron microscope
KR20130006740A (ko) 2011-03-15 2013-01-18 삼성전자주식회사 패턴 cd 예측 방법 및 포토 마스크 형성 방법
JP5813413B2 (ja) 2011-08-22 2015-11-17 株式会社日立ハイテクノロジーズ シュリンク前形状推定方法およびcd−sem装置
JP5859795B2 (ja) 2011-10-06 2016-02-16 株式会社日立ハイテクノロジーズ 計測方法、データ処理装置及びそれを用いた電子顕微鏡
CN103631084B (zh) 2012-08-29 2016-08-31 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
KR102491578B1 (ko) * 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005037255A (ja) * 2003-07-15 2005-02-10 Matsushita Electric Ind Co Ltd パターン寸法測定方法およびパターン寸法測定装置
JP2012173225A (ja) 2011-02-24 2012-09-10 Hitachi High-Technologies Corp パターン寸法測定方法、及び荷電粒子線装置
JP2013068864A (ja) * 2011-09-26 2013-04-18 Fujitsu Semiconductor Ltd マスクパターン補正装置、マスクパターン補正方法及びマスクパターン補正プログラム

Also Published As

Publication number Publication date
US20190018325A1 (en) 2019-01-17
JP2019020719A (ja) 2019-02-07
KR20190007761A (ko) 2019-01-23
DE102018103231A1 (de) 2019-01-17
CN109254495A (zh) 2019-01-22
US10908498B2 (en) 2021-02-02
JP6858732B2 (ja) 2021-04-14
DE102018103231B4 (de) 2021-09-30

Similar Documents

Publication Publication Date Title
US9671686B2 (en) Exposure methods using e-beams and methods of manufacturing masks and semiconductor devices therefrom
KR100776479B1 (ko) 하전 입자빔 묘화 방법, 하전 입자빔 묘화 장치, 위치오차량 계측 방법 및 위치 계측장치
KR102336664B1 (ko) Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
JP2022001965A (ja) リソグラフィプロセスおよびリソグラフィ装置、ならびに検査プロセスおよび検査装置
US10962874B2 (en) Methods of manufacturing semiconductor devices, method sof performing extreme ultraviolet ray exposure, and methods of performing optical proximity correction
US10036961B2 (en) Optical proximity correction (OPC) method and method of fabricating mask using the OPC method
TW201721280A (zh) 用於圖案校正及驗證之方法與裝置
KR20200015708A (ko) 측정 방법 및 장치
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
JP2006330287A (ja) マスク製造システム、マスクデータ作成方法、及び半導体装置の製造方法
KR20170011554A (ko) Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
JP2017216392A (ja) パターン検査装置及びパターン検査方法
KR20160031831A (ko) 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
KR101204667B1 (ko) 위상반전마스크의 시디 보정방법 및 그 제조방법
CN110879507B (zh) 用于执行光学邻近校正的方法和使用其制造掩模的方法
US20240079206A1 (en) Scanning electron microscope (sem) measurement method and apparatus
US20230418260A1 (en) Lithography model generating method based on deep learning, and mask manufacturing method including the lithography model generating method
KR20200111243A (ko) 리소그래피 프로세스에서의 정렬 마크 위치설정 기술
US20230168576A1 (en) Full-chip cell critical dimension correction method and method of manufacturing mask using the same
US20220283496A1 (en) Photomask and method for inspecting photomask
KR20230143873A (ko) Ml 기반 ppc 방법, 및 그 ppc 방법을 포함한 반도체 소자 제조방법
TW202405379A (zh) 篩選邊緣安置均勻性晶圓隨機指標
KR20230131715A (ko) 딥러닝 기반 opc 패턴의 코너 라운딩 방법, 및 그 코너 라운딩 방법을 포함한 opc 방법과 마스크 제조방법
CN115542656A (zh) 光学邻近校正方法及使用该方法制造极紫外掩模的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant