KR20170011554A - Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법 - Google Patents

Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법 Download PDF

Info

Publication number
KR20170011554A
KR20170011554A KR1020150104358A KR20150104358A KR20170011554A KR 20170011554 A KR20170011554 A KR 20170011554A KR 1020150104358 A KR1020150104358 A KR 1020150104358A KR 20150104358 A KR20150104358 A KR 20150104358A KR 20170011554 A KR20170011554 A KR 20170011554A
Authority
KR
South Korea
Prior art keywords
mpc
mask
verification
data
dimensional contour
Prior art date
Application number
KR1020150104358A
Other languages
English (en)
Other versions
KR102441582B1 (ko
Inventor
신소은
박지숭
손정욱
이석호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150104358A priority Critical patent/KR102441582B1/ko
Priority to US15/219,142 priority patent/US10223494B2/en
Publication of KR20170011554A publication Critical patent/KR20170011554A/ko
Application granted granted Critical
Publication of KR102441582B1 publication Critical patent/KR102441582B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electron Beam Exposure (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

본 발명의 기술적 사상은 MPC의 정확도를 검증할 수 있는 MPC 검증 방법과 그 검증 방법을 포함한 마스크 제조방법을 제공한다. 그 MPC 검증 방법은 마스크 패턴에 대한 MTO(Mask Tape Out) 디자인 데이터에 대하여, 마스크 프로세스 모델(Mask Process Model)을 이용하여 마스크 제조 과정에서 발생하는 오차를 반영하는 MPC(Mask Process Correction)를 수행하는 단계; 및 상기 마스크 프로세스 모델을 이용하여, 상기 마스크의 패턴에 대한 2차원 컨투어(contour)를 출력하여 상기 MPC에 대한 검증을 수행하는 단계;를 포함한다.

Description

MPC 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법{MPC(Mask Process Correction) verification method, and method for fabricating mask comprising the MPC verification method}
본 발명의 기술적 사상은 마스크 제조방법에 관한 것으로, 특히 마스크 프로세스 보정(Mask Process Correction: MPC)의 검증 방법을 포함하는 마스크 제조방법에 관한 것이다.
반도체 소자의 제조 공정 중 리소그라피(lithography) 공정은 기판 상에 도포된 감광막에 광선을 조사하여 회로 패턴을 형성시키는 핵심 공정기술로서, 최근 선폭이 급격히 축소됨에 따라 극자외선(extreme ultraviolet; EUV), 전자빔(electron beam)이 차세대 광원으로 각광을 받고 있다. 한편, 패턴이 미세화됨에 따라, 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)을 극복하기 위해서 마스크 상의 패턴 레이아웃(layout)을 보정하여 OPE 발생을 억제하는 방법인 OPC(Optical Proximity Correction)와, OPC 이후 MTO(Mask Tape Out) 디자인 데이터를 웨이퍼 상의 이미지로 전달하는 과정 중에 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터를 보정하는 MPC가 마스크 제조 공정에 채용되고 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 MPC의 정확도를 검증할 수 있는 MPC 검증 방법과 그 검증 방법을 포함한 마스크 제조방법을 제공하는 데에 있다.
상기 과제를 해결하기 위하여, 본 발명의 기술적 사상은 마스크 패턴에 대한 MTO(Mask Tape Out) 디자인 데이터에 대하여, 마스크 프로세스 모델(Mask Process Model)을 이용하여 마스크 제조 과정에서 발생하는 오차를 반영하는 MPC(Mask Process Correction)를 수행하는 단계; 및 상기 마스크 프로세스 모델을 이용하여, 상기 마스크 패턴에 대한 2차원 컨투어(contour)를 출력하여 상기 MPC에 대한 검증을 수행하는 단계;를 포함하는 MPC 검증 방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 마스크 프로세스 모델에 대한 인버스(inverse) 모델을 상기 MPC가 수행된 데이터에 적용하여 상기 2차원 컨투어를 출력할 수 있다.
본 발명의 일 실시예에 있어서, 상기 2차원 컨투어를 상기 MTO 디자인 데이터에 의한 형상과 비교하여 상기 MPC에 대한 검증을 수행할 수 있다.
본 발명의 일 실시예에 있어서, 비교를 통한 에러 량을 EPE(Edge Placement Error), 또는 CD(Critical Dimension)와 EPE로 정량화할 수 있다.
본 발명의 일 실시예에 있어서, 풀-칩(full-chip)에 대해서 분산 처리 및 설정된 에러 량에 기초하여 특정 부분에 대해서만 상기 2차원 컨투어를 출력할 수 있다.
본 발명의 일 실시예에 있어서, 상기 MPC에 대한 검증을 수행하는 단계 후에, 상기 2차원 컨투어에 대한 데이터를 OPC(Optical Proximity Correction) 검증 툴에 입력하여 웨이퍼 레벨에서 검증하는 단계를 더 포함할 수 있다.
본 발명의 일 실시예에 있어서, 상기 웨이퍼 레벨에서 검증하는 단계에서, 전체 ORC(Optical Rule Check) 중 마스크에 연관있는 항목만을 추출하여 체크할 수 있다.
본 발명의 일 실시예에 있어서, 풀-칩(full-chip)에 대해서 분산 처리 및 설정된 에러 량에 기초하여 특정 부분에 대해서만 상기 2차원 컨투어를 출력하고, 상기 웨이퍼 레벨에서 검증하는 단계에서, 상기 특정 부분에 대해서 상기 웨이퍼 레벨의 검증을 수행할 수 있다.
본 발명의 일 실시예에 있어서, 상기 웨이퍼 레벨에서 검증하는 단계 이후에, OPC가 허용 범위 내인 것으로 판단된 경우에, 상기 MPC가 수행된 데이터를 마스크 형성을 위한 데이터로서 카피하고, OPC가 허용 범위를 벗어난 것으로 판단된 경우에, 상기 마스크 프로세스 모델을 정정하고, 상기 MPC를 다시 수행할 수 있다.
본 발명의 일 실시예에 있어서, 상기 MPC에 대한 검증을 수행하는 단계 전에, 토폴로지(topology) 체크 및 분할(fracture)을 수행할 수 있다.
또한, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, 마스크 패턴에 대한 MTO 디자인 데이터에 대하여, 마스크 프로세스 모델을 이용하여 마스크 제조 과정에서 발생하는 오차를 반영하는 MPC를 수행하는 단계; 상기 마스크 프로세스 모델을 이용하여, 상기 마스크의 패턴에 대한 2차원 컨투어를 출력하여 상기 MPC에 대한 검증을 수행하는 단계; 상기 MPC에 대한 검증이 수행된 데이터에 기초하여 픽셀 데이터를 생성하는 단계; 상기 픽셀 데이터에 기반하여 마스크용 기판 상에 전자빔 쓰기를 수행하는 단계; 및 상기 마스크용 기판에 대한 현상 및 식각 공정을 진행하여 마스크를 형성하는 단계;를 포함하는 마스크 제조방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 마스크 프로세스 모델에 대한 인버스 모델을 상기 MPC가 수행된 데이터에 적용하여 상기 2차원 컨투어를 출력하며, 상기 2차원 컨투어를 상기 MTO 디자인 데이터에 의한 형상과 비교하여 상기 MPC에 대한 검증을 수행할 수 있다.
본 발명의 일 실시예에 있어서, 비교를 통한 에러 량을 시뮬레이션을 이용하여 EPE로 정량화하되, 풀-칩에 대해서 분산 처리 및 설정된 에러 량에 기초하여 특정 부분에 대해서만 상기 2차원 컨투어를 출력할 수 있다.
본 발명의 일 실시예에 있어서, 상기 MPC에 대한 검증을 수행하는 단계 후에, 상기 2차원 컨투어에 대한 데이터를 OPC 검증 툴에 입력하여 웨이퍼 레벨에서 검증하는 단계를 더 포함하고, 상기 웨이퍼 레벨에서 검증하는 단계에서, 전체 ORC 중 마스크에 연관있는 항목만을 추출하여 체크할 수 있다.
본 발명의 일 실시예에 있어서, 상기 웨이퍼 레벨에서 검증하는 단계에서, OPC가 허용 범위 내인 것으로 판단된 경우에, 상기 MPC가 수행된 데이터를 마스크 형성을 위한 데이터로서 카피하고, OPC가 허용 범위를 벗어난 것으로 판단된 경우에, 상기 마스크 프로세스 모델을 정정하고, 상기 MPC를 다시 수행할 수 있다.
본 발명의 기술적 사상에 따른 MPC 검증 방법은 마스크 프로세스 모델을 이용하여, 마스크 패턴의 형상을 2차원 컨투어로 형상화하고, 그러한 2차원 컨투어 형상을 MTO 디자인 데이터에 의한 형상과 비교함으로써, MPC의 정확도를 정밀하게 검증할 수 있다.
또한, 본 발명의 기술적 사상에 따른 MPC 검증 방법은 개선된 마스크 프로세스 모델에 의해 MPC를 수행함으로써, MPC의 정확도가 향상될 수 있고, 그러한 MPC의 정확도의 향상에 기인하여 더욱 정밀한 마스크를 구현할 수 있다.
더 나아가, 본 발명의 기술적 사상에 따른 MPC 검증 방법은 MPC 검증과 함께 OPC 검증을 수행함으로써, MPC의 정확도를 더욱 정밀하게 판단할 수 있다.
도 1은 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다.
도 2는 도 1의 MPC 검증 방법의 원리를 보여주는 개념도이다.
도 3은 도 2에서 MTO 디자인 데이터에 의한 형상과 2차원 컨투어의 비교 과정 및 EPE의 개념을 보여주는 개념도이다.
도 4a 및 도 4b는 CD 측정과 컨투어 측정을 보여주는 개념도들이다.
도 5는 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다.
도 6은 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다.
도 7은 EPE 정량화를 통해 특정 영역에서만 2차원 컨투어를 출력하는 원리를 보여주는 개념도이다.
도 8은 도 6의 MPC 검증 방법에서, 어떤 패턴들에 대해서 증명이 필요한지를 설명하기 위한 패턴 영역들에 대한 개념도이다.
도 9는 MTO 디자인 타겟, SEM 컨투어, 및 2차원 컨투어의 CD 측정값들의 비교를 통해 본 실시예의 MPC 검증의 정합성을 보여주기 위한 패턴 레이아웃들이다.
도 10은 도 9의 핫-스팟 영역들에 대한 리소그라피 시뮬레이션과 실제 마스크에 의한 리소그라피 결과의 CD의 비교를 통해 본 실시예의 MPC 검증의 정합성을 보여주는 사진들이다.
도 11 내지 도 13은 본 발명의 일 실시예들에 따른 마스크 제조방법에 대한 흐름도들이다.
이하에서는 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 하기 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 하기 실시예에 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하고, 통상의 기술자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
이하의 설명에서 어떤 구성 요소가 다른 구성 요소에 연결된다고 기술될 때, 이는 다른 구성 요소와 바로 연결될 수도 있지만, 그 사이에 제3의 구성 요소가 개재될 수도 있다. 유사하게, 어떤 구성 요소가 다른 구성 요소의 상부에 존재한다고 기술될 때, 이는 다른 구성 요소의 바로 위에 존재할 수도 있고, 그 사이에 제3의 구성 요소가 개재될 수도 있다. 또한, 도면에서 각 구성 요소의 구조나 크기는 설명의 편의 및 명확성을 위하여 과장되었고, 설명과 관계없는 부분은 생략되었다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. 한편, 사용되는 용어들은 단지 본 발명을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다.
도 1은 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다.
도 1을 참조하면, 본 실시예의 MPC(Mask Process Correction) 검증 방법은, 먼저 MTO(Mask Tape Out) 디자인 데이터를 입력 받는다(S110). 일반적으로, MTO는 OPC(Optical Proximity Correction) 단계가 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 의미할 수 있다. 따라서, MTO 디자인 데이터는 결국, OPC 단계가 완료된 마스크 디자인 데이터라고 볼 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예컨대, MTO 디자인 데이터는 GDS2(Graphic Data System Ⅱ), OASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
참고로, OPC 기술은, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고, 이를 극복하기 위해서 패턴을 전사하는 마스크 상의 패턴 레이아웃(layout)을 보정하여 OPE 발생을 억제하는 방법을 말한다. 이러한 OPC 기술은 크게 두 가지로 나누어지는데, 하나는 룰 베이스(rule-based) OPC이고, 다른 하나는 시뮬레이션 베이스 또는 모델 베이스(model-based) OPC이다.
룰 베이스 OPC는, 먼저 테스트용 마스크 패턴을 제작하고, 이 테스트용 마스크 패턴을 웨이퍼에 전사하여 테스트용 웨이퍼를 제작한다. 그 후, 웨이퍼 상의 형성된 패턴에 대한 측정 데이터와 테스트용 마스크의 설계 데이터에 기초하여 설계 룰, 즉 마스크 패턴의 설계 데이터에 적용하는 바이어스 데이터를 결정하기 위한 설계 룰을 결정한다. 이러한 설계 룰이 결정되면 설계 룰에 기초하여 마스크 패턴을 보정한다. 보정은 마스크 패턴의 레이아웃 캐드(CAD) 단계에서 행해질 수 있다. 룰 베이스 OPC는 설계상 허용되는 모든 패턴에 대하여 테스트 패턴을 측정하고, 또한 프로세스가 변할 때마다 작업을 반복해야 하므로, 시간과 비용이 많이 소비될 수 있다.
모델 베이스 OPC는 미리 준비된 적은 수의 테스트 패턴, 즉 대표 패턴의 측정 결과에 기초하여, 광 근접 효과를 고려한 전사의 프로세스를 표현하는 커널들을 생성하고, 그러한 커널들을 포함한 프로세스 모델을 통해 마스크 패턴의 형상과 웨이퍼에 전사된 패턴의 형상의 차이를 시뮬레이션하여 구하고, 이 시뮬레이션 결과에 따라 마스크 패턴을 보정하게 된다. 이러한 모델 베이스 OPC는 대량의 테스트 패턴을 측정할 필요가 없으므로 시간 및 비용 면에서 유리하나, 패턴들의 밀도(density), 인접 패턴의 형태나 거리 등에 따른 영향을 충실히 반영하기 힘들 수 있다.
MTO 디자인 데이터를 입력받은 후, MPC를 수행한다(S130). MPC는 MTO 디자인 데이터에 기초하여 마스크를 제조하는 과정에서, 공정 특성으로 인해 발생하는 차이를 마스크 프로세스 모델(Mask Process Model)을 이용하여 극복하는 과정을 의미할 수 있다. 좀더 넓은 의미로, MPC는 마스크를 제작하고, 제작된 마스크의 패턴을 웨이퍼 상의 이미지로 전달하는 과정 중에 어디에선가 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터를 보정하는 과정을 의미할 수 있다. 예컨대, 계통 오차들은 전자빔 쓰기(Writing), 현상(development) 및 에칭(etching), 베이크(bake) 공정 등의 마스크 제작 공정과, 제작된 마스크 패턴을 웨이퍼로 전사하는 웨이퍼 이미징 공정 등에서 발생하는 왜곡들에 의해서 유발될 수 있다.
한편, MPC는 마스크 데이터 준비(Mask Data Preparation: MDP) 단계에서, 마스크 데이터에 대한 보정, 예컨대 마스크 프로세스 모델의 정정, 선폭 조절, 패턴 배치 정밀도 조절 등의 방법으로 진행될 수 있다. 또한, MPC는 OPC를 보충하는 기능을 할 수 있다. 예컨대, 주변의 패턴 밀도가 높은 경우에 OPC를 수행하여도 여전히 CD 오차가 발생하고 그러한 오차를 MPC를 통해 해결할 수 있다. 따라서, 패턴 밀도가 낮거나 패턴의 사이즈가 큰 경우에는 MPC가 생략될 수도 있다. 그러나 최근에 패턴의 사이즈가 미세하고 패턴의 밀도가 조밀해짐으로써, MPC는 마스크 제조 공정에서 필수적인 공정으로 자리 잡고 있고, 분할과 함께 비교적 많은 시간이 소요되는 공정이 되고 있다.
MPC 수행 후, MPC 검증(Verification)을 수행한다(S150). MPC 검증은 MPC가 정확하게 수행되었는지를 검증하는 과정으로, 예컨대, MPC에 이용하는 마스크 프로세스 모델에 의한 보정이 정확한지를 검증하는 과정일 수 있다. 기존에는 MPC 전후의 마스크 데이터를 XOR 연산하여 패턴에 빠짐 부분이나 과보정이 있는지에 대한 러프한 확인만을 할 수 있고, MPC의 정확도에 대한 구체적인 확인은 할 수 없었다.
그러나 본 실시예에서 MPC 검증은 마스크 프로세스 모델을 이용하여, 마스크 패턴의 형상을 2차원 컨투어(contour)로 형상화하고, 2차원 컨투어를 MPC 수행 전의 데이터, 즉 MTO 디자인 데이터에 의한 형상과 비교함으로써, MPC의 정확도를 정밀하게 검증할 수 있다. 2차원 컨투어로 형상화하는 과정은, 마스크 프로세스 모델을 통해 MPC가 수행된 데이터에 대하여, 마스크 프로세스 모델의 인버스(inverse) 모델을 적용함으로써, 이루어질 수 있다. 2차원 컨투어로 형상화 및 비교 과정에 대해서는 도 2에 대한 설명 부분에서 좀더 상세하게 설명한다.
MPC 검증 후, MPC 정확도가 허용 범위 내인지 판단하고(S170), 허용 범위 내인 경우(Yes) MPC 검증 방법을 종료한다. MPC 검증 방법의 종료 후, 도 11 등에서 볼 수 있듯이 마스크 제조 과정으로 진행할 수 있다. 만약, MPC 정확도가 허용 범위를 벗어나게 되면, 마스크 프로세스 모델을 수정한다(S190). 마스크 프로세스 모델의 수정은 전술한 비교 과정의 결과에 기초하여, 차이를 해결할 수 있도록 마스크 프로세스 모델의 레시피(recipe) 데이터 등을 변경함으로써 이루어질 수 있다.
마스크 프로세스 모델 수정 후, MPC 수행 단계(S130)로 진행하여 다시 MPC 수행 단계(S130)와 및 MPC 검증 단계(150)를 수행한다. 한편, 마스크 프로세스 모델 수정 단계(S190), MPC 수행 단계(S130), 및 MPC 검증 단계(S150)는 MPC 정확도가 허용 범위 내에 들어올 때까지 계속 반복하여 수행될 수 있다.
본 실시예의 MPC 검증 방법은 마스크 프로세스 모델을 이용하여, 마스크 패턴의 형상을 2차원 컨투어로 형상화하고, 그러한 2차원 컨투어 형상을 MTO 디자인 데이터에 의한 형상과 비교함으로써, MPC의 정확도를 정밀하게 검증할 수 있다. 한편, 이러한 MPC 검증에 기초하여, MPC 정확도가 허용 범위를 벗어나는 경우에, 마스크 프로세스 모델을 수정하고 MPC 및 MPC 검증을 반복함으로써, 더욱 개선된 마스크 프로세스 모델을 구현할 수 있다. 또한, 개선된 마스크 프로세스 모델에 의해 MPC를 수행함으로써, MPC의 정확도가 향상될 수 있다. 이러한 MPC의 정확도의 향상은 마스크 제조 공정을 개선하여 더욱 정밀한 마스크를 구현하는데 기여할 수 있다.
도 2는 도 1의 MPC 검증 방법의 원리를 보여주는 개념도이다.
도 2를 참조하면,(a)는 MTO 디자인 데이터에 의한 형상을 나타내는데, MTO 디자인 데이터는 예컨대, 2차원 함수 Ψ0(x0, y0)로 나타날 수 있다. (b)는 MTO 디자인 데이터에 마스크 프로세스 모델(A)을 적용하여 얻은 데이터에 의한 형상을 나타내며, 이는 실제 제작될 마스크의 형상에 대응할 수 있다. 다시 말해서, (b)의 형상은 MTO 디자인 데이터에 대해 MPC가 수행된 데이터에 대응할 수 있다. 참고로, 데이터에 모델을 적용한다는 개념은 모델을 이용하여 시뮬레이션을 수행한다는 의미와 동일할 수 있다.
(c)는 MPC가 수행된 데이터에 대하여, 마스크 프로세스 모델의 인버스 모델(A -1 )을 적용하여 2차원 컨투어 형태로 출력된 형상을 나타낸다. 2차원 컨투어에 해당하는 데이터는 앞서 MTO 디자인 데이터와 같이 2차원 함수 Ψ(x, y)로 나타날 수 있다. 한편, 2차원 컨투어의 출력은 마스크 프로세스 모델을 기초로 하므로, 이하 설명의 편의를 위해 마스크 프로세스 모델을 이용한 시뮬레이션 또는 단순히 시뮬레이션을 통해 2차원 컨투어가 출력되는 것으로 설명한다.
(d)는 2차원 컨투어의 출력 후, MTO 디자인 데이터에 의한 형상과 2차원 컨투어를 비교하는 것을 보여준다. 비교는 패턴의 모든 부분에서 수행될 수도 있지만, 지정된 몇몇 위치에서만 수행될 수도 있다. 예컨대, (d)에서 검은 점들로 표시된 부분에서만 비교가 이루어질 수 있다.
비교를 통해 MTO 디자인 데이터에 의한 형상과 2차원 컨투어 간의 차이가 허용 범위 내에 있는 경우, MPC 검증이 종료될 수 있다. 다시 말해서, 설정된 수준 내에서 MPC의 정확도가 확인된 것으로 볼 수 있다.
그러나 MTO 디자인 데이터에 의한 형상과 2차원 컨투어 간의 차이가 허용 범위 밖에 있는 경우, 마스크 프로세스 모델(A)을 수정하여 새로운 마스크 프로세스 모델(A')을 만들고 다시 MPC 수행, 2차원 컨투어 출력, 비교 과정이 반복될 수 있다. 마스크 프로세스 모델의 수정은 앞서 2차원 함수들의 개념에서, Σ[Ψ0(x0, y0)-Ψ(x, y)]2의 값이 최소가 되도록 마스크 프로세스 모델을 수정하는 것을 의미할 수 있다. 예컨대, 마스크 프로세스 모델의 수정은 마스크 프로세스 모델에 이용되는 레시피 데이터들을 변경함으로써 이루어질 수 있다.
한편, 도시하지는 않았지만, 본 실시예의 MPC 검증 방법은 MTO 디자인 데이터와 2차원 컨투어의 비교를 통한 직접적인 검증 이외에, 2차원 컨투어의 데이터를 입력 데이터로 하여 리소그라피 시뮬레이션을 통한 OPC 검증을 수행함으로써, 마스크/웨이퍼 레벨에서 검증을 수행할 수도 있다. 이러한 OPC 검증에 대해서는 도 5에 대한 설명 부분에서 좀더 상세히 설명한다.
도 3은 도 2에서 MTO 디자인 데이터에 의한 형상과 2차원 컨투어의 비교 과정 및 EPE의 개념을 보여주는 개념도이다.
도 3을 참조하면, (a)는 앞서 도 2에서 설명한 바와 같이 MTO 디자인 데이터에 의한 형상을 나타낼 수 있다. 한편, MTO 디자인 데이터에 의한 형상에는 차후, 시뮬레이션을 통해 얻은 2차원 컨투어와 비교를 위해 검증 포인트들(evaluation points: EPs)이 미리 설정될 수 있다. (a)에서 검증 포인트들(EPs)이 패턴을 구성하는 각 라인의 중심부분에 설정되고 있는데, 이는 단순히 하기의 EPE(Edge Placement Error) 개념을 쉽게 설명하기 위해 임의로 설정한 것에 불과하다. 예컨대, 검증 포인트들(EPs)은 검증이 용이하도록 패턴의 적정 위치에 다양한 기준을 가지고 설정될 수 있다.
(b)는 MTO 디자인 데이터에 의한 형상(MTO)과 2차원 컨투어(SC)를 비교하는 것을 보여준다. 예컨대, 도시된 바와 같이 2차원 컨투어(SC)는 모서리 부분이 부드러운 곡선 형태를 가지는 반면, MTO 디자인 데이터에 의한 형상(MTO)은 모서리 부분이 직각으로 꺾인 형태를 가질 수 있다. 한편, MTO 디자인 데이터에 의한 형상(MTO)과 2차원 컨투어(SC)의 구별이 용이하도록 2차원 컨투어(SC)의 내부가 해칭되어 표시되고 있다.
한편, 검증 포인트들(EP's)이 2차원 컨투어(SC) 상에 나타나고 있는데, 시뮬레이션을 통해 2차원 컨투어(SC) 상의 검증 포인트들(EP's)의 위치가 처음 MTO 디자인 데이터의 형상(MTO)에서의 검증 포인트들(EPs)의 위치와 약간씩 다름을 확인할 수 있다.
(c)는 (b)의 사각형 점선 부분을 확대하여 보여주는 확대도이다. 검증의 한가지 방법은 EPE(Edge Placement Error)를 이용하는 방법이다. EPE는 2차원 컨투어(SC)와 MTO 디자인 데이터에 의한 형상(MTO)(또는 타겟 마스크 패턴)의 에지 위치들의 차이로 정의될 수 있다. 따라서, 패턴의 모든 포인트에서 EPE 값들을 계산하여 에러를 검증함으로써, MPC 검증을 수행할 수 있다. 참고로, EPE 값은 2차원 컨투어 추출 이전에 각각의 위치에서 시뮬레이션 계산을 통해 얻을 수 있다. 따라서, EPE 값에 따라 이슈 발생 포인트들을 예상하고, 해당 포인트들 부분에 대해서만 2차원 컨투어를 추출하도록 제한할 수도 있다.
한편, 도시하지는 않았지만 패턴의 선폭, 즉 CD를 계산하여 검증을 수행할 수도 있다. 또한, CD 및 EPE를 함께 계산하여 검증을 수행할 수도 있다. 예컨대, 본 실시예의 MPC 검증 방법에서는 MPC 전/후의 에러 량을 CD 및 EPE로 정량화하여 검증을 수행할 수 있다. 한편, 에러 량의 정량화를 위한 적정한 검증 포인트들의 선정이 필요할 수 있다. 에러의 정량화에 대해서는 도 7a 및 도 7b에 대한 설명 부분에서 좀더 상세히 설명한다.
덧붙여, 4*디자인을 다루는 마스크 데이터 처리 과정의 특수성에 기인하여, 풀 칩(full chip) 레벨의 에러 량을 계산을 하는 경우, 많은 시간이 소요될 수 있다. 따라서, 특정 에러 량 이상의 영역에 대해서만 2차원 컨투어로 출력하도록 할 수도 있다. 예컨대, 앞서 설명한 바와 같이 EPE 값이 설정된 값을 초과하는 포인트들 부분에 대해서만 2차원 컨투어로 출력하도록 할 수 있다. 또한, 풀 칩 레벨의 에러 량 계산을 줄이기 위해 분산 처리가 수행될 수도 있다.
도 4a 및 도 4b는 CD 측정과 컨투어 측정을 보여주는 개념도들이다.
도 4a를 참조하면, CD 측정의 경우, 패턴의 폭에 대한 1차원적 측정으로서, 양 측면이 평평한 영역에서만 측정이 가능할 수 있다. 또한, 측정 방법은 ROI(Region Of Interest)에 대한 CD을 평균하는 식으로 이루어질 수 있다. 이러한 CD 측정은 도시된 바와 같이 모서리 부분에서의 CD 측정이 어렵고, 그에 따라 실제로 모서리 부분의 변형이 심하더라도 취약 포인트(weak point)로 검색할 수 없다. 예컨대, 도 4a에서 각 부분에서 기준 CD와 측정된 CD 차이는 -1.2㎚ 이하이고, 1차원 에러의 판단 범위가 ±1.5㎚로 설정된 경우에, 이상이 없는 것으로 판단될 수 있다. 결론적으로, CD 측정은 알고 있는 포인트만 측정가능하고 그 부분에서만 취약 포인트를 검색할 수 있다.
도 4b를 참조하면, 컨투어 측정의 경우, 패턴의 형태에 대한 2차원적 측정으로서, 어떤 포인트에서도 측정이 가능할 수 있다. 한편, 측정 방법은 전술한 EPE 측정을 통해 이루어질 수 있다. 이러한 컨투어 측정은 도시된 바와 같이 모서리 부분에서도 가능하며, 그에 따라, 모서리 부분의 변형이 심한 경우에 취약 포인트로서 용이하게 검색할 수 있다. 예컨대, 도 4b에서 E1 내지 E5 부분은 모두 EPE 값이 5㎚ 이상이므로, 2차원 에러의 판단 범위가 ±2.5㎚로 설정된 경우에, 용이하게 취약 포인트들로 판단될 수 있다. 결과적으로, 컨투어 측정은 모든 포인트에서 측정가능하고 모든 포인트에서 취약 포인트를 검색할 수 있다.
덧붙여, 본 실시예의 MPC 검증 방법에서는 CD 측정 및 컨투어 측정이 함께 이용될 수 있다. 또한, 경우에 따라 컨투어 측정만이 이용될 수도 있다. 여기서, 컨투어 측정은 예컨대 EPE 측정일 수 있다.
도 5는 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다. 설명의 편의를 위해 도 1의 설명 부분에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 5를 참조하면, 본 실시예의 MPC 검증 방법은, 먼저, MTO 디자인 데이터를 입력 받는다(S110). MTO 디자인 데이터 입력에 대해서는 도 1에서 설명한 바와 같다. 다음, MTO 디자인 데이터에 대하여 MAT(MTT Auto Targeting)를 수행한다(S120). MAT는 MTT(Mean-To-Target)를 자동으로 수행하는 것을 의미할 수 있다. 여기서, MTT는 측정값이 타겟 값에 어느 정도 근접하느냐를 평가하는 과정을 의미할 수 있다.
MAT 수행 후, MPC를 수행한다(S130). MPC 수행은 마스크 프로세스 모델을 이용한 시뮬레이션을 통해 이루어질 수 있다. MPC 수행에 대해서는 도 1의 설명 부분에서 이미 설명하였으므로 더 이상의 설명은 생략한다.
이후, MPC 수행 후, 토폴로지 체크(Topology Check)를 수행한다(S135). 토폴로지 체크는 마스크의 위상 효과를 체크하는 과정을 의미할 수 있다.
토폴로지 체크 후, 분할(Fracture)을 수행한다. 분할은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 의미할 수 있다. 분할에는 예컨대, 크기조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다.
이러한 분할을 통한 데이터 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정 중의 발생하는 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 따라서, 분할은 MPC의 기능을 보충하는 기능을 할 수 있다. 경우에 따라, 분할은 MPC 수행 전에 수행될 수도 있다.
분할 후, MPC 검증을 수행한다(S150). MPC 검증은 마스크 프로세스 모델을 이용한 시뮬레이션을 통해 마스크 패턴을 2차원 컨투어 형태로 출력하고, 2차원 컨투어를 MTO 디자인 데이터의 형상과 비교하는 방법을 통해 이루어짐은 전술한 바와 같다.
MPC 검증 후, OPC 검증을 수행한다(S160).
OPC 검증은 일반적으로 OPC 단계에서, OPC가 수행된 데이터를 리소그라피 시뮬레이션 툴 또는 OPC 검증 툴로 입력하여 OPC의 정확도를 검증하는 과정을 의미할 수 있다. 이러한 OPC 검증은 리소그라피 시뮬레이션 후 ORC(Optical Rule Check)을 수행하여 이루어질 수 있다. 일반적으로 ORC는 모델 베이스 OPC의 검증 과정에 이용될 수 있는데, 모델 베이스 OPC 과정에 포함되는 마스크, 리소그라피, 포토레지스트, 에칭 프로세스 등에 대한 체크가 포함될 수 있다.
ORC를 통해 추출된 에러가 허용 범위를 벗어난 경우, OPC 모델을 수정하고, 다시 OPC 검증 과정이 수행되는 식으로 진행될 수 있다. 여기서, OPC 모델의 수정은 단순히 패턴의 형태를 수정한다는 개념이라기보다는 OPC 레시피, 모델 조정(model calibration), 수평 및 수직 바이어스 조절 등 전반적인 파라메터들을 반영하여 요구되는 OPC 모델 형태가 나오도록 프로그램을 수정한다는 개념일 수 있다.
본 실시예에서 MPC 검증 방법에서는 MPC 검증 후 OPC 단계에서와 별개로 다시 OPC 검증을 수행할 수 있다. 한편, 본 단계의 OPC 검증에서는 OPC가 수행된 데이터가 아니라, 시뮬레이션을 통해 얻은 2차원 컨투어에 대한 데이터가 리소그라피 시뮬레이션 툴의 입력 데이터로서 이용될 수 있다. 즉, 본 실시예의 MPC 검증 방법은, 리소그라피 시뮬레이션 툴에 2차원 컨투어 데이터를 입력 데이터로 입력함으로써, OPC 단계에서와 같이 ORC을 통한 OPC 검증을 수행할 수 있다. 이러한 OPC 검증은 결국 마스크/웨이퍼에 패턴을 형성하고 타겟 패턴과 비교한다는 개념이므로 더욱 정확한 MPC 검증에 기여할 수 있다. 또한, 시뮬레이션이긴 하지만 마스크/웨이퍼에 패턴을 형성하므로 마스크/웨이퍼 레벨의 검증으로 부를 수 있다.
한편, 본 실시예에의 MPC 검증 방법에서의 OPC 검증은 마스크와 관련한 팩터만을 ORC를 수행하는 콤팩트(Compact) OPC 검증일 수 있다. 예컨대, 마스크와 관계없는 리소그라피, 포토레지스트, 에칭 프로세스 등에 대한 체크는 ORC에서 제외될 수 있다. 이와 같이 콤팩트 OPC 검증을 수행함으로써, OPC 검증에 대한 시간을 감소시킬 수 있다.
OPC 검증 후, OPC가 허용 범위 내인가를 판단하고(S175), 허용 범위를 벗어난 경우(No), 마스크 프로세스 모델을 수정한다(S190). 마스크 프로세스 모델 수정에 대해서는 도 1에서 설명한 바와 같다. OPC의 허용 범위 여부는 예컨대, 전술한 ORC에 기초하여 판단될 수 있다.
만약, OPC가 허용 범위 내인 경우(Yes), 데이터를 카피한다(S180). 여기서 데이터를 카피한다는 의미는 MPC가 수행된 데이터가 차후 마스크 제조를 위해서 유효 데이터로서 저장 또는 전달됨을 의미할 수 있다. 한편, OPC가 허용 범위 내라는 것은 MPC가 정확함을 의미하며, 따라서, 별도의 MPC 정확도에 대한 판단은 불필요할 수 있다.
본 실시예의 MPC 검증 방법은 MPC 검증과 함께 OPC 검증을 수행함으로써, MPC의 정확도를 더욱 정밀하게 판단할 수 있다.
도 6은 본 발명의 일 실시예에 따른 MPC 검증 방법에 대한 흐름도이다. 설명의 편의를 위해 도 1 및 도 5의 설명 부분에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 6을 참조하면, MTO 디자인 데이터를 입력받는 단계(S110)부터 분할 수행 단계(S140)까지는 도 1 및 도 5의 설명 부분에서 설명한 바와 같다.
분할 수행 후, 검증 영역에 대한 MPC 검증을 수행한다(S150). 검증 영역들을 미리 설정하지 않고 모든 영역들에서의 검증을 수행하는 경우, 에러 량의 계산에 과도한 시간이 소요되고, 그에 따라 MPC 검증 시간이 증가하여, 마스크 제조 공정의 TAT(Turn Around Time)가 증가할 수 있다. 따라서, 적정한 검증 영역들을 설정하고, 설정된 검증 영역들에 대해서만 에러 량을 계산함으로써, MPC 검증의 소요 시간을 현저하게 줄일 수 있다. 예컨대, 검증 영역들로서, 패터닝 마진이 취약한 핫-스팟(hot-spot) 영역, 패턴의 코너 부분들, 또는 에러 발생이 빈번한 영역들이 설정될 수 있다. 또한, 전술한 EPE 값에 따라 영역들을 분류하고(categorize), 문제 발생 가능 포인트들을 예상하여, 해당 포인트들 부분을 검증 영역으로 설정할 수도 있다. 검증 영역들이 설정되면 설정된 검증 영역들에 대해서만 2차원 컨투어를 추출하여 MPC 검증을 수행할 수 있다.
MPC 검증 후, OPC 검증을 수행한다(S162). OPC 검증은 도 5에서 전술한 바와 같이 마스크에 관련된 팩터들만을 체크하는 콤팩트 OPC 검증일 수 있다. 또한, 본 실시예의 MPC 검증 방법에서, OPC 검증을 위해 리소그라피 시뮬레이션 툴로 입력되는 2차원 컨투어 데이터의 양은 도 5의 OPC 검증에서보다 작을 수 있다. 좀더 구체적으로 설명하면, 본 실시예의 MPC 검증 방법에서는 MPC 검증 단계에서 설정된 검증 영역에 대해서만 2차원 컨투어가 추출될 수 있다. 따라서, OPC 검증을 위해 리소그라피 시뮬레이션 툴로 입력되는 데이터는 전체 영역에 대한 2차원 컨투어 데이터가 아니라 검증 영역에 대해서만 추출된 2차원 컨투어 데이터일 수 있다. 결과적으로, 본 실시예의 MPC 검증 방법에서는, 콤팩트 OPC 및 검증 영역만에 대한 OPC가 수행됨으로써, OPC 검증이 보다 신속하게 이루어질 수 있다.
이후, OPC가 허용 범위 내인가를 판단하고(S177), 허용 범위 내이면(Yes) 데이터 카피 단계(S180)로 진행하고, 허용 범위를 벗어나면(No) MPC 모델 수정 단계(S190)로 이행함은 전술한 바와 같다.
도 7은 EPE 정량화를 통해 특정 영역에서만 2차원 컨투어를 출력하는 원리를 보여주는 개념도이다.
도 7을 참조하면, EPE 정량화를 위한 한가지 방법으로 Out EPR(Out EPs Ratio) 개념을 도입할 수 있다. Out EPR은 다음과 같이 식(1)로 정의될 수 있다.
Out EPR = [EPE Spec Out EPs/모든 EPs]*100(%).......식(1)
여기서, EPs는 EPE가 계산되는 검증 포인트들로서 미리 설정될 수 있다. 예컨대, 도 7에서 오른쪽의 패턴의 그림 상에 찍힌 검은 점들이 EPs일 수 있다. 한편, EPE Spec은 기준 EPE 범위로서, MPC의 검증에 있어서 에러 계산량에 기준일 수 있다. 예컨대, 기준 EPE 범위가 좁으면 에러 계산량이 많아지고 기준 EPE 범위가 넓으면 에러 계산량이 적어질 수 있다. 참고로, 도 7의 오른쪽 패턴에 대한 그림에서 최외곽 직사각형 부분이 MTO 디자인 데이터에 의한 형상이고, 중간 직사각형 부분이 MPC 수행에 의해 얻어진 형상이며, 내부의 타원 형태로 해칭된 부분이 2차원 컨투어에 대응할 수 있다.
상기 Out EPR 관련하여, 기준 EPE 범위를 [-0㎚, 0㎚]로 설정한 경우, 도 7에 도시된 바와 같이, -1㎚ 내지 +1㎚ 사이에 대부분의 EPs의 EPE 값들이 집중되어 Out EPR이 매우 높게 나옴을 알 수 있다. Out EPR이 높다는 것은 결국 해당 EPE 값을 갖는 포인트들이 많음을 의미한다. 또한, MPC 정확도 판단 기준이 ±1.5㎚정도인 경우 -1㎚ 내지 +1㎚ 사이의 EPs은 MPC가 정확하게 수행된 부분들로서, MPC 검증이 불필요하고 그에 따라 2차원 컨투어를 추출할 필요가 없을 수 있다. 따라서, 기준 EPE 범위를 [-0㎚, 0㎚]로 설정하는 경우, MPC 검증에 과도한 시간 소요를 초래할 수 있다.
한편, 도 7의 그래프 상의 왼쪽 아래 점선 원으로 표시된 부분과 같이 기준 EPE 범위를 벗어난 EPs의 경우 Out EPR이 낮을 수 있다. 예컨대, 도 7의 오른쪽의 패턴의 그림에서, 점선 원 내부의 EPs 부분은 개수가 비교적 작고 또한 MPC가 부정확하게 수행된 부분들일 수 있다. 따라서, 기준 EPE 범위를 [-1㎚, 1㎚] 정도로 잡게 되면, MPC가 부정확하게 수행된 EPs 부분에 대해서만 Out EPR이 산출될 수 있다. 또한 그러한 EPs 부분에 대해서만 2차원 컨투어를 추출하여 MPC 검증을 수행함으로써, MPC 검증 시간을 현저히 줄일 수 있다.
이와 같이 Out EPR 개념을 도입하여 MTO 디자인 데이터와 2차원 컨투어의 비교에 대한 정량화를 할 수 있고, 또한 해당 부분의 에러 량을 계산하여 취약 패턴에 대한 분류가 가능할 수 있다. 한편, 도 7의 패턴의 그림에서 EPs 또는 검증 포인트들은 하나의 예시에 불과하다. 따라서, EPs은 패턴의 특성에 따라 다양하게 설정될 수 있고 또한 그 개수도 가감될 수 있다. 한편, EPs의 개수의 증가에 따라 MPC 검증 시간 및 그에 따른 TAT가 증가할 수 있다.
도 8은 도 6의 MPC 검증 방법에서, 어떤 패턴들에 대해서 증명이 필요한지를 설명하기 위한 패턴 영역들에 대한 개념도이다.
도 8을 참조하면, 전술한 바와 같이 MPC 검증은 검증 시간 내지 TAT를 고려하여 에러가 빈번하게 발생하는 패턴들 및/또는 영역들에 대해서만 수행할 수 있다. 따라서, 어떤 패턴들 및/또는 영역들에 검증이 필요한지 사전에 정의될 필요가 있을 수 있다.
예컨대, 일단 패터닝 마진이 취약한 영역인 핫-스팟 영역을 검증이 필요한 영역으로 설정할 수 있다. 다음, 도 8에 도시된 패턴에서, 패턴의 코너 부분, 예컨대 아웃 코너(outer corner) 라운딩을 타겟화하여 설정할 수 있다. 물론, 라인 끝(Line End), 내부 코너(Inner Corner), 조그(Jog) 등의 부분을 타겟화하는 것을 전적으로 배제하는 것은 아니다. 또한, 전술한 바와 같이 EPE 값에 따라 영역들을 분류하고, 이슈 발생 가능성이 높은 포인트들을 예상하여 해당 포인트들 부분을 설정할 수도 있다.
검증이 필요한 패턴들 및/또는 영역들의 설정에 따라, 검증 포인트들의 수가 달라지고 또한 해당 부분들의 가중치(weight)가 달라질 수 있다. 또한, 검증 포인트들의 개수 및/또는 가중치가 달라짐에 따라, MPC 검증에서의 에러 계산의 결과와 시간이 달라질 수 있다. 덧붙여, OPC 검증까지 수행되는 경우에, 마스크에 연관된 팩터만을 추출하여 체크하는 콤팩트 OPC를 수행할 수 있고, 그와 더불어 검증이 필요한 패턴들 및/또는 영역들에 대해서만 콤팩트 OPC를 수행함으로써, OPC 검증의 시간을 줄일 수 있다.
도 9는 MTO 디자인 타겟, SEM 컨투어, 및 2차원 컨투어의 CD 측정값들의 비교를 통해 본 실시예의 MPC 검증의 정합성을 보여주기 위한 패턴 레이아웃들이다.
도 9를 참조하면, (a), (b), (c) 각각이 핫-스팟 1, 핫-스팟 2, 및 핫-스팟 3을 포함한 패턴이라고 할 때, MTO 디자인 타겟, SEM(Scanning Electron Microscope) 컨투어, 2차원 컨투어에서 검은 화살표 부분의 CD 측정값들은 [표 1]로 나타나고, 각각의 수치들의 단위는 ㎚일 수 있다.
핫-스팟 1 핫-스팟 2 핫-스팟 3
MTO Design Target 240.4 254 264.8
SEM Contour(Ref.) - 0.4 1.4 2.7
Bias 2D Contour 1.2 (+1.6) 2.5 (+1.1) 0.9 (-1.8)
Model 2D Contour 1.7 (+2.1) 2.1 (+0.7) 1.4 (-1.3)
[표 1]에서, SEM 컨투어, 2차원 컨투어들의 수치는 MTO 디자인 타겟에 대한 차이를 나타낸다. 바이어스 2차원 컨투어는 룰(rule) 또는 바이어스(bias) 인가를 이용한 MPC 수행을 통해 얻은 컨투어를 의미하고, 모델 2차원 컨투어는 모델을 이용한 MPC 수행을 통해 얻은 컨투어를 의미할 수 있다. 또한, 괄호 안의 수치들은 SEM 컨투어를 기준(Ref.)으로 할 때의 차이를 나타낸다.
이와 같이, MTO 디자인 타겟, SEM 컨투어, 그리고 2차원 컨투어에 대한 CD 측정값을 비교함으로써, MPC 모델의 정확도(MPC Model Accuracy) 수준 내의 MPC 검증의 정합성을 확인할 수 있다. 예컨대, MPC 모델의 정확도를 2차원 패턴에 대하여, ±2.5로 설정한 경우, 앞서 [표 1]에서 확인할 수 있듯이, SEM 컨투어를 기준으로 한 괄호 안의 수치들이 모두 ±2.5 내에 포함되므로, MPC 모델의 정확도 수준 내에서 MPC 검증의 정합성을 확인할 수 있다.
도 10은 도 9의 핫-스팟 영역들에 대한 리소그라피 시뮬레이션과 실제 마스크에 의한 리소그라피 결과의 CD의 비교를 통해 본 실시예의 MPC 검증의 정합성을 보여주는 사진들이다. 여기서, Hot spot 컬럼의 1, 2, 3은 각각 도 9에서 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3에 대응할 수 있다.
도 10을 참조하면, A는 MTO 디자인 데이터를 이용하여 리소그라피 시뮬레이션을 통해 얻은 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3 영역의 형상을 보여주고, 화살표에 대응하는 각각의 CD는 41.7, 42.9, 44.4로 나타난다. B는 2차원 컨투어를 이용하여 리소그라피 시뮬레이션을 통해 얻은 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3 영역의 형상을 보여주고, 화살표에 대응하는 각각의 CD는 41, 41, 44로 나타난다. C는 마스크에 대한 축소 노광계 시뮬레이션을 통해 얻은 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3 영역의 형상을 보여주고, 화살표에 대응하는 각각의 CD는 40.8, 42.2, 45.0로 나타난다. 마지막으로 D는 마스크에 대한 실제 리소그라피 공정을 통해 얻은 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3 영역에 대한 ADI(After Develop Inspection) 형상이고, 화살표에 대응하는 각각의 CD는 40.7, 40.9, 42.9로 나타난다.
A, B, C, D의 형상들 간의 CD 차는 핫-스팟 1, 핫-스팟 2 및 핫-스팟 3 모두에서 유의차가 없는 수준, 예컨대, ±1.5 이내의 범위로 나타나고 있다. 따라서, 웨이퍼 레벨의 비교를 통해서도 MPC 검증의 정합성을 확인할 수 있다.
도 11 내지 도 13은 본 발명의 일 실시예들에 따른 마스크 제조방법에 대한 흐름도들이다. 설명의 편의를 위해 도 1, 도 5 및 도 6에 대한 설명 부분에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 11을 참조하면, MTO 디자인 데이터를 입력받는 단계(S110)부터 MPC 정확도의 허용 범위 판단 단계(S170) 및 마스크 프로세스 모델 수정 단계(S190)까지는 도 1의 설명 부분에서 설명한 바와 같다.
MPC 정확도의 허용 범위 판단 단계(S170)에서, 허용 범위 내이면(Yes), MPC가 수행된 데이터에 기초하여 픽셀 데이터를 생성한다(S210). 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
한편, 도 5에서 설명한 바와 같이, MPC가 수행된 데이터는 데이터 카피 단계(S180)를 통해 저장부에 저장된 후에 픽셀 데이터 생성에 이용될 수도 있다. 또한, 픽셀 데이터도 데이터 카피를 통해 저장부에 저장될 수 있다.
픽셀 데이터 생성 후, 픽셀 데이터를 이용하여 노광, 즉 전자빔 쓰기(Writing)를 수행한다(S230). 여기서, 전자빔 쓰기는 픽셀 데이터에 기초하여 전자빔을 마스크용 기판, 즉 마스크 원판에 조사하는 것을 의미할 수 있다. 마스크 원판은 유리나, 용융 실리카와 같은 투명한 기층 상에 크롬과 같은 불투명한 박막이 입혀진 구조를 가질 수 있다. 노광 공전 전에 크롬 상에 식각에 저항이 강한 레지스트막이 코팅되고, 전자빔 쓰기 공정에서 픽셀 데이터에 기초하여 레지스트막에 전자빔이 소정 패턴으로 조사될 수 있다.
전자빔 쓰기는 예컨대, 가변 형상 빔(Variable Shape Beam: VSB) 노광과 MBMW(Multi-Beam Mask Writer)를 이용한 그레이(Gray) 노광 등으로 수행될 수 있다. 물론, 전자빔 쓰기가 VSB 노광과 MBMW를 이용한 그레이 노광에 한정되는 것은 아니다.
전자빔 쓰기 후, 일련의 공정들을 진행하여 마스크를 형성한다(S250). 일련의 공정들은 예컨대, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다.
현상 공정에서, 마스크 원판 상의 레지스트막은 화학적 현상액에 대해서 민감하거나 둔감하게 반응하게 된다. 현상 공정에서 노광된 레지스트 부분을 제거한다면 이를 양성처리라고 부르며, 노광된 레지스트만 남는다면 음성처리라고 부른다.
현상 공정 완료 후 식각 공정에서, 레지스트막에 의해서 덮여 있지 않은 마스크의 표면들이 식각용 화학제, 즉 식각액에 노출된다. 레지스트막은 식각 공정에 견딜 수 있도록 재질로 이루어져 있으며, 그에 따라 식각용 화학제에 대해서 최소한 하부에 위치한 크롬의 제거비율에 비해 더 낮은 비율로 제거될 수 있다. 액체(습식)나 플라즈마(건식) 화학 작용제를 사용해서 식각을 수행할 수 있다. 식각을 통해서 노출된 크롬의 부분을 제거하고 나면, 마스크에서 남아있는 레지스트들을 모두 제거한다. 이후에 세정 공정이 진행될 수 있다.
한편, 마스크 형성을 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 또한, 펠리클(pellicle) 도포 공정이 포함될 수도 있다. 여기서 펠리클 도포 공정은 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면, 마스크 표면을 마스크의 배송 및 마스크의 가용수명 기간 동안 후속적인 오염으로부터 마스크를 보호하기 위해서 펠리클을 부착하는 공정을 의미한다.
본 실시예의 마스크 제조방법은 마스크 프로세스 모델을 이용하여 2차원 컨투어를 추출하고, 그 2차원 컨투어를 통해 MPC의 정확도를 검증하는 MPC 검증 방법을 포함할 수 있다. 따라서, 본 실시예의 마스크 제조방법은, MPC의 검증 방법에 기인하여 마스크 제조 공정이 개선되고, 개선된 마스크 제조 공정에 의해 더욱 정밀한 마스크를 구현할 수 있다.
도 12를 참조하면, MTO 디자인 데이터를 입력받는 단계(S110)부터 데이터 카피 단계(S180) 및 마스크 프로세스 모델 수정 단계(S190)까지는 도 5의 설명 부분에서 설명한 바와 같다. 이후, 픽셀 데이터 생성 단계(S210), 및 전자빔 쓰기 단계(S230) 및 마스크 형성 단계(S250)를 진행하여 마스크를 제조한다. 픽셀 데이터 생성 단계(S210), 및 전자빔 쓰기 단계(S230) 및 마스크 형성 단계(S250)에 대해서는 도 11의 설명 부분에서 설명한 바와 같다.
도 13을 참조하면, MTO 디자인 데이터를 입력받는 단계(S110)부터 데이터 카피 단계(S180) 및 마스크 프로세스 모델 수정 단계(S190)까지는 도 6의 설명 부분에서 설명한 바와 같다. 이후, 픽셀 데이터 생성 단계(S210), 및 전자빔 쓰기 단계(S230) 및 마스크 형성 단계(S250)를 진행하여 마스크를 제조한다. 픽셀 데이터 생성 단계(S210), 및 전자빔 쓰기 단계(S230) 및 마스크 형성 단계(S250)에 대해서는 도 11의 설명 부분에서 설명한 바와 같다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (10)

  1. 마스크 패턴에 대한 MTO(Mask Tape Out) 디자인 데이터에 대하여, 마스크 프로세스 모델(Mask Process Model)을 이용하여 마스크 제조 과정에서 발생하는 오차를 반영하는 MPC(Mask Process Correction)를 수행하는 단계; 및
    상기 마스크 프로세스 모델을 이용하여, 상기 마스크 패턴에 대한 2차원 컨투어(contour)를 출력하여 상기 MPC에 대한 검증을 수행하는 단계;를 포함하는 MPC 검증 방법.
  2. 제1 항에 있어서,
    상기 마스크 프로세스 모델에 대한 인버스(inverse) 모델을 상기 MPC가 수행된 데이터에 적용하여 상기 2차원 컨투어를 출력하는 것을 특징으로 하는 MPC 검증 방법.
  3. 제1 항에 있어서,
    상기 2차원 컨투어를 상기 MTO 디자인 데이터에 의한 형상과 비교하여 상기 MPC에 대한 검증을 수행하는 것을 특징으로 하는 MPC 검증 방법.
  4. 제3 항에 있어서,
    비교를 통한 에러 량을 EPE(Edge Placement Error), 또는 CD(Critical Dimension)와 EPE로 정량화하는 것을 특징으로 하는 MPC 검증 방법.
  5. 제1 항에 있어서,
    상기 MPC에 대한 검증을 수행하는 단계 후에,
    상기 2차원 컨투어에 대한 데이터를 OPC(Optical Proximity Correction) 검증 툴에 입력하여 웨이퍼 레벨에서 검증하는 단계를 더 포함하는 것을 특징으로 하는 MPC 검증 방법.
  6. 제5 항에 있어서,
    상기 웨이퍼 레벨에서 검증하는 단계에서,
    전체 ORC(Optical Rule Check) 중 마스크에 연관있는 항목만을 추출하여 체크하는 것을 특징으로 하는 MPC 검증 방법.
  7. 제5 항에 있어서,
    풀-칩(full-chip)에 대해서 분산 처리 및 설정된 에러 량에 기초하여 특정 부분에 대해서만 상기 2차원 컨투어를 출력하고,
    상기 웨이퍼 레벨에서 검증하는 단계에서, 상기 특정 부분에 대해서 상기 웨이퍼 레벨의 검증을 수행하는 것을 특징으로 하는 MPC 검증 방법.
  8. 마스크 패턴에 대한 MTO 디자인 데이터에 대하여, 마스크 프로세스 모델을 이용하여 마스크 제조 과정에서 발생하는 오차를 반영하는 MPC를 수행하는 단계;
    상기 마스크 프로세스 모델을 이용하여, 상기 마스크의 패턴에 대한 2차원 컨투어를 출력하여 상기 MPC에 대한 검증을 수행하는 단계;
    상기 MPC에 대한 검증이 수행된 데이터에 기초하여 픽셀 데이터를 생성하는 단계;
    상기 픽셀 데이터에 기반하여 마스크용 기판 상에 전자빔 쓰기를 수행하는 단계; 및
    상기 마스크용 기판에 대한 현상 및 식각 공정을 진행하여 마스크를 형성하는 단계;를 포함하는 마스크 제조방법.
  9. 제8 항에 있어서,
    상기 마스크 프로세스 모델에 대한 인버스 모델을 상기 MPC가 수행된 데이터에 적용하여 상기 2차원 컨투어를 출력하며,
    상기 2차원 컨투어를 상기 MTO 디자인 데이터에 의한 형상과 비교하여 상기 MPC에 대한 검증을 수행하는 것을 특징으로 하는 마스크 제조방법.
  10. 제8 항에 있어서,
    상기 MPC에 대한 검증을 수행하는 단계 후에
    상기 2차원 컨투어에 대한 데이터를 OPC 검증 툴에 입력하여 웨이퍼 레벨에서 검증하는 단계를 더 포함하고,
    상기 웨이퍼 레벨에서 검증하는 단계에서, 전체 ORC 중 마스크에 연관있는 항목만을 추출하여 체크하는 것을 특징으로 하는 마스크 제조방법.
KR1020150104358A 2015-07-23 2015-07-23 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법 KR102441582B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150104358A KR102441582B1 (ko) 2015-07-23 2015-07-23 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US15/219,142 US10223494B2 (en) 2015-07-23 2016-07-25 Semiconductor device manufacturing method and mask manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150104358A KR102441582B1 (ko) 2015-07-23 2015-07-23 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법

Publications (2)

Publication Number Publication Date
KR20170011554A true KR20170011554A (ko) 2017-02-02
KR102441582B1 KR102441582B1 (ko) 2022-09-07

Family

ID=57837151

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150104358A KR102441582B1 (ko) 2015-07-23 2015-07-23 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법

Country Status (2)

Country Link
US (1) US10223494B2 (ko)
KR (1) KR102441582B1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020092393A1 (en) * 2018-10-31 2020-05-07 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
WO2020247181A1 (en) * 2019-06-05 2020-12-10 X Development Llc Cascading models for optimization of fabrication and design of a physical device
KR20210118471A (ko) * 2017-02-24 2021-09-30 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
US11346882B2 (en) 2017-11-03 2022-05-31 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102415583B1 (ko) * 2017-06-30 2022-07-04 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법
CN108803343B (zh) * 2018-07-24 2021-10-15 国家能源投资集团有限责任公司 甲醇制烯烃反应器的控制方法及装置
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
KR102688569B1 (ko) * 2018-12-13 2024-07-25 삼성전자주식회사 마스크 레이아웃 설계 방법, opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
KR20210028326A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 장치의 제조방법
CN112445059A (zh) * 2019-09-05 2021-03-05 中芯国际集成电路制造(上海)有限公司 光学邻近修正、光掩膜版制作及图形化方法
KR20210030078A (ko) 2019-09-09 2021-03-17 삼성전자주식회사 광 근접 보정을 수행하는 방법 및 이를 이용한 리소그래피 마스크 제조 방법
KR20210078812A (ko) * 2019-12-19 2021-06-29 삼성전자주식회사 광학 근접 보상 검증 방법 및 이를 포함하는 반도체 제조 방법
US20220253619A1 (en) * 2021-02-08 2022-08-11 Mark Eklund Micro-track device (M-TDnm)
CN116699939B (zh) * 2023-08-08 2023-11-07 华芯程(杭州)科技有限公司 一种掩膜版优化方法、装置、设备及计算机可读存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004219587A (ja) * 2003-01-10 2004-08-05 Dainippon Printing Co Ltd 光近接補正パターンを有するフォトマスク用データの作成方法と、光近接補正パターンを有するフォトマスク
KR20110002359A (ko) * 2009-07-01 2011-01-07 주식회사 하이닉스반도체 광 근접효과 보정의 검증방법
US20130268901A1 (en) * 2012-04-09 2013-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for E-Beam Writing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
JP2009031460A (ja) 2007-07-26 2009-02-12 Toshiba Corp マスクパターンの作成方法、作成装置及び露光用マスク
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
KR20100066650A (ko) 2008-12-10 2010-06-18 주식회사 동부하이텍 광 근접 효과 방법
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8943725B2 (en) * 2010-04-21 2015-02-03 Andras Gotzy Equipment for displaying information carriers, especially for advertising purposes
US20120128228A1 (en) 2010-11-18 2012-05-24 D2S, Inc. Method for Matching of Patterns
US8826196B2 (en) 2013-01-30 2014-09-02 Mentor Graphics Corporation Integration of optical proximity correction and mask data preparation
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10292663B2 (en) * 2015-06-30 2019-05-21 Covidien Lp System and method of monitoring autoregulation
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004219587A (ja) * 2003-01-10 2004-08-05 Dainippon Printing Co Ltd 光近接補正パターンを有するフォトマスク用データの作成方法と、光近接補正パターンを有するフォトマスク
KR20110002359A (ko) * 2009-07-01 2011-01-07 주식회사 하이닉스반도체 광 근접효과 보정의 검증방법
US20130268901A1 (en) * 2012-04-09 2013-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for E-Beam Writing

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210118471A (ko) * 2017-02-24 2021-09-30 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
US11346882B2 (en) 2017-11-03 2022-05-31 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
US11435393B2 (en) 2017-11-03 2022-09-06 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
WO2020092393A1 (en) * 2018-10-31 2020-05-07 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11244873B2 (en) 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
WO2020247181A1 (en) * 2019-06-05 2020-12-10 X Development Llc Cascading models for optimization of fabrication and design of a physical device
US11379633B2 (en) 2019-06-05 2022-07-05 X Development Llc Cascading models for optimization of fabrication and design of a physical device
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection

Also Published As

Publication number Publication date
KR102441582B1 (ko) 2022-09-07
US20170024510A1 (en) 2017-01-26
US10223494B2 (en) 2019-03-05

Similar Documents

Publication Publication Date Title
KR102441582B1 (ko) Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US6634018B2 (en) Optical proximity correction
JP4954211B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US6584609B1 (en) Method and apparatus for mixed-mode optical proximity correction
KR100673014B1 (ko) 포토 마스크의 제조 방법
KR20170047101A (ko) Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10036961B2 (en) Optical proximity correction (OPC) method and method of fabricating mask using the OPC method
KR102693518B1 (ko) Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US11080458B2 (en) Lithography simulation method
US20070111112A1 (en) Systems and methods for fabricating photo masks
JP2002328459A (ja) ウエーハ転写検証方法
US20090281778A1 (en) Method and system for identifying weak points in an integrated circuit design
US20040128118A1 (en) Process window compliant corrections of design layout
US20090276735A1 (en) System and Method of Correcting Errors in SEM-Measurements
US9223911B2 (en) Optical model employing phase transmission values for sub-resolution assist features
JP4621485B2 (ja) パタンデータ検証方法、パタンデータ作成方法、露光用マスクの製造方法およびプログラム
US6261724B1 (en) Method of modifying a microchip layout data set to generate a predicted mask printed data set
CN117289543A (zh) 基于深度学习的光刻模型生成方法以及掩模制造方法
CN116719206A (zh) Opc图案的拐角倒圆方法、opc方法和掩模制造方法
US20220283496A1 (en) Photomask and method for inspecting photomask
US11300873B2 (en) Optical proximity correction (OPC) method using a multi-OPC model and method of manufacturing a mask by using the OPC method
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
KR20070101905A (ko) 광 근접 보정 검증 방법
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
KR20230072811A (ko) 노광 데이터 수득 방법 및 이를 이용한 노광 마스크 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant