CN109254495A - 光学邻近校正方法及通过使用其制造掩模的方法 - Google Patents

光学邻近校正方法及通过使用其制造掩模的方法 Download PDF

Info

Publication number
CN109254495A
CN109254495A CN201810430409.5A CN201810430409A CN109254495A CN 109254495 A CN109254495 A CN 109254495A CN 201810430409 A CN201810430409 A CN 201810430409A CN 109254495 A CN109254495 A CN 109254495A
Authority
CN
China
Prior art keywords
pattern
critical dimension
cleaning
checked
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810430409.5A
Other languages
English (en)
Inventor
金琪洙
郑鲁永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109254495A publication Critical patent/CN109254495A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)

Abstract

一种光学邻近校正(OPC)方法,包括:准备用于OPC的基础数据;用扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR)图案的显影后检查(ADI)临界尺寸(CD);用SEM测量使用PR图案形成的晶片图案的清洁后检查(ACI)CD;通过使用PR图案的测量的ADI CD和晶片图案的测量的ACI CD产生反映由SEM测量引起的PR收缩的样品的CD数据;和基于基础数据和样品的CD数据产生OPC模型。

Description

光学邻近校正方法及通过使用其制造掩模的方法
技术领域
实施方式涉及制造掩模的方法,更具体地,涉及光学邻近校正(OPC) 方法以及通过使用OPC方法制造掩模的方法。
背景技术
在半导体制造工艺中,可以通过使用掩模来执行光刻工艺,以在例如晶 片等的半导体基板上形成图案。掩模可以被定义为图案转印元件,其中在透 明基底材料上形成包括不透明材料的图案。
在掩模制造工艺中,可以首先设计期望的电路,可以设计电路的布局, 并且通过光学邻近校正(OPC)获得的设计数据可以作为掩模流片(MTO, mask tape-out)设计数据被传送。随后,可以基于MTO设计数据执行掩模 数据准备(MDP)工艺,并且可以通过执行前端制程(FEOL)工艺和后端 制程(BEOL)工艺来制造掩模。
发明内容
根据实施方式的一个方面,提供了一种OPC方法,包括:准备用于OPC 的基础数据;通过扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR) 的显影后检查(ADI)临界尺寸(CD)图案和使用PR图案形成的晶片图案 的清洗后检查(ACI)CD;以及通过使用PR图案的ADI CD以及晶片图案 的ACI CD产生反映由SEM测量引起的PR收缩的样品的CD数据;以及基于基础数据和样品的CD数据产生OPC模型。
根据实施方式的另一方面,提供了一种OPC方法,包括:准备用于OPC 的基础数据;通过扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR) 的显影后检查(ADI)临界尺寸(CD)图案和使用PR图案形成的晶片图案 的清洗后检查(ACI)CD;通过使用晶片图案的ACICD校正PR图案的ADI CD以产生样品的CD数据;基于基础数据和样品的CD数据产生OPC模型;检查和选择OPC模型;验证OPC模型;以及通过使用OPC模型执行模拟。
根据实施方式的又一个方面,提供了一种制造掩模的方法,所述方法包 括:执行OPC方法,所述OPC方法包括通过SEM测量PR图案的ADI CD 和使用PR图案形成的晶片图案的ACI CD PR图案以及通过使用PR图案的 ADI CD和晶片图案的ACI CD产生反映由SEM测量引起的PR收缩的OPC 模型,并且传送通过OPC方法获取的设计数据作为掩模流片(MTO)设计数据,基于MTO设计数据准备掩模数据,以及基于掩模数据在用于掩模的 基板上执行曝光。
根据实施方式的又一个方面,提供了一种制造半导体器件的方法,所述 方法包括执行OPC方法,所述方法包括通过SEM关于样品测量PR图案的 ADI CD和使用PR图案形成的晶片图案的ACI CD以及通过使用PR图案的 ADI CD和晶片图案的ACI CD产生反映由SEM测量引起的PR收缩的OPC 模型,并且传送通过OPC方法获取的设计数据作为MTO设计数据,基于 MTO设计数据准备掩模数据,基于掩模数据在用于掩模的基板上执行曝光、 显影和蚀刻工艺以制造掩模,以及通过使用该掩模的光刻工艺制造半导体器 件。
附图说明
通过参考附图详细描述示例性实施方式,特征对于本领域技术人员将变 得显而易见,其中:
图1示出根据实施方式的光学邻近校正(OPC)方法的流程图;
图2A示出根据实施方式的OPC方法中使用的扫描电子显微镜(SEM) 的示意性配置图;
图2B和2C示出当使用图2A的SEM测量PR图案的临界尺寸(CD) 时发生的现象的概念图;
图3示出在负色调显影(NTD)PR的曝光工艺中发生的现象的概念图;
图4示出如图1所示的产生样品的CD数据的详细流程图;
图5A至5D示出在如图4所示的产生样品的CD数据的操作中测量PR 图案的清洁后检查(ACI)CD和晶片图案的ACI CD的工艺中的多个阶段的 横截面图;
图6A至图6C示出用于各种图案的应用于图1的OPC方法的SEM偏 斜数据的曲线图;
图7A和图7B示出图6B的T2T CD的概念图和图6C的T2S CD的概 念图;
图8示出应用于由比较方法产生的OPC模型的△CD和应用于图1的 OPC方法的SEM偏斜数据的曲线图;
图9示出用于将由比较方法产生的OPC模型与由图1的OPC方法产生 的OPC模型进行比较的表格;
图10示出根据实施方式的制造掩模的方法的流程图;和
图11示出根据实施方式的制造半导体器件的方法的流程图。
具体实施方式
图1是示出根据实施方式的光学邻近校正(OPC)方法的操作的流程图。
参考图1,准备了用于OPC的基础数据(操作S110),例如,基础数据 准备可以包括经由模拟或计算机工具对晶片上或计算机屏幕上的图案的测 量。基础数据可以包括关于样品的图案的形式(例如,基板上的图案的类型 或形状)、样品的图案的位置(例如,图案在基板上的位置)、测量的类型(例 如,图案的间隔或者线的测量)以及基础测量值的数据(例如,信息)。另 外,基础数据可以包括附加信息,例如光致抗蚀剂(PR)的厚度、PR的折 射率以及PR的介电常数,并且可以包括用于照明系统类型的源映射(source map)。但是,基础数据不限于上述例示的数据。
作为参考,当图案被最小化时,曝光工艺中相邻图案之间的影响可能导 致光学邻近效应(OPE)。因此,OPC方法是指纠正图案的布局以防止发生 OPE的方法。OPC方法可以大致分为基于规则的OPC方法和基于模拟或基 于模型的OPC方法。例如,根据本实施方式的OPC方法可以是基于模型的 OPC方法。基于模型的OPC方法仅使用代表性图案的测量结果,而不需要 测量大量(或全部)测试图案,因此在时间和成本方面是有利的。
OPC方法可以包括除了修改图案的布局之外,将称为衬线的亚光刻特征 添加到图案的拐角的方法或者添加诸如散射条的亚分辨率辅助特征(SRAF) 的方法。在此,衬线可以是分别位于图案的拐角中的四方形特征,并且可以 用于锐化图案的拐角或者补偿由图案的交叉引起的失真因数。SRAF可以是 被引入以解决由图案之间的密度差异引起的OPC偏差的辅助特征,并且可 以是被形成为具有小于曝光设备的分辨率的尺寸并且不被转印到抗蚀剂层 上的特征。
接下来,返回参考图1,产生反映由于扫描电子显微镜(SEM)测量引 起的PR收缩的样品的临界尺寸(CD)数据(操作S120)。
通常,OPC方法包括使用SEM测量样品中的图案的CD、基于通过该 测量获得的样品的CD数据产生OPC模型、以及通过使用OPC模型执行模 拟从而由此获取接近于实际测量数据的掩模设计数据,它们被顺序执行。但 是,在通过SEM测量样品的CD时,由于电子束(E-beam)引起的能量施 加到PR,导致PR的收缩,由此可能发生由于PR的收缩导致的通过SEM测量获得的样品的CD数据的误差。
样品的CD数据中的误差可以包括由于PR图案变形和/或检测信号失真 而导致的误差。因此,当使用通过SEM测量获得的样品的CD数据产生OPC 模型时,照原样,由于样品的CD数据中的误差,导致在OPC模型中可能 发生误差。结果,在OPC方法中可能发生误差,即,在使用OPC模型的模 拟中可能发生误差。下面将参考图3至图4更详细地描述当通过SEM测量 PR图案的CD时发生的PR收缩以及实际PR图案的CD与通过SEM测量的 PR图案的CD之间的差异。
相反,在根据本实施方式的OPC方法中,可以通过产生反映由于SEM 测量引起的PR收缩的样品的CD数据来解决上述问题。下面将参考图2A 至5D更详细地描述产生反映由于SEM测量引起的PR收缩的样品的CD数 据的详细工艺。作为参考,在现有的OPC方法中,从经验的观点来校正样 品的CD数据,并且校正的CD数据被用来产生OPC模型,即OPC建模。 然而,从相同的样品CD数据可以获得稍微不同的结果,并且没有明确的标 准来纠正样品的CD数据中的误差。因此,在提高样品的CD数据的准确性 方面存在限制。
准备基础数据的操作(操作S110)和产生样品的CD数据的操作(操作 S120)可以以任何顺序执行。例如,可以首先执行准备基础数据的操作(操 作S110),或者可以首先执行产生样品的CD数据的操作(操作S120)。
在准备基础数据(S110)并产生样品的CD数据(S120)之后,产生光 学的OPC模型(操作S130)。光学的OPC模型的产生可以包括曝光工艺中 的散焦开始(DS)位置和最佳焦点(BF)位置的优化。另外,光学的OPC 模型的产生可以包括曝光设备本身的光学状态或光衍射现象的优化。然而, 光学的OPC模型的产生不限于此。例如,光学的OPC模型的产生可以包括 与曝光工艺中的光学现象有关的各种工艺。在产生光学的OPC模型时,可 以使用上述样品的CD数据。
在产生光学的OPC模型之后,产生用于PR的OPC模型(操作S140)。 用于PR的OPC模型的产生可以包括PR的阈值的优化。这里,PR的阈值 表示在曝光工艺中发生化学变化的阈值。例如,阈值可以作为曝光光线的强 度给出。用于PR的OPC模型的产生还可以包括从各种PR模型形式中选择 适当的模型形式。这里,每个PR模型形式可以是PR的化学性质的组合。例如,第一PR模型形式可以包括化学性质1、2和3,并且第二PR模型形 式可以包括化学性质1、3和4。例如,同样,在产生用于PR的OPC模型 时,可以使用上述样品的CD数据。
光学的OPC模型和用于PR的OPC模型统称为OPC模型。因此,产生 光学的OPC模型的工艺和产生用于PR的OPC模型的工艺可以统称为产生 OPC模型的工艺,即OPC建模工艺。在下文中,除非另有说明,否则OPC 模型用于指代包括光学的OPC模型和用于PR的OPC模型的整体模型。
在产生OPC模型之后,OPC模型被检查并被选择(操作S150)。OPC 模型的检查可以例如通过CD误差的均方根(RMS)计算来完成。在检查 OPC模型之后,选择OPC模型。更具体地说,首先对产生的OPC模型进行 CD误差的RMS计算,并检查通过RMS计算得到的RMS值是否在设定的 规格内。如果RMS值在规格内(Spec-in),则选择产生的OPC模型。如果 RMS值偏离规格(Spec-out),则产生的OPC模型被丢弃,并且再次执行产 生另一个OPC模型的操作,即产生光学的OPC模型的操作(操作S130)。
当选择OPC模型时,所选择的OPC模型被验证(操作S160)。OPC模 型的验证可以通过将OPC模型应用于与OPC模型的产生中使用的图案不同 的图案来完成。当OPC模型被应用于不同的图案并且结果基本相同(Spec-in) 时,OPC模型的验证完成。当没有获得相同的结果(Spec-out)时,再次执 行产生另一OPC模型的操作,即产生光学的OPC模型的操作(操作S130)。
当OPC模型的验证完成时,使用OPC模型执行模拟(操作S170)。通 过使用OPC模型的模拟,可以获得接近实际测量数据的掩模设计数据。掩 模设计数据可作为用于掩模生产的掩模流片(MTO)设计数据被传送给掩模 生产团队。
根据本实施方式的OPC方法可以提高OPC模型的精确度,从而通过产 生反映由SEM测量引起的PR收缩的样品的CD数据并且使用用于OPC建 模的所述样品的所述CD数据来优化OPC方法。另外,根据本实施方式的 OPC方法可以通过按照预定规则在样品的CD数据中反映由于SEM测量引 起的PR收缩而提供用于校正由SEM测量引起的PR收缩导致的样品的CD数据中的误差的明确标准。下面将参考图2A至5D更详细地描述根据预定 规则在样品的CD数据中反映由SEM测量引起的PR收缩的方法。
图2A是根据本实施方式的在OPC方法中使用的SEM 200的示意配置 图,图2B和2C是示出当使用图2A的SEM 200测量PR图案的CD时发生 的现象的概念图。
参考图2A至2C,如上所述,为了产生样品的CD数据,样品中的PR 图案的CD可以通过SEM 200来测量。SEM 200可以包括电子枪210、阳极 220、磁性透镜230、扫描线圈240、第一检测器250、第二检测器260、扫 描仪270和台280。
电子枪210可以是例如肖特基电子枪或热电发射电子枪。可以通过向电 子枪210施加加速电压来发射电子束。阳极220是加速电极,并且电子束由 施加在电子枪210和阳极220之间的电压加速。磁性透镜230可以起聚焦和 加速电子束的作用。扫描线圈240可以在样本即样品晶片290上一维或二维 地扫描电子束。第一检测器250检测当电子束照射到样品晶片290上时反向 散射的电子,第二检测器260检测通过电子束辐射从样品晶片290产生的二 次电子。扫描仪270可分析由第一检测器250和第二检测器260检测到的电 子的检测信号,以产生用于样品晶片290上的PR图案或晶片图案的图像。 另外,扫描仪270可通过将高频控制信号施加到扫描线圈240来控制电子束 的扫描方向。
台280是放置样品晶片290的地方,并且样品晶片290可以放置在台280 的上表面上。台280可以通过在x方向、y方向或z方向上的线性运动使样 品晶片290在x方向、y方向或z方向上移动。尽管未示出,但是物镜可以 被放置在平台280的正上方,并且物镜可以将由扫描线圈240偏转的电子束 会聚在样品晶片290的上表面上。
图2B示出了在样品晶片290上形成的线和间隔形式的PR图案PRbe的 横截面,例如,PR图案PRbe可以包括在样品晶片290上彼此间隔开的多个 梯形图案。图2B示出在执行经由SEM 200的CD测量之前的PR图案PRbe的形式。PR图案PRbe可具有在间隔部分(例如相邻梯形图案之间的间隔) 中的第一CD CD1和在线部分(例如梯形图案)中的第二CD CD2。在图2B的PR图案PRbe中,间隔部分向下变窄,例如是倒梯形横截面,线部分向下 变宽,例如是梯形横截面。PR图案PRbe的结构不限于此。例如,基于形成 PR图案PRbe的PR的材料或曝光工艺中的曝光条件,间隔部分或线部分的 侧表面可以具有基本上垂直的轮廓,例如四边形截面,或者可以具有与图2B 的结构相反的结构。
图2C示出当经由SEM 200执行CD测量时的PR图案PRme的横截面。 在通过SEM 200的CD测量中,可以在PR上扫描电子束(E-beam),并且 电子束的能量可以施加到PR。施加到PR的能量可能使PR减小,并可能导 致PR图案PRme的改变。例如,由于PR的收缩,PR图案PRme的间隔部分 的宽度可以变宽,并且其线部分的宽度可以变窄。在图2C中,虚线表示PR 收缩之前的状态,实线表示PR收缩之后的状态。换句话说,如图2C所示, 由SEM 200测量的间隔部分的第一CD CD1'可以大于测量之前的初始间隔 部分的第一CD CD1(在图2B中),并且由SEM 200测量的线部分的第二 CD CD2'可以小于测量之前的初始线部分的第二CD CD2(在图2B中)。因 此,由SEM 200测量的PR图案的CD可具有对应于SEM 200测量之前的初 始PR图案的CD(CD1/CD2)与由SEM 200的测量修改的PR的CD (CD1'/CD2')之间的差异的误差。当具有这样的误差的PR图案的CD被用 作样品的CD数据时,可能导致OPC建模中的误差以及在OPC方法中的随 之发生的误差。
图3是示出在用于负色调显影(NTD)PR的曝光工艺中发生的现象的 概念图。NTDPR表示其中在显影工艺中未曝光的部分被去除并保留曝光部 分的PR。与NTD PR相反的正色调显影(PTD)PR表示其中曝光部分通过 显影工艺被去除的PR。
参考图3,通过将紫外(UV)或极紫外(EUV)光照射到NTD PR上来 执行曝光工艺(“曝光”)。接下来,在曝光工艺之后,通过热处理执行烘烤 工艺(“曝光后烘烤(PEB)”)。然后,执行显影工艺以去除未曝光的NTD PR 部分并留下曝光的NTD PR部分(“显影”)。当在曝光工艺和烘烤工艺中将 能量施加到NTD PR部分时,NTD PR收缩。如图3所示,在剩余的NTDPR 部分中可能发生横向收缩和垂直收缩。因此,在NTD PR的情况下,由于根 据能量施加发生PR收缩的事实,可以充分预测在通过SEM的CD测量期间 由于电子束的能量施加也可能发生PR收缩。
作为参考,在PEB工艺中,能量还可以通过热处理被施加到未曝光部 分,从而引起PR收缩。然而,未曝光部分的化学特性与曝光部分的化学特 性不同,因此,未曝光部分中的PR收缩现象可与曝光部分中的PR收缩现 象不同。但是,由于未曝光部分被去除,所以未示出未曝光部分中的PR收 缩。
顺便提及,在PTD PR的情况下,曝光部分在显影工艺中被去除,未曝 光部分保留以形成PR图案。从能量施加的角度来看,与由NTD PR产生的 PR图案相比,由PTD PR形成的PR图案可以对应于其中相对低的能量施加 到PTD PR的状态。换句话说,由NTD PR形成的PR图案是曝光工艺中能 量施加到其上的部分,而由PTD PR形成的PR图案是在曝光工艺中能量不 施加到其上的部分。在初始能量施加状态下PR的收缩可能相对较大,然后 可逐渐减小。结果,可以预测,在使用在由PTD PR产生的PR图案上执行 的SEM的CD测量中,而不是在使用在由NTD PR产生的PR图案上执行的 SEM的CD测量中,可以发生相对较大的PR收缩。
图4是更详细地示出如图1所示的产生样品的CD数据的操作(操作 S120)的流程图,图5A至图5D是示出在图4的操作中测量PR图案的显影 后检查(ADI)CD和晶片图案的清洁后检查(ACI)CD的工艺的截面图。 以上参考图1已经提供的描述将仅被简要描述或省略。
参考图4和图5A,在样品晶片290上形成PR图案120(操作S121)。 样品晶片290和PR图案120可对应于一个样品。PR图案120可以在样品晶 片290被涂覆有PR之后通过曝光工艺和显影工艺形成。PR图案120可以由 NTD PR或PTD PR形成。PR图案120可以以线和间隔的形式形成。线和间 隔可以在朝向或远离纸面的方向上延伸。在PR图案120初始形成之后,PR图案120的线部分可具有初始CD CD_ad0。初始CD CD_ad0对应于实际PR 图案120的线部分的CD值作为通过SEM测量之前的CD值,即,初始CD CD_ad0对应于同一线图案120的两个相反侧壁之间的水平距离(图5A)。 然而,初始CD CD_ad0不能通过物理测量来确认。
样品可以被分成第一区域Area1和第二区域Area2。第一区域Area1可 以是其中的PR图案的CD通过SEM测量的区域,而第二区域Area2可以是 其中的PR图案的CD没有通过SEM测量的区域。相同的多个PR图案可以 包括在第一区域Area1和第二区域Area2的每个中。如图5A所示,在通过 SEM的CD测量之前,第一区域Area1中的PR图案120的线部分的CD和 第二区域Area2中的PR图案120的线部分的CD可以彼此相等,作为初始 CD CD_ad0。
参考图4和图5B,在形成PR图案120之后,通过SEM在样品的第一 区域Area1中(例如,仅仅在样品的第一区域Area1中)测量PR图案120a 的线部分的ADI CD(操作S122)。通常,PR图案的CD测量被称为ADI CD 测量,因为PR图案通过显影工艺形成并且PR图案的CD测量在显影工艺 之后进行。另一方面,在晶片或晶片上的材料膜中通过蚀刻形成的图案的CD测量被称为ACI CD测量或蚀刻后检查(AEI)CD测量。这里,ACI是 因为通常在蚀刻之后执行清洁并且在清洁之后执行用于晶片图案或材料膜 图案的CD测量而产生的术语。
从图5B中的虚线和实线可以看出,PR的收缩可能由SEM的ADI CD 测量引起。即,虚线表示发生PR收缩之前的状态,实线表示发生PR收缩 之后的状态。因此,PR图案120a的线部分可以在校正之前具有ADI CD CD_ad1,即,CD_ad1(图5B中的实线)对应于在通过SEM执行ADI CD 测量之后同一收缩线图案120a(图5B)的两个相反侧壁之间的水平距离。
在校正之前(即,在通过SEM进行的ADI CD测量之后)的ADI CD CD_ad1可以小于初始CD CD_ad0。例如,如图5B所示,在电子束通过SEM 仅照射到例如区域1和区域2中的区域1之后,PR图案120a的线部分可以 具有比区域2(没有被照射)中的初始CD CD_ad0小的ADICD CD_ad1。
参考图4和图5C,在测量第一区域Area1中的PR图案120a的ADI CD 之后,使用Area1中的PR图案120a和Area2中的PR图案120来蚀刻样品 晶片290以形成晶片图案(操作S123)。所得到的晶片图案可以包括第一区 域Area1中的第一晶片图案110P1和第二区域Area2中的第二晶片图案 110P2。具体地,通过使用第一区域Area1的PR图案120a作为掩模可以形 成第一晶片图案110P1,并且通过使用第二区域Area2的PR图案120作为 掩模可以形成第二晶片图案110P2。因此,第一晶片图案110P1的线部分的 宽度可以小于第二晶片图案110P2的线部分的宽度。
根据蚀刻工艺条件,第一区域Area1中的PR图案120a和第一晶片图案 110P1的线部分的宽度可能彼此不一致。另外,第二区域Area2中的PR图 案120和第二晶片图案110P2的线部分的宽度可能彼此不一致。然而,如果 第一区域Area1中的蚀刻工艺条件与第二区域Area2中的蚀刻工艺条件相 同,则由宽度窄的PR图案的线部分形成的晶片图案的线部分的宽度可以小 于由宽度宽的PR图案的线部分形成的晶片图案的线部分的宽度。因此,第一晶片图案110P1的线部分的宽度可以小于第二晶片图案110P2的线部分的 宽度,即对应于各个图案120a和120。
参考图4和5D,在形成晶片图案110P1和110P2之后,测量第一区域 Area1中的晶片图案(即第一晶片图案110P1)的ACI CD,并测量第二区域 Area2中的晶片图案(即第二晶片图案110P2)的ACI CD(操作S124)。用 于第一晶片图案110P1的ACI CD测量和用于第二晶片图案110P2的ACI CD 测量可以通过SEM执行。然而,由于第一和第二晶片图案110P1和110P2的材料是例如硅的半导体材料,所以在通过使用SEM测量晶片图案的ACI CD的工艺期间样品晶片290即第一和第二晶片图案110P1和110P2的收缩 几乎不会发生,例如,是可忽略的。然而,在通过使用SEM测量晶片图案 的ACI CD的工艺中样品晶片290的收缩可能性并未完全排除。
通过对第一晶片图案110P1的ACI CD测量,可以获得第一晶片图案 110P1的线部分的第一ACI CD CD_ac1。此外,通过用于第二晶片图案110P2 的ACI CD测量,可以获得第二晶片图案110P2的线部分的第二ACI CD CD_ac2。如上所述,由于PR图案120a和120之间的差异,第一晶片图案 110P1的线部分的宽度可以小于第二晶片图案110P2的线部分的宽度。因此, 第一ACI CD CD_ac1可以小于第二ACI CD CD_ac2。
参考图4,在对第一晶片图案110P1和第二晶片图案110P2的ACI CD 测量之后,例如通过从一个值减去另一个值来计算第一ACI CD CD_ac1和 第二ACI CD CD_ac2之间的差异(操作S125):△CD=(CD_ac1)-(CD_ac2)。 可以理解的是,第一ACI CD CD_ac1和第二ACICD CD_ac2之间的差异是 由于在第一区域Area1的PR图案120a上通过SEM进行的ADI CD测量引 起的。
参考图4,在计算第一ACI CD CD_ac1与第二ACI CD CD_ac2之间的 差异之后,利用第一ACI CD CD_ac1与第二ACI CD CD_ac2之间的差异校 正PR图案的ADI CD(操作S126)。例如,第一ACI CD CD_ac1与第二ACI CD CD_ac2之间的差异反映在通过SEM测量获得的PR图案的ADI CD(即, 校正之前的ADI CD CD_ad1)中,并且因此在由SEM测量引起的PR收缩 导致的PR图案的ADI CD中的误差可以被校正。因此,可以获得接近PR 图案的初始CDCD_ad0的PR图案的已校正ADI CD CD_co。换言之,由于 PR图案的已校正ADI CD CD_co接近PR图案的初始CD CD_ad0,即CD_co 近似等于照射前的CD_ad0的值,所以可以使用△CD来计算PR图案的已校 正ADI CD CD_co。
获得PR图案的已校正ADI CD CD_co的方法由等式1表示。
CD_ad0≒CD_co=CD_ad1+△等式1
在这种情况下,CD_ad0是PR图案的初始CD(见图5A),CD_co是 PR图案的已校正ADICD,CD_ad1是校正PR图案之前的ADI CD,△是第 一ACI CD CD_ac1与第二ACI CD CD_ac2之间的差值(即, CD_ac2-CD_ac1),其中△可以是正值(+)或负值(-),取决于通过使用SEM 测量的CD是对应于PR图案的线部分还是PR图案的间隔部分。例如,当 关于PR图案的线部分使用等式1时,校正之前的ADI CD CD_ad1由于SEM 测量引起的PR收缩而变得小于初始CD CD_ad0。另外,由于第二ACI CD CD_ac2大于第一ACI CD CD_ac1,所以△变为正值(+)。因此,已校正ADI CD CD_co变得大于校正之前的ADI CD CD_ad1。
当关于PR图案的间隔部分使用等式1时,如从图3可以理解的,在PR 图案的间隔部分的CD的情况下,由于SEM测量引起的PR收缩导致校正之 前的ADI CD CD_ad1变得大于初始CD CD_ad0。另外,由于第二ACI CD CD_ac2小于第一ACI CD CD_ac1,因此△变为负值(-)。因此,已校正ADI CD CD_co变得小于校正之前的ADI CD CD_ad1。
虽然根据本实施方式的OPC方法已经用在第一区域Area1中的一个PR 图案和在第二区域Area2中相应的一个PR图案来描述,但是根据本实施方 式的OPC方法不限于此。例如,可以使用在第一区域Area1和第二区域Area2 的每个中布置在不同位置的至少两个相同的PR图案来获得PR图案的已校 正ADI CD CD_co,并且相应地可以改进获得PR图案的已校正ADI CD CD_co的方法的可靠性。
更具体地,多个相同的PR图案可以被包括在第一区域Area1和第二区 域Area2的每一个中的不同位置中。通过SEM的ADI CD测量对第一区域 Area1中的n个(n≥2)PR图案执行。然后,使用PR图案蚀刻样品晶片100 以形成晶片图案,测量第一区域Area1中的n个晶片图案(即,n个第一晶 片图案)的ACI CD,并且还测量第二区域Area2中的n个晶片图案(即,n个第二晶片图案)的ACI CD。接着,可以基于等式1获得n个PR图案的 已校正ADI CD CD_co。通过获得并平均n个PR图案的已校正ADI CD CD_co可以获得精确校正的ADI CD CD_co。
在根据本实施方式的OPC方法中,为了提高获得PR图案的已校正ADI CD CD_co的方法的可靠性,可以使用在第一区域Area1和第二区域Area2 的每一个中布置在不同位置中的至少10个相同的PR图案。
根据实施方式,多个不同的PR图案可以被包括在第一区域Area1和第 二区域Area2的每一个中。在这种情况下,具有分别与第一区域Area1中包 括的PR图案的形式相同形式的PR图案必须被包括在第二区域Area2中。 更具体而言,当图案1、图案2和图案3,作为不同类型的PR图案,布置在 第一区域Area1中时,图案1、图案2和图案3也必须布置在第二区域Area2 中。这是因为当通过使用SEM测量第一区域Area1中的图案1、图案2和图 案3的ADI CD时,图案1、图案2和图案3也必须存在于第二区域Area2 中,使得可以在蚀刻工艺之后测量第一区域Area1中的晶片图案的ACI CD 和第二区域Area2中的晶片图案的ACI CD,从而计算每个PR图案的所测量 的ACI CD与已校正ADI CD之间的差异。
虽然已经参考图5A至5D描述了通过使用线和间隔型PR图案来获得 PR图案的已校正ADI CD CD_co的方法,但是获得PR图案的已校正ADI CD CD_co的方法不限于此。例如,获得已校正ADI CD CD_co的方法也可以应 用于具有诸如圆形、椭圆形或多边形的形状的PR图案。无论PR图案是否 具有线和间隔形状或诸如圆形、椭圆形或多边形的形状,类似于存在PR的 线部分的部分由于SEM测量而收缩,并且不存在PR的间隔部分由于SEM 测量而被扩大。因此,获得PR图案的已校正ADI CD CD_co的方法(其被 应用于根据本实施方式的OPC方法)被普遍应用而无论PR图案的形状如何。
图6A至图6C是示出用于各种图案的应用于图1的OPC方法的SEM 偏斜数据的曲线图,图7A和图7B分别是示出图6B的T2T CD的概念图和 图6C的T2S CD的概念图。这里,x轴表示PR图案的类型,y轴表示由SEM 测量引起的PR收缩的程度,即SEM偏斜(skew),其对应于上述等式1中 的△并具有任意单位。图6A反映了由1D表示的对应于简单图案例如线和 间隔图案的数据,图6B反映了对应于图7A所示的图案的数据,其中T2T 表示图案的尖端和相邻图案的尖端之间的间隔,图6C反映了对应于图7B 所示的图案的数据,其中T2S表示图案的尖端和相邻图案的(例如横向)侧 面之间的间隔。作为参考,复杂的图案通常缩写为2D。PR图案可以包括彼 此紧密排列的PR图案和独立图案,并且可以基本垂直排列。
参考图6A,可以理解的是,由于PR图案按照一定的规则重复排列,所 以SEM偏斜数据以某一图案重复。SEM偏斜数据关于重复的PR图案具有 某一图案的事实使得能够预测应用于根据本实施方式的OPC方法的PR图案 的ADI CD校正方法的准确性。PR图案的SEM偏斜数据在一个重复周期内 逐渐减小,这可能是由PR图案之间的密度差引起的。例如,当PR图案密 度相对较低时,即当PR图案粗排列时,SEM偏斜可以相对大,并且当PR 图案密度相对较高时,即当PR图案紧密排列时,SEM偏斜可以相对小。
参考图6B和图7A,图6B的曲线图示出了T2T CD CDT2T(即图7A所 示的第一图案P1的尖端部分和第二图案P2的尖端部分之间的CD)的SEM 偏斜数据。更具体地,可以通过SEM测量第一图案P1的尖端部分和第二图 案P2的尖端部分之间的CD,然后通过上述工艺可以计算Δ,以获得用于 T2T CD CDT2T的SEM偏斜数据。如图6B所示,可以理解PR图案的T2T CD的SEM偏斜数据变化很大。
参考图6C和图7B,图6C的曲线图示出了T2S CD CDT2S(即,图7B 所示的第一图案P1的尖端部分和第三图案P3的侧面部分之间的CD)的 SEM偏斜数据。更具体地,可以通过SEM测量第一图案P1的尖端部分和 第三图案P3的侧面部分之间的CD,然后通过上述工艺可以计算Δ,以获得 用于T2S CD CDT2S的SEM偏斜数据。如图6C所示,可以理解,PR图案的 T2SCD的SEM偏斜数据变化很大。
图8是示出应用于通过比较方法产生的OPC模型的△CD和应用于图1 的OPC方法的SEM偏斜数据的曲线图。这里,x轴表示PR图案的类型,y 轴表示具有任意单位的△CD和SEM偏斜数据。在比较OPC建模中,ΔCD 可以对应于由工程师经验性反映的校正值,用于由SEM测量的PR图案的 ADI CD的校正。SEM偏斜数据可以与图6A的SEM偏斜数据相同。
参考图8,可以理解的是,与SEM偏斜数据相比,ΔCD是不规则的且 具有通常较高的值。由于PR图案是重复图案,因此可以预期,通过使用SEM 偏斜数据的PR图案的ADI CD校正比通过使用ΔCD的PR图案的ADI CD 校正更准确。因此,可以理解的是,与基于ΔCD的OPC模型相比,基于 SEM偏斜数据的OPC模型可以有助于执行更精确的OPC方法。
图9是用于将由比较方法产生的OPC模型与由图1的OPC方法产生的 OPC模型进行比较的表格。这里,PTD表示通过比较方法产生的OPC模型, 并且SEM PTD表示根据本实施方式的OPC方法通过反映SEM偏斜产生的 OPC模型。此外,1D RMS表示用于简单图案的误差RMS值,2D RMS表 示用于复杂图案的误差RMS值,总RMS表示用于所有图案的误差RMS值。 1D合格率表示允许的简单图案的百分比,2D合格率表示允许的复杂图案的 百分比,总合格率表示所有允许的图案的百分比。
参考图9,1D RMS、2D RMS和总RMS越低,OPC模型的性能越高。 同样,1D合格率、2D合格率和总合格率越高,OPC模型的性能越高。如图 9的表格所示,SEM PTD的1D RMS、2DRMS和总RMS分别低于PTD的 1D RMS、2D RMS和总RMS。此外,SEM PTD的1D合格率、2D合格率和总合格率分别高于PTD的1D合格率、2D合格率和总合格率。因此,可 以理解的是,根据本实施方式的OPC方法通过反映SEM偏斜产生的OPC 模型优于通过比较方法产生的OPC模型。
图10是根据实施方式的制造掩模的方法的流程图。以上参考图1至5D 提供的描述将仅简要描述或省略。
参考图10,首先,执行OPC(操作S100)。执行OPC的操作可以包括 准备基础数据的操作(操作S110)、产生样品的CD数据的操作(操作S120)、 产生OPC模型的操作(操作S130和S140)、检查并选择OPC模型的操作(操 作S150)、验证OPC模型的操作(操作S160)以及执行模拟的操作(操作 S170),如参考图1所述。产生样品的CD数据的操作(操作S120)可以包 括形成PR图案的操作(操作S121)、测量PR图案的ADI CD的操作(操作 S122)、形成晶片图案的操作(操作S123)、测量晶片图案的ACI CD的操作 (操作S124)、计算第一ACI CD和第二ACICD之间的差异的操作(操作 S125)以及通过使用所述差异校正PR图案的ADI CD的操作(操作S126), 如参考图4所述。
在执行OPC之后,接收MTO设计数据(操作S200)。一般而言,MTO 可以表示转移OPC用于完成的掩模设计数据以请求掩模制造。因此,MTO 设计数据可以被称为OPC用于完成的掩模设计数据。MTO设计数据可以具 有电子设计自动化(EDA)软件中使用的图形数据格式。例如,MTO设计 数据可以具有诸如图形数据系统II(GDS2)或开放图形系统交换标准(OASIS)的数据格式。
在接收到MTO设计数据之后,执行掩模数据准备(MDP)(操作S300)。 例如,MDP可以包括称为分解的格式转换、用于机械读取的条形码的增强、 用于测试的标准掩模图案、作业组(job deck)等,以及自动或手动验证。 作业组可以表示关于诸如多个掩模文件的布置信息、参考剂量、曝光速度或 曝光类型的一系列命令的文本文件的产生。
格式转换,即分解,可以表示按照区域划分MTO设计数据并将MTO 设计数据的格式转换为电子束曝光装置的格式的处理。分解可包括数据处 理,例如缩放、设置数据大小、旋转数据、图案反射和颜色反转。在通过分 解的转换处理期间,可以校正关于在将图像从设计数据转移到晶片上期间可 能发生的许多系统误差的数据。校正关于系统误差的数据的处理可以被称为 掩模处理校正(MPC),并且可以包括例如被称为CD调整的线宽度调整和提高图案布置中的精度的操作。因此,分解可有助于改善掩模质量,并且可 以先于校正掩模处理来执行。这里,系统误差可能由曝光工艺、掩模显影和 蚀刻工艺中产生的失真以及晶片成像工艺引起。
MDP可以包括MPC。如上所述,MPC表示校正在曝光工艺中产生的误 差即系统误差的处理。这里,曝光工艺可以包括诸如电子束写入、显影、蚀 刻和烘烤工艺的全部操作。数据处理可以在曝光工艺之前执行。数据处理是 掩模数据的预处理,并且可以包括掩模数据的语法检查和曝光时间的预测。
在准备掩模数据之后,基于掩模数据曝光用于掩模的基板(操作S400)。 这里,曝光可以表示例如电子束写入操作。电子束写入操作可以使用多光束 掩模写入器(MBMW)以灰度写入的方式执行。而且,电子束写入操作可 以通过使用可变形光束(VSB)曝光装置来执行。
另外,在准备掩模数据之后且在曝光工艺之前,可以执行将掩模数据转 换为像素数据的处理。像素数据直接用于实际曝光工艺,并且可以包括关于 要被曝光的形状的数据和关于分配给该形状的剂量的数据。这里,关于形状 的数据可以是通过光栅化从作为矢量数据的形状数据转换的位图数据。
在曝光工艺之后,通过执行一系列工艺来制造掩模(操作S500)。该一 系列工艺可以包括例如显影、蚀刻和清洁工艺。而且,用于制造掩模的一系 列工艺可以包括测量工艺、缺陷检查工艺或缺陷修复工艺。同样,所述一系 列工艺可以包括蒙版(pellicle)涂布工艺。蒙版涂布工艺可以表示以下工艺: 如果通过最后的清洁和测试工艺确定在掩模上没有污染颗粒或化学斑点,则 贴附用于保护掩模以防在掩模的递送期间的后续污染和保护掩模的可用寿 命的蒙版的工艺。
在根据本实施方式的制造掩模的方法中,如参考图1所描述的,可以产 生反映由SEM测量引起的PR收缩的样品的CD数据,并且可以使用OPC 建模中的样品的CD数据来提高OPC模型的准确度,并且因此可以通过优 化OPC方法制造优良的掩模。例如,根据本实施方式的制造掩模的方法使 得能够制造具有减少的误差的掩模,该误差由于由SEM测量引起的PR收缩 导致。
图11是根据实施方式的制造半导体器件的方法的流程图。以上参考图 10已经提供的描述将只简要描述或省略。
参考图11,执行以下步骤以制造掩模:执行OPC(操作S100)、输入 MTO设计数据(操作S200)、准备掩模数据(操作S300)、将用于掩模的基 板曝光(操作S400)以及制造掩模(操作S500),如参考图10所述。
当制造掩模时,通过使用掩模可以在诸如晶片的半导体基板上执行各种 半导体工艺以制造半导体器件(操作S600)。例如,使用掩模的工艺可以是 使用曝光工艺的图案化工艺。通过使用掩模的图案化工艺可以在半导体基板 或材料层上形成期望的图案。
半导体工艺可以包括沉积工艺、蚀刻工艺、离子化工艺和清洁工艺。沉 积工艺可以包括各种材料层形成工艺,诸如化学气相沉积(CVD)、溅射和 旋涂。离子化工艺(ionization)可以包括诸如离子注入、扩散和热处理的工 艺。另外,半导体工艺可以包括用于将半导体器件安装在印刷电路板(PCB) 上并且通过使用密封材料来密封半导体器件的封装工艺,并且可以包括用于 测试半导体器件或半导体封装的测试工艺。
这里描述的方法、工艺和/或操作可以由将要由计算机、处理器、控制器 或其他信号处理设备执行的代码或指令来执行。计算机、处理器、控制器或 其他信号处理设备可以是在此描述的那些或除了在此描述的元件之外的一 个。因为构成所述方法(或计算机、处理器、控制器或其他信号处理设备的 操作)的基础的算法被详细描述,所以用于实现所述方法实施方式的操作的 代码或指令可以将计算机、处理器、控制器或其他信号处理设备转换成用于 执行在此描述的方法的专用处理器。
而且,另一个实施方式可以包括用于存储上述代码或指令的计算机可读 介质,例如,非临时性计算机可读介质。计算机可读介质可以是易失性或非 易失性存储器或其他存储设备,其可以可移除地或固定地联接到将要执行用 于执行在此描述的方法实施方式的代码或指令的计算机、处理器、控制器或 其他信号处理设备。
通过总结和回顾,实施方式提供了能够产生高精度的OPC模型的光学 邻近校正(OPC)方法。也就是说,根据本实施方式的制造半导体器件的方 法,可以产生反映由SEM测量引起的PR收缩的样品的CD数据,并且通过 在OPC建模中使用样品的该CD数据可以提高OPC模型的准确度。因此, 通过优化OPC方法可以制造优良的掩模。另外,通过使用掩模执行曝光工 艺及其他半导体工艺,能够制造具有减少的缺陷的半导体器件。因此,根据 本实施方式的半导体器件制造方法可以显著提高半导体工艺成品率。
这里已经公开了示例实施方式,并且虽然使用了特定的术语,但是它们 被使用并且将仅被解释为一般的和描述性的涵义,而不是为了限制的目的。 在一些情况下,对于本领域普通技术人员来说显而易见的是,从本申请的提 交起,结合特定实施方式描述的特征、特性和/或元件可以单独使用或与结合 其他实施方式描述的特征、特征和/或元件组合使用,除非另外具体指出。因 此,本领域的技术人员将会理解,在不脱离如所附权利要求书中阐述的本发 明的精神和范围的情况下,可以进行形式和细节上的各种改变。
于2017年7月13日向韩国专利局提交的题目为“Optical Proximity CorrectionMethod and Method of Manufacturing Mask by Using the Same(光学 邻近校正方法及通过使用其制造掩模的方法)”的韩国专利申请第 10-2017-0089169的全部内容通过引用结合在此。

Claims (20)

1.一种光学邻近校正(OPC)方法,包括:
准备用于光学邻近校正的基础数据;
用扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR)图案的显影后检查(ADI)临界尺寸(CD);
用所述扫描电子显微镜测量使用所述光致抗蚀剂图案形成的晶片图案的清洁后检查(ACI)临界尺寸;
通过使用所述光致抗蚀剂图案的所述显影后检查临界尺寸和所述晶片图案的所述清洁后检查临界尺寸产生反映由所述扫描电子显微镜测量引起的光致抗蚀剂收缩的所述样品的临界尺寸数据;和
基于所述基础数据和所述样品的所述临界尺寸数据产生光学邻近校正模型。
2.如权利要求1所述的光学邻近校正方法,其中产生所述样品的所述临界尺寸数据包括使用所述晶片图案的所述清洁后检查临界尺寸校正所述光致抗蚀剂图案的所述显影后检查临界尺寸,从而基于所述光致抗蚀剂图案的已校正显影后检查临界尺寸产生所述样品的所述临界尺寸数据。
3.如权利要求2所述的光学邻近校正方法,其中:
所述晶片图案的所述清洁后检查临界尺寸包括所述晶片图案的第一清洁后检查临界尺寸和所述晶片图案的第二清洁后检查临界尺寸,所述晶片图案的所述第一清洁后检查临界尺寸使用其中已经使用所述扫描电子显微镜测量显影后检查临界尺寸的光致抗蚀剂图案形成,所述晶片图案的所述第二清洁后检查临界尺寸使用其中没有使用所述扫描电子显微镜测量显影后检查临界尺寸的光致抗蚀剂图案形成,和
使用所述第一清洁后检查临界尺寸和所述第二清洁后检查临界尺寸之间的差异校正所述光致抗蚀剂图案的所述显影后检查临界尺寸。
4.如权利要求1所述的光学邻近校正方法,其中产生所述样品的所述临界尺寸数据包括:
通过使用所述扫描电子显微镜测量所述样品的第一区域中的所述光致抗蚀剂图案的所述显影后检查临界尺寸;
通过使用所述光致抗蚀剂图案蚀刻晶片以产生所述晶片图案;
通过使用所述扫描电子显微镜测量与所述第一区域中的所述光致抗蚀剂图案对应的所述晶片图案的第一清洁后检查临界尺寸,并且测量在不同于所述第一区域的第二区域中的所述晶片图案的第二清洁后检查临界尺寸;
通过比较所述第一清洁后检查临界尺寸和所述第二清洁后检查临界尺寸来计算所述第一清洁后检查临界尺寸和所述第二清洁后检查临界尺寸之间的差异;和
基于所述差异校正所述光致抗蚀剂图案的所述显影后检查临界尺寸。
5.根据权利要求4所述的光学邻近校正方法,其中,计算所述第一清洁后检查临界尺寸与所述第二清洁后检查临界尺寸之间的所述差异包括:关于具有基本相同形式的晶片图案,比较所述第一清洁后检查临界尺寸与所述第二清洁后检查临界尺寸。
6.如权利要求4所述的光学邻近校正方法,其中:
所述第二区域包括具有与所述第一区域的晶片图案大致相同形式的晶片图案,
测量所述晶片图案的所述第一清洁后检查临界尺寸包括在所述第一区域中的十个或更多个位置处测量所述第一区域中的每个所述晶片图案的第一清洁后检查临界尺寸,以及
测量所述晶片图案的所述第二清洁后检查临界尺寸包括在所述第二区域中的与所述第一区域中的所述十个或更多个位置对应的位置处测量所述第二区域中的每个所述晶片图案的第二清洁后检查临界尺寸。
7.如权利要求4所述的光学邻近校正方法,其中在校正之前的所述光致抗蚀剂图案的所述显影后检查临界尺寸CD_ad、所述第一清洁后检查临界尺寸CD_ac1、所述第二清洁后检查临界尺寸CD_ac2和在校正之后的所述光致抗蚀剂图案的所述显影后检查临界尺寸CD_co满足下面的等式1,
CD_co=CD_ad+△ 等式1,
其中△=CD_ac2-CD_ac1。
8.如权利要求1所述的光学邻近校正方法,其中产生所述光学邻近校正模型包括:
产生光学的光学邻近校正模型;和
产生用于所述光致抗蚀剂的光学邻近校正模型。
9.如权利要求1所述的光学邻近校正方法,在产生所述光学邻近校正模型之后,还包括:
检查和选择所述光学邻近校正模型;
验证所述光学邻近校正模型;和
通过使用所述光学邻近校正模型执行模拟,
其中通过计算临界尺寸误差的均方根(RMS)执行检查所述光学邻近校正模型,并且如果所述光学邻近校正模型不在设定标准内,则再次执行产生所述光学邻近校正模型,和
其中通过将所述光学邻近校正模型应用到另一图案来执行验证所述光学邻近校正模型,并且如果对于其他图案没有获得基本相同的结果,则再次执行产生所述光学邻近校正模型。
10.一种光学邻近校正(OPC)方法,包括:
准备用于光学邻近校正的基础数据;
用扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR)图案的显影后检查(ADI)临界尺寸(CD);
用所述扫描电子显微镜测量使用所述光致抗蚀剂图案形成的晶片图案的清洁后检查(ACI)临界尺寸;
通过使用所述晶片图案的所述清洁后检查临界尺寸来校正所述光致抗蚀剂图案的所述显影后检查临界尺寸以产生所述样品的临界尺寸数据;
基于所述基础数据和所述样品的所述临界尺寸数据产生光学邻近校正模型;
检查和选择所述光学邻近校正模型;
验证所述光学邻近校正模型;和
通过使用所述光学邻近校正模型执行模拟。
11.如权利要求10所述的光学邻近校正方法,其中测量所述光致抗蚀剂图案的所述显影后检查临界尺寸和所述晶片图案的所述清洁后检查临界尺寸包括:
使用所述扫描电子显微镜测量所述样品的第一区域中的所述光致抗蚀剂图案的所述显影后检查临界尺寸;
通过使用所述光致抗蚀剂图案蚀刻晶片以产生所述晶片图案;和
通过使用所述扫描电子显微镜测量与所述第一区域中的所述光致抗蚀剂图案对应的所述晶片图案的第一清洁后检查临界尺寸,并且测量在不同于所述第一区域的第二区域中的所述晶片图案的第二清洁后检查临界尺寸。
12.如权利要求11所述的光学邻近校正方法,其中:
所述第二区域包括具有与所述第一区域的晶片图案基本相同形式的晶片图案,
测量所述晶片图案的所述第一清洁后检查临界尺寸包括在所述第一区域中的十个或更多个位置处测量所述第一区域中的每个所述晶片图案的第一清洁后检查临界尺寸;
测量所述晶片图案的所述第二清洁后检查临界尺寸包括在所述第二区域中的与所述第一区域中的所述十个或更多个位置对应的位置处测量所述第二区域中的每个所述晶片图案的第二清洁后检查临界尺寸。
13.如权利要求11所述的光学邻近校正方法,其中通过使用所述第一清洁后检查临界尺寸与所述第二清洁后检查临界尺寸之间的差异来执行校正所述光致抗蚀剂图案的所述显影后检查临界尺寸。
14.如权利要求11所述的光学邻近校正方法,其中根据下面的等式2执行校正所述光致抗蚀剂图案的所述显影后检查临界尺寸,
CD_co=CD_ad+△......等式2,
其中△=CD_ac2-CD_ac1,CD_ad是在校正之前的所述光致抗蚀剂图案的所述显影后检查临界尺寸,CD_ac1是所述第一清洁后检查临界尺寸,CD_ac2是所述第二清洁后检查临界尺寸,CD_co是在校正之后的所述光致抗蚀剂图案的所述显影后检查临界尺寸。
15.一种制造掩模的方法,所述方法包括:
执行光学邻近校正(OPC)方法,包括:
用扫描电子显微镜(SEM)关于样品测量光致抗蚀剂(PR)图案的显影后检查(ADI)临界尺寸(CD),
用所述扫描电子显微镜测量使用所述光致抗蚀剂图案形成的晶片图案的清洁后检查(ACI)临界尺寸;和
通过使用所述光致抗蚀剂图案的所述显影后检查临界尺寸和所述晶片图案的所述清洁后检查临界尺寸产生反映由扫描电子显微镜测量引起的光致抗蚀剂收缩的光学邻近校正模型;以及
传送通过所述光学邻近校正方法获取的设计数据作为掩模流片(MTO)设计数据;
基于所述掩模流片设计数据准备掩模数据;和
基于所述掩模数据在用于掩模的基板上执行曝光。
16.如权利要求15所述的方法,其中执行所述光学邻近校正方法包括:
准备用于光学邻近校正的基础数据;
通过使用所述扫描电子显微镜关于所述样品测量所述光致抗蚀剂图案的所述显影后检查临界尺寸和所述晶片图案的所述清洁后检查临界尺寸;
通过使用所述晶片图案的所述清洁后检查临界尺寸来校正所述光致抗蚀剂图案的所述显影后检查临界尺寸以产生所述样品的临界尺寸数据;和
基于所述基础数据和所述样品的所述临界尺寸数据产生光学邻近校正模型。
17.如权利要求16所述的方法,其中测量所述光致抗蚀剂图案的所述显影后检查临界尺寸和所述晶片图案的所述清洁后检查临界尺寸包括:
通过使用所述扫描电子显微镜测量所述样品的第一区域中的所述光致抗蚀剂图案的所述显影后检查临界尺寸;
通过使用所述光致抗蚀剂图案蚀刻晶片以产生所述晶片图案;和
通过使用所述扫描电子显微镜测量与所述第一区域中的所述光致抗蚀剂图案对应的所述晶片图案的第一清洁后检查临界尺寸,并且测量在不同于所述第一区域的第二区域中的所述晶片图案的第二清洁后检查临界尺寸。
18.如权利要求17所述的方法,其中:
所述第二区域包括具有与所述第一区域的晶片图案基本相同形式的晶片图案,
测量所述晶片图案的所述第一清洁后检查临界尺寸包括在所述第一区域中的十个或更多个位置处测量所述第一区域中的每个所述晶片图案的第一清洁后检查临界尺寸,以及
测量所述晶片图案的所述第二清洁后检查临界尺寸包括在与所述第一区域中的所述十个或更多个位置对应的所述第二区域中的位置处测量所述第二区域中的每个所述晶片图案的第二清洁后检查临界尺寸。
19.如权利要求17所述的方法,其中使用等式3来执行校正所述光致抗蚀剂图案的所述显影后检查临界尺寸,
CD_co=CD_ad+△......等式3,
其中△=CD_ac2-CD_ac1,CD_ad是在校正之前的所述光致抗蚀剂图案的所述显影后检查临界尺寸,CD_ac1是所述第一清洁后检查临界尺寸,CD_ac2是所述第二清洁后检查临界尺寸,CD_co是在校正之后的所述光致抗蚀剂图案的所述显影后检查临界尺寸。
20.根据权利要求16所述的方法,其中产生所述光学邻近校正模型包括:
产生光学的光学邻近校正模型;和
产生用于所述光致抗蚀剂的光学邻近校正模型,以及
所述光学邻近校正方法还包括在产生所述光学邻近校正模型之后:
检查和选择所述光学邻近校正模型;
验证所述光学邻近校正模型;和
通过使用所述光学邻近校正模型执行模拟。
CN201810430409.5A 2017-07-13 2018-05-08 光学邻近校正方法及通过使用其制造掩模的方法 Pending CN109254495A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0089169 2017-07-13
KR1020170089169A KR102336664B1 (ko) 2017-07-13 2017-07-13 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Publications (1)

Publication Number Publication Date
CN109254495A true CN109254495A (zh) 2019-01-22

Family

ID=64745248

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810430409.5A Pending CN109254495A (zh) 2017-07-13 2018-05-08 光学邻近校正方法及通过使用其制造掩模的方法

Country Status (5)

Country Link
US (1) US10908498B2 (zh)
JP (1) JP6858732B2 (zh)
KR (1) KR102336664B1 (zh)
CN (1) CN109254495A (zh)
DE (1) DE102018103231B4 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
KR20210045607A (ko) * 2019-10-17 2021-04-27 삼성전자주식회사 패턴 레이아웃 설계 방법, 이를 이용한 패턴 형성 방법, 및 이를 이용한 반도체 장치 제조 방법
KR20220040308A (ko) 2020-09-23 2022-03-30 삼성전자주식회사 반도체 공정을 모델링하기 위한 시스템 및 방법

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004264102A (ja) * 2003-02-28 2004-09-24 Matsushita Electric Ind Co Ltd Semシュリンク量測定方法および測長sem装置
JP2005037255A (ja) * 2003-07-15 2005-02-10 Matsushita Electric Ind Co Ltd パターン寸法測定方法およびパターン寸法測定装置
JP2005057037A (ja) * 2003-08-04 2005-03-03 Sony Corp レジストシュリンク量の算出方法
US20060006328A1 (en) * 2004-07-07 2006-01-12 Cao Gary X Characterizing resist line shrinkage due to CD-SEM inspection
JP2008232818A (ja) * 2007-03-20 2008-10-02 Toppan Printing Co Ltd レジストパターン測定方法及びレジストパターン測定装置
CN101498899A (zh) * 2008-02-01 2009-08-05 松下电器产业株式会社 聚焦测定方法和半导体装置的制造方法
US20120237859A1 (en) * 2011-03-15 2012-09-20 Samsung Electronics Co., Ltd. Method of approximating value of critical dimension of pattern formed by photolithography and method of fabricating photomask including opc based on approximated value of a cd of a pattern
US20120298865A1 (en) * 2010-01-25 2012-11-29 Seiko Omori Scanning electron microscope
JP2013068864A (ja) * 2011-09-26 2013-04-18 Fujitsu Semiconductor Ltd マスクパターン補正装置、マスクパターン補正方法及びマスクパターン補正プログラム
CN103733023A (zh) * 2011-08-22 2014-04-16 株式会社日立高新技术 收缩前形状估计方法以及cd-sem装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015468B1 (en) 2003-03-25 2006-03-21 Kla-Tencor Technologies Corporation Methods of stabilizing measurement of ArF resist in CD-SEM
TWI237746B (en) 2003-07-23 2005-08-11 Nanya Technology Corp Optical proximity correction method
US7325225B2 (en) 2005-10-05 2008-01-29 Yasushi Tanaka Method and apparatus for reducing OPC model errors
KR100951249B1 (ko) 2007-11-23 2010-04-02 주식회사 포스코 수소응력균열 저항성과 저온인성이 우수한 후판강재 및 그제조방법
KR20090069093A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 소자 형성 방법
JP2009288497A (ja) 2008-05-29 2009-12-10 Toshiba Corp パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
KR101033225B1 (ko) * 2009-06-16 2011-05-06 주식회사 하이닉스반도체 패턴 레이아웃을 광근접효과보정하는 방법
JP5686627B2 (ja) 2011-02-24 2015-03-18 株式会社日立ハイテクノロジーズ パターン寸法測定方法、及び荷電粒子線装置
JP5859795B2 (ja) 2011-10-06 2016-02-16 株式会社日立ハイテクノロジーズ 計測方法、データ処理装置及びそれを用いた電子顕微鏡
CN103631084B (zh) 2012-08-29 2016-08-31 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
KR102491578B1 (ko) * 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004264102A (ja) * 2003-02-28 2004-09-24 Matsushita Electric Ind Co Ltd Semシュリンク量測定方法および測長sem装置
JP2005037255A (ja) * 2003-07-15 2005-02-10 Matsushita Electric Ind Co Ltd パターン寸法測定方法およびパターン寸法測定装置
JP2005057037A (ja) * 2003-08-04 2005-03-03 Sony Corp レジストシュリンク量の算出方法
US20060006328A1 (en) * 2004-07-07 2006-01-12 Cao Gary X Characterizing resist line shrinkage due to CD-SEM inspection
JP2008232818A (ja) * 2007-03-20 2008-10-02 Toppan Printing Co Ltd レジストパターン測定方法及びレジストパターン測定装置
CN101498899A (zh) * 2008-02-01 2009-08-05 松下电器产业株式会社 聚焦测定方法和半导体装置的制造方法
US20120298865A1 (en) * 2010-01-25 2012-11-29 Seiko Omori Scanning electron microscope
US20120237859A1 (en) * 2011-03-15 2012-09-20 Samsung Electronics Co., Ltd. Method of approximating value of critical dimension of pattern formed by photolithography and method of fabricating photomask including opc based on approximated value of a cd of a pattern
CN103733023A (zh) * 2011-08-22 2014-04-16 株式会社日立高新技术 收缩前形状估计方法以及cd-sem装置
JP2013068864A (ja) * 2011-09-26 2013-04-18 Fujitsu Semiconductor Ltd マスクパターン補正装置、マスクパターン補正方法及びマスクパターン補正プログラム

Also Published As

Publication number Publication date
DE102018103231B4 (de) 2021-09-30
DE102018103231A1 (de) 2019-01-17
JP2019020719A (ja) 2019-02-07
JP6858732B2 (ja) 2021-04-14
US20190018325A1 (en) 2019-01-17
US10908498B2 (en) 2021-02-02
KR102336664B1 (ko) 2021-12-07
KR20190007761A (ko) 2019-01-23

Similar Documents

Publication Publication Date Title
TWI691803B (zh) 量測方法及裝置
US9671686B2 (en) Exposure methods using e-beams and methods of manufacturing masks and semiconductor devices therefrom
CN109254495A (zh) 光学邻近校正方法及通过使用其制造掩模的方法
JP6880032B2 (ja) インスペクションのための方法及び装置
JP2022001965A (ja) リソグラフィプロセスおよびリソグラフィ装置、ならびに検査プロセスおよび検査装置
KR20180058819A (ko) 계측 방법, 타겟 및 기판
US7313781B2 (en) Image data correction method, lithography simulation method, image data correction system, program, mask and method of manufacturing a semiconductor device
KR102193687B1 (ko) 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
TW201721280A (zh) 用於圖案校正及驗證之方法與裝置
KR102491578B1 (ko) Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US20200166854A1 (en) Method of adapting feed-forward parameters
KR101204667B1 (ko) 위상반전마스크의 시디 보정방법 및 그 제조방법
TW202024777A (zh) 量測方法與裝置
JP7339826B2 (ja) マーク位置決定方法、リソグラフィー方法、物品製造方法、プログラムおよびリソグラフィー装置
US20240079206A1 (en) Scanning electron microscope (sem) measurement method and apparatus
TW201935312A (zh) 預掃描特徵判定之方法及系統
KR102390687B1 (ko) 리소그래피 프로세스들에서의 계측
KR20000057825A (ko) 포토마스크, 포토마스크의 제조 방법, 포토마스크의 검사수정 방법 및 포토마스크의 사용 방법
KR20200090210A (ko) 검사 툴 및 검사 툴의 왜곡을 결정하는 방법
US10809633B1 (en) Overlay control with corrections for lens aberrations
Häßler-Grohne et al. Calibration procedures and application of the PTB photomask CD standard
KR20230147100A (ko) 리소그래피 장치에 대한 신규 인터페이스 규정
TW202405379A (zh) 篩選邊緣安置均勻性晶圓隨機指標
KR20240067879A (ko) 계측 방법 및 장치
CN116203789A (zh) 全芯片单元临界尺寸校正方法及使用其制造掩模的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination