KR20160031831A - 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법 - Google Patents

슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법 Download PDF

Info

Publication number
KR20160031831A
KR20160031831A KR1020140122038A KR20140122038A KR20160031831A KR 20160031831 A KR20160031831 A KR 20160031831A KR 1020140122038 A KR1020140122038 A KR 1020140122038A KR 20140122038 A KR20140122038 A KR 20140122038A KR 20160031831 A KR20160031831 A KR 20160031831A
Authority
KR
South Korea
Prior art keywords
opc
slit
mask
tcc
models
Prior art date
Application number
KR1020140122038A
Other languages
English (en)
Other versions
KR102193687B1 (ko
Inventor
장성훈
이상화
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140122038A priority Critical patent/KR102193687B1/ko
Priority to US14/740,510 priority patent/US9715170B2/en
Publication of KR20160031831A publication Critical patent/KR20160031831A/ko
Application granted granted Critical
Publication of KR102193687B1 publication Critical patent/KR102193687B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명의 기술적 사상은 EUV 노광 공정에 있어서, 슬릿 효과(slit effect)를 보정할 수 있는 OPC 방법과 그 OPC 방법을 이용한 EUV 마스크 제조방법을 제공한다. 그 OPC 방법은 EUV(Extreme Ultraviolet) 노광 공정에 이용되는 슬릿의 영역별로 TCC(Transmission Cross Coefficient)를 분할하는 단계; 분할된 상기 TCC를 반영한 OPC(Optical Proximity Correction) 모델을 생성하는 단계; 및 OPC를 수정하는 단계;를 포함한다.

Description

슬릿 효과를 반영한 OPC 방법과 그 OPC 방법을 이용한 EUV 마스크 제조방법 및 반도체 소자 제조방법{OPC(Optical Proximity Correction) method reflecting slit effect and method for fabricating EUV(Extreme Ultraviolet) mask and semiconductor device using the OPC method}
본 발명의 기술적 사상은 마스크 제조방법에 관한 것으로, 특히 OPC 방법을 이용한 EUV 마스크 제조방법 및 반도체 소자 제조방법에 관한 것이다.
반도체 소자의 제조 공정 중 리소그라피(lithography) 공정은 기판 상에 도포된 감광막에 광선을 조사하여 회로패턴을 형성시키는 핵심 공정기술로서, 광원으로 주로 DUV(Deep Ultra Violet)을 광원을 사용하고 있으나 선폭이 급격히 축소됨에 따라 광학적으로 한계에 부딪히고 있다. 이에 따라 극자외선(extreme ultraviolet; EUV), 전자빔(electron beam), X-선, 이온빔 등의 새로운 광원이 모색되고 있으며, 이 가운데 극자외선과 전자빔이 차세대 노광 기술 방식으로 각광을 받고 있다. EUV 리소그라피 기술에서는 13.4nm에 이르는 극자외선 영역의 파장을 이용하는데, 극자외선 영역에서는 대부분의 물질이 높은 광 흡수성을 가지기 때문에 극자외선을 활용하기 위해서, 극자외선 노광장치는 반사경을 통해 광학계가 구성되며, 마스크도 반사형 마스크가 채용되고 있다. 한편, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고, 이를 극복하기 위해서 패턴을 전사하는 마스크 상의 패턴 레이아웃(layout)을 보정하여 OPE 발생을 억제하는 방법인 OPC(Optical Proximity Correction) 방법이 마스크 제조 공정에 일반적으로 채용되고 있다.
본 발명의 기술적 사상은 EUV 노광 공정에 있어서, 슬릿 효과(slit effect)를 보정할 수 있는 OPC 방법과 그 OPC 방법을 이용한 EUV 마스크 제조방법을 제공하는 데에 있다.
상기 과제를 해결하기 위하여, 본 발명의 기술적 사상은 EUV(Extreme Ultraviolet) 노광 공정에 이용되는 슬릿의 영역별로 TCC(Transmission Cross Coefficient)를 분할하는 단계; 분할된 상기 TCC를 반영한 OPC(Optical Proximity Correction) 모델을 생성하는 단계; 및 OPC를 수정하는 단계;를 포함하는 슬릿 효과를 반영한 OPC 방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계는, EUV 노광 장비의 측정 데이터를 이용하여 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표에 따른 수차(Aberration), 위상(phase), 인텐서티, 극성(polarization), 및 아포다이제이션(apodization) 데이터 중 적어도 하나를 이용하여 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계에서, 3개 이상의 홀수로 상기 TCC를 분할할 수 있다. 예컨대, 상기 홀수는 3, 5, 7, 9, 11 및 13 중 어느 하나일 수 있다. 또한, 상기 슬릿의 영역별 사이즈는 모두 동일하거나 또는 적어도 2개가 서로 다른 사이즈를 가질 수 있다. 한편, 상기 슬릿의 영역별 사이즈는 중심 영역을 기준으로 서로 대칭을 이룰 수 있다.
본 발명의 일 실시예에 있어서, 상기 슬릿은 원호 형상을 가지며, 상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표들에 대응하는 수차 데이터들을 이용하여 분할하며, 분할된 각각의 상기 TCC에는 상기 슬릿의 해당 영역의 수차 데이터가 반영될 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 모델을 생성하는 단계에서, 분할된 각각의 상기 TCC에 대한 OPC 모델들을 생성하고, 상기 OPC 모델들을 하나의 전체 OPC 모델로 그룹핑하며, 상기 OPC를 수정하는 단계에서, 상기 전체 OPC 모델을 상기 OPC 모델들 각각으로 분할하고, 상기 OPC 모델들 각각에 대하여 OPC를 수정할 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC를 수정하는 단계는, 타겟 패턴과 상기 OPC 모델에 대한 시뮬레이션 결과의 비교를 통해 이루어질 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC를 수정하는 단계 이후에, OPC 검증(verification) 모델을 생성하는 단계; 및 상기 OPC 검증 모델을 기반으로 OPC 검증을 수행하는 단계;를 포함하고, 상기 OPC 검증을 수행하는 단계에서 이상이 없는 경우 상기 OPC 방법을 종료할 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 모델을 생성하는 단계에서, 분할된 각각의 상기 TCC에 대한 OPC 모델들을 생성하고, 상기 OPC를 수정하는 단계에서, 상기 OPC 모델들 각각에 대하여 OPC를 수정하며, 상기 OPC 검증 모델을 생성하는 단계에서, 상기 OPC 모델들 각각에 대하여 상기 OPC 검증 모델들을 생성하며, 상기 OPC 검증을 수행하는 단계에서, 상기 OPC 검증 모델들 각각에 대하여 상기 OPC 검증을 수행할 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 검증을 수행하는 단계 전에, 상기 OPC 검증 모델들을 하나의 전체 OPC 검증 모델로 그룹핑하고, 상기 OPC 검증을 수행하는 단계에서, 상기 전체 OPC 검증 모델을 상기 OPC 검증 모델들 각각으로 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 검증을 수행하는 단계는, 타겟 패턴과 상기 OPC 검증 모델에 대한 시뮬레이션 결과의 비교를 통해 이루어질 수 있다.
또한, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하는 단계; 분할된 각각의 상기 TCC를 반영한 OPC 모델들을 생성하는 단계; 상기 OPC 모델들 각각에 대하여 OPC를 수정하는 단계; 상기 OPC 모델들 각각에 대한 OPC 검증 모델들을 생성하는 단계; 및 상기 OPC 검증 모델들을 기반으로 OPC 검증을 수행하는 단계;를 포함하는 슬릿 효과를 반영한 OPC 방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계는, 상기 슬릿을 영역별로 분할하는 단계; 및 상기 슬릿의 영역별로 TCC들을 계산하는 단계;를 포함할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표에 따른 수차, 위상, 인텐서티, 극성, 및 아포다이제이션 데이터 중 적어도 하나를 이용하여 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계에서, 3개 이상의 홀수로 상기 TCC를 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 슬릿의 영역별 사이즈는 모두 동일하거나 또는 적어도 2개가 서로 다른 사이즈를 가지며, 상기 슬릿의 영역별 사이즈는 중심 영역을 기준으로 서로 대칭을 이룰 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 모델들 각각에 대하여 OPC를 수정하는 단계 전에, 상기 OPC 모델들을 하나의 전체 OPC 모델로 그룹핑하고, 상기 OPC 모델들 각각에 대하여 OPC를 수정하는 단계에서, 상기 전체 OPC 모델을 상기 OPC 모델들 각각으로 분할한 후에 수정하며, 상기 OPC 검증을 수행하는 단계 전에, 상기 OPC 검증 모델들을 하나의 전체 OPC 검증 모델로 그룹핑하고, 상기 OPC 검증을 수행하는 단계에서, 상기 전체 OPC 검증 모델을 상기 OPC 검증 모델들 각각으로 분할하여 검증을 수행할 수 있다.
더 나아가, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하여 OPC를 수행하는 단계; 상기 OPC를 통해 획득한 MTO(Mask Tape-Out) 디자인 데이터를 입력받는 단계; 상기 MTO 디자인 데이터에 대하여, 데이터 포맷 변환, MPC(Mask Process Correction), 및 잡-덱을 포함한 마스크 데이터를 준비하는 단계; 및 상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광(writing)을 수행하는 단계;를 포함하는 EUV 마스크 제조방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 OPC를 수행하는 단계는, 상기 슬릿의 영역별로 상기 TCC를 분할하는 단계; 분할된 각각의 상기 TCC를 반영한 OPC 모델들을 생성하는 단계; 상기 OPC 모델들 각각에 대한 OPC 검증 모델들을 생성하는 단계; 및 상기 OPC 검증 모델들을 기반으로 OPC 검증을 수행하는 단계;를 포함할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표에 따른 수차, 위상, 인텐서티, 극성, 및 아포다이제이션 데이터 중 적어도 하나를 포함하는 EUV 노광 장비의 측정 데이터를 이용하여 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 TCC를 분할하는 단계에서, 3개 이상의 홀수로 상기 TCC를 분할하되, 상기 슬릿의 영역별 사이즈가 중심 영역을 기준으로 서로 대칭을 이루도록 분할할 수 있다.
본 발명의 일 실시예에 있어서, 상기 OPC 모델들 각각에 대해 OPC를 수정하는 단계와 상기 OPC 검증을 수행하는 단계는, 상기 OPC 모델들 또는 상기 OPC 검증 모델들이 하나의 모델로 그룹핑된 전체 OPC 모델 또는 전체 OPC 검증 모델을 상기 OPC 모델들 또는 상기 OPC 검증 모델들 각각으로 분할하여 진행할 수 있다.
본 발명의 일 실시예에 있어서, 상기 노광은 전자빔(E-beam)를 이용하여 수행하고, 상기 마스크 데이터를 준비하는 단계 이후에, 상기 마스크 데이터에 대하여, 전자빔 근접 효과에 의한 에러를 보정하는 PEC(Proximity Effect Correction)를 수행하는 단계;를 포함할 수 있다.
한편, 본 발명의 기술적 사상은 상기 과제를 해결하기 위하여, EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하여 OPC를 수행하는 단계; 상기 OPC를 통해 획득한 MTO 디자인 데이터를 입력받는 단계; 상기 MTO 디자인 데이터에 대하여, 데이터 포맷 변환, MPC(Mask Process Correction), 및 잡-덱을 포함한 마스크 데이터를 준비하는 단계; 상기 마스크 데이터에 대하여, 전자빔 근접 효과에 의한 에러를 보정하는 PEC를 수행하는 단계; 상기 마스크 데이터에 기초하여 마스크용 기판 상에 노광, 현상 및 식각 공정을 수행하여 EUV 마스크를 형성하는 단계; 및 상기 EUV 마스크를 이용한 리소그라피 공정을 통해 반도체 소자를 형성하는 단계;를 포함하는 반도체 소자 제조방법을 제공한다.
본 발명의 일 실시예에 있어서, 상기 OPC를 수행하는 단계는, 상기 슬릿의 영역별로 상기 TCC를 분할하는 단계; 분할된 각각의 상기 TCC를 반영한 OPC 모델들을 생성하는 단계; 상기 OPC 모델들 각각에 대한 OPC를 수정하여 OPC 검증 모델들을 생성하는 단계; 및 상기 OPC 검증 모델들을 기반으로 OPC 검증을 수행하는 단계;를 포함하고, 상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표에 따른 수차, 위상, 인텐서티, 극성, 및 아포다이제이션 데이터 중 적어도 하나를 포함하는 EUV 노광 장비의 측정 데이터를 이용하여 3개 이상의 홀수로 분할할 수 있다.
본 발명의 기술적 사상에 따른 슬릿 효과를 반영한 OPC 방법은 슬릿의 영역별로 TCC를 분할하고, 그러한 분할된 TCC를 반영하여 OPC 모델을 생성하여 OPC를 수행함으로써, 슬릿 효과에 따른 슬릿 에지에 대응하는 부분의 패턴 에러를 보정할 수 있는 EUV 마스크를 제조할 수 있도록 한다.
또한, 본 발명의 기술적 사상에 따른 EUV 마스크 제조방법은 상기 OPC 방법을 이용함으로써, 슬릿 효과를 제거하여 요구되는 정확한 패턴을 구비한 EUV 마스크를 제조할 수 있다. 더 나아가 그러한 EUV 마스크를 이용하여 노광 공정을 수행함으로써, 본 발명의 기술적 사상에 따른 반도체 소자 제조방법은, 슬릿의 에지에 해당하는 부분의 반도체 칩들의 불량을 효과적으로 방지할 수 있고, 따라서, 반도체 공정의 수율을 획기적으로 개선할 수 있다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 보여주는 흐름도이다.
도 2a 및 도 2b는 EUV 노광 공정에서, 슬릿 효과가 발생하는 이유를 보여주기 위한 EUV 마스크에 대한 단면도 및 슬릿으로의 광 입사에 대한 개념도이다.
도 3a 내지 도 3b는 도 1의 OPC 방법에서 슬릿의 영역별로 TCC를 분할하는 단계(S110)를 설명하기 위한 개념도들이다.
도 4는 도 3b에 대응하는 슬릿의 거리별 파면(wavefront) 차의 최대값, 최소값 및 범위를 보여주는 그래프이다.
도 5는 본 발명의 일 실시예에 따른 OPC 방법에서, 슬릿의 영역별 TCC 분할의 다양한 방법을 보여주는 개념도들이다.
도 6은 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 모델 CD와 실제 웨이퍼의 CD를 비교하여 보여주는 그래프이다.
도 7은 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 모델 CD를 실제 웨이퍼의 CD 및 모델 CD와 비교하여 보여주는 그래프이다.
도 8은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 좀더 구체적으로 보여주는 개념도이다.
도 9는 EUV 노광 공정에서의 풀-샷(full-shot) 이미지를 보여주는 개념도이다.
도 10a 및 10b는 메모리 칩 및 로직 칩의 웨이퍼 내의 풀-샷의 구성과 칩들을 보여주는 개념도들이다.
도 11a 및 도 11b는 본 발명의 일 실시예에 따른 EUV 마스크 제조방법의 과정을 보여주는 흐름도이다.
도 12는 본 발명의 일 실시예에 따른 반도체 소자 제조방법의 과정을 보여주는 흐름도이다.
이하에서는 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 하기 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 하기 실시예에 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하고, 통상의 기술자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
이하의 설명에서 어떤 구성 요소가 다른 구성 요소에 연결된다고 기술될 때, 이는 다른 구성 요소와 바로 연결될 수도 있지만, 그 사이에 제3의 구성 요소가 개재될 수도 있다. 유사하게, 어떤 구성 요소가 다른 구성 요소의 상부에 존재한다고 기술될 때, 이는 다른 구성 요소의 바로 위에 존재할 수도 있고, 그 사이에 제3의 구성 요소가 개재될 수도 있다. 또한, 도면에서 각 구성 요소의 구조나 크기는 설명의 편의 및 명확성을 위하여 과장되었고, 설명과 관계없는 부분은 생략되었다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. 한편, 사용되는 용어들은 단지 본 발명을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 보여주는 흐름도이다.
도 1을 참조하면, 먼저, 슬릿의 영역별로 TCC(Transmission Cross Coefficient)를 분할한다(S110). 여기서, 슬릿은 예컨대, EUV(Extreme Ultraviolet) 노광 공정을 수행하는 장치에서 광을 제한하여 광이 EUV 마스크로 균일하게 조사되도록 하는 유닛일 수 있다. 여기서, TCC는 홉킨스(Hopkins) 등식에 이용되는 TCC로서, OPC(Optical Proximity Correction) 방법에 이용되는 커널들(kernels)을 계산할 수 있는 전송함수이며, 광원과 퓨필(pupil)에 대한 정보를 포함할 수 있다.
한편, 본 실시예의 OPC 방법은 EUV 노광 공정에 이용되는 마스크 상의 패턴 레이아웃을 보정하는 방법일 수 있다. 참고로, OPC 방법을 간단히 설명하면, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고, 이를 극복하기 위해서 패턴을 전사하는 마스크 상의 패턴 레이아웃(layout)을 보정하여 OPE 발생을 억제하는 방법을 말한다. 이러한 OPC 방법은 크게 두 가지로 나누어지는데, 하나는 룰 베이스(rule-based) OPC이고, 다른 하나는 시뮬레이션 베이스 또는 모델 베이스(model-based) OPC이다.
룰 베이스 OPC는, 먼저 테스트용 마스크 패턴을 제작하고, 이 테스트용 마스크 패턴을 웨이퍼에 전사하여 테스트용 웨이퍼를 제작한다. 그 후, 웨이퍼 상의 형성된 패턴에 대한 측정 데이터와 테스트용 마스크의 설계 데이터에 기초하여 설계 룰, 즉 마스크 패턴의 설계 데이터에 적용하는 바이어스 데이터를 결정하기 위한 설계 룰을 결정한다. 이러한 설계 룰이 결정되면 설계 룰에 기초하여 마스크 패턴을 보정한다. 보정은 마스크 패턴의 레이아웃 캐드(CAD) 단계에서 행해진다. 룰 베이스 OPC는 설계상 허용되는 모든 패턴에 대하여 테스트 패턴을 측정하고, 또한 프로세스가 변할 때마다 작업을 반복해야 하므로, 시간과 비용이 많이 소비될 수 있다.
모델 베이스 OPC는 미리 준비된 적은 수의 테스트 패턴, 즉 대표 패턴의 측정 결과에 기초하여, 광 근접 효과를 고려한 전사의 프로세스를 표현하는 커널들을 생성하고, 그러한 커널들을 포함한 프로세스 모델을 통해 마스크 패턴의 형상과 웨이퍼에 전사된 패턴의 형상을 차이를 시뮬레이션하여 구하고, 이 시뮬레이션 결과에 따라 마스크 패턴을 보정하게 된다. 이러한 모델 베이스 OPC는 대량의 테스트 패턴을 측정할 필요가 없으므로 시간 및 비용 면에서 유리할 수 있다.
한편, EUV 노광 공정은 22㎚ 미만의 파장, 예컨대, 13.5㎚의 단파장을 이용하기 때문에 회절 현상이 크지 않고, 그에 따라 기존에 193㎚을 이용한 DUV 노광 공정에서의 OPC와 비교하여 회절 현상에 대한 OPC의 비중이 크지 않을 수 있다. 반면에, EUV 노광 공정에서는 미러 등의 결함에 의해 발생하는 플레어(flare) 현상이나 마스크 패턴의 두께에 의해 발생할 수 있는 섀도우잉(shadowing) 현상이 커질 수 있다. 그에 따라, 플레어 현상이나 섀도우잉 현상을 반영한 OPC 방법이 개발중이다.
참고로, 플레어 현상은 거울의 표면 거칠기에 따른 산란에 의해 발생하며, 산란이 파장의 제곱에 반비례하기 때문에 파장이 짧은 EUV에서 더욱 두드러질 수 있다. 또한, 섀도우잉 현상은 도 2에 도시된 같이 광이 법선으로부터 6°의 각으로 마스크로 입사되고, 마스크의 패턴이 두께를 가짐에 따라, 이미지가 이동되는 현상에서 기인하여 발생할 수 있다.
한편, EUV 노광 공정에서, 광이 법선으로부터 6°로 입사되는 것과 관련하여 다음과 같은 문제가 발생할 수 있다. 예컨대, DUV 노광 공정에서는 슬릿의 구조가 직사각형 구조를 가졌지만, EUV 노광 공정에서의 슬릿은 소정 곡률을 갖는 원호의 구조(도 2B S, 또는 도 3A 참조)를 가질 수 있다. 법선으로부터 6°로 입사되는 광은 원호 구조의 곡선형 슬릿을 통과하면서 그 방위각이 슬릿의 위치에 따라 달라지게 된다. 그에 따라, 슬릿을 통과한 광은 슬릿의 위치에 따라 광의 인텐서티와 위상들이 달라지게 되어 수차가 발생할 수 있다. 이러한 슬릿의 위치에 따른 수차의 발생은 TCC의 에러를 유발하고, 그에 따라 OPC 방법 또는 그에 따른 OPC 모델의 에러를 유발할 수 있다.
기존에, DUV 노광 공정에서 이용되는 슬릿은 직사각형의 직선형 구조를 가지므로, 슬릿을 통과한 광의 방위각의 변화가 없고, 슬릿의 위치에 따른 수차가 거의 발생하지 않을 수 있다. 따라서, 슬릿의 중심 영역의 TCC만을 계산하고 전 영역에 동일한 TCC를 반영하여 OPC를 수행하여도 문제가 발생하지 않을 수 있다. 그러나, EUV 노광 공정의 경우, 원호 구조의 곡선형의 슬릿을 사용하기 때문에, 슬릿의 각 위치에 따른 TCC가 달라지게 되어, 기존 DUV 노광 공정에서와 같이 슬릿의 중심 영역만의 TCC 계산을 통해 OPC 방법을 수행하는 경우, 정확한 OPC 모델을 생성할 수 없다. 부정확한 OPC 모델은 EUV 마스크의 패턴 불량, 및 그에 따른 다수의 불량 칩들을 발생시킴으로써, 전체 반도체 공정의 수율을 낮추는 원인이 될 수 있다.
이와 같이 EUV 노광 공정에서, 곡선형의 슬릿 형태에 불구하고 중심 부분만의 TCC를 이용하여 OPC를 진행하는 경우에 슬릿의 에지에 해당하는 부분의 EUV 마스크의 패턴들 및 그에 대응하는 칩들에서 에러가 발생할 수 있다. 이러한 슬릿의 구조에 따른 에러를 슬릿 에러 또는 스캐너 에러라고 한다.
그에 따라, 본 실시예의 OPC 방법에서는 TCC를 슬릿의 중심 부분에서만 계산하는 것이 아니라 슬릿의 영역별로 TCC 분할하여 계산한다.
참고로, TCC를 구하는 방법을 간단히 설명하면, 이미지 인텐서티 I(x)는 다음과 같은 식(1)로 쓰여질 수 있다.
Figure pat00001
........식(1)
여기서, t는 마스크 전달 함수(transmission function)이고, W는 다음의 식(2)와 같이 정의될 수 있다.
Figure pat00002
.......................식(2)
여기서, J는 상호 세기(mutual intensity) 함수(광원 세기 S의 푸리에 변환)이고, K는 코히어런트 전달 함수(퓨필 P의 푸리에 변환)이다.
한편, 이미지 인텐서티 I(x)는 TCC를 가지고 다음의 식(3)과 같이 표현될 수 있다.
Figure pat00003
......식(3)
여기서,
Figure pat00004
는 t의 푸리에 변환이고, TCC는 다음의 식(4)와 같이 정의될 수 있다.
Figure pat00005
.................식(4)
여기서, S는 조명 시스템에 관련된 함수이고, P는 이미징 렌즈에 관련된 함수이며, TCC는 다음의 식(5)로 근사될 수 있다.
Figure pat00006
...........................식(5)
이후, TCC를 유일값(eigen value) 문제를 푸는 식으로 분해(decomposing)함으로써, 커널 함수들(αk', φk)을 계산할 수 있다.
한편, 마스크 패턴의 프로파일은 프로파일 함수의 컨퉈(contour)에 의해 결정되고, 프로파일 함수는 이미지 인텐서티 I와 가우시안 함수 G의 컨볼루션 적분에 의해 표현될 수 있다. 결국, TCC의 커널들을 계산하여 이미지 인텐서티를 구함으로써, 마스크 패턴 프로파일에 대한 OPC 모델을 생성할 수 있다. 이하에서, 슬릿의 영역별로 TCC를 분할한다는 의미는 슬릿을 영역별로 분할하여 각각의 영역에 대한 TCC를 구한다는 의미와 동일할 수 있다.
슬릿의 영역별로 TCC 분할 후, 분할된 TCC를 반영하여 OPC 모델을 생성한다(S120). 구체적으로, 슬릿의 각 영역별 TCC를 슬릿의 각각의 영역에 반영하여, 슬릿의 영역별 OPC 모델들을 생성한다. 전술한 바와 같이, 슬릿의 위치에 따라 수차 등이 존재하므로, 슬릿의 각 영역별 TCC가 달라지고, 그에 따라 슬릿의 각 영역의 OPC 모델들도 달라질 수 있다.
OPC 모델 생성 후, OPC를 수정한다(S130). 즉, 각각의 TCC를 반영한 OPC 모델을 생성한 후, 그러한 OPC 모델을 기반으로 시뮬레이션을 통해 마스크의 패턴을 획득하고, 획득한 마스크 패턴이 타겟 마스크 패턴과 일치하는지 비교하여 차이가 있는 경우에 타겟 마스크 패턴에 맞도록 OPC를 수정한다. 간단히 예를 들면, 타겟 마스크 패턴이 정사각형이고, OPC 모델을 정사각형으로 생성했는데, 시뮬레이션을 통해 획득한 마스크 패턴은 원형으로 나올 수 있다. 그러한 경우에, 정사각형의 OPC 모델의 각 모서리에 형상을 추가하는 수정을 할 수 있다. 참고로, OPC 수정은 단순히 모델 형태를 수정한다는 개념이라기보다는 OPC 레시피, 모델 조정(calibration), 수평 및 수직 바이어스 등 전반적인 파라메터들을 반영하여 요구되는 모델 형태가 나오도록 프로그램을 수정한다는 개념일 수 있다.
OPC를 수정한 후, OPC 검증 모델을 생성한다(S140). OPC 검증 모델은 결국, OPC를 수정하여 만들어진 결과물일 수 있다.
OPC 검증 모델 생성 후, OPC 검증을 수행한다(S150). OPC 검증은 OPC 검증 모델을 기반으로 시뮬레이션을 수행하고, 시뮬레이션을 통해 얻은 마스크 패턴이 타겟 마스크 패턴과 일치하는지 검사하는 과정을 의미할 수 있다. 일반적으로 OPC 검증은 앞서 OPC 수정이 적절히 수행되었는지 여부를 패턴의 시뮬레이션 등고선(simulation contour)을 통해 검증하는 것을 의미한다. 예컨대, OPC 검증 모델을 통한 시뮬레이션 등고선이 에러 수용 범위(error tolerance) 내인 경우에 OPC 방법을 종료하고, MTO(Mask Tape-Out) 단계로 이동할 수 있다. 한편, OPC 검증을 통한 시뮬레이션 등고선이 에러 수용 범위를 벗어난 경우, 모델 조정, OPC 레시피, 바이어스 등의 파라메터 수정을 통해 OPC를 다시 수정하고, OPC 검증 모델을 생성하여 다시 OPC 검증을 수행하는 식으로 진행할 수 있다.
참고로, MOT는 OPC 방법이 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 의미할 수 있다. 따라서, OPC 방법이 완료된 마스크 디자인 데이터를 MTO 디자인 데이터라고 부를 수 있다.
본 실시예에 따른 OPC 방법은 슬릿의 영역별로 TCC를 분할하고, 그러한 분할된 TCC를 반영하여 OPC 모델을 생성하여 OPC를 진행함으로써, 슬릿 효과에 따른 슬릿 에지에 대응하는 부분의 패턴 에러를 보정할 수 있는 EUV 마스크를 제조할 수 있다. 또한, 그러한 EUV 마스크를 통해 노광 공정을 진행함에 따라, 슬릿 에지에 대응하는 부분의 칩들의 불량을 방지할 수 있고, EUV 노광 공정에서의 수율을 획기적으로 개선할 수 있다.
도 2a 및 도 2b의 EUV 노광 공정에서, 슬릿 효과가 발생하는 이유를 보여주기 위한 EUV 마스크에 대한 단면도 및 슬릿으로의 광 입사에 대한 개념도이다.
도 2a를 참조하면, EUV 마스크(100)는 반사층(110), 캡핑층(120), 흡수층(130) 및 반사방지코팅(Anti-Reflective Coating: ARC)층(140)을 포함할 수 있다.
반사층(110)은 입사된 빛을 반사하는 기능을 할 수 있다. 즉, 도시된 바와 같이 반사층(110) 상에는 흡수층(130)이 형성되고, 흡수층(130) 사이에 반사층(110)이 노출되며, 노출된 반사층(110)으로 입사된 광은 반사층(110)에 의해 반사될 수 있다. 이러한 반사층(110)은 예컨대, Mo/Si층이 30 내지 60 층으로 반복 적층된 다중층으로 구조로 형성될 수 있다. 한편, 반사층(110) 하부에는 기판이 배치될 수 있다. 상기 기판은 예컨대 유리 또는 쿼츠 기판일 수 있다.
캡핑층(120)은 반사층(110) 보호를 위해 반사층(110) 상면 상에 형성될 수 있다. 캡핑층(120)은 예컨대, 루테늄옥사이드(RuO)로 형성될 수 있다. 물론, 캡핑층(120)의 재질이 RuO에 한정되는 것은 아니다. 경우에 따라, 캡핑층(120)은 생략될 수도 있다.
흡수층(130)은 빛에 불투명한 무기물이나 금속으로 형성될 수 있으나, 주로 탄탈륨(Ta) 계열의 화합물로 이루어질 수 있다. 예컨대, 흡수층(130)은 TaN, TaBN, TaBON 등으로 형성될 수 있다. 물론, 흡수층(130)이 Al, Cr, W 등과 같은 다른 금속으로 형성되는 것을 전적으로 배제하는 것은 아니다.
ARC층(140)은 흡수층(130) 상에 형성될 수 있다. ARC층(140)은 입사된 광의 반사를 방지하는 기능을 할 수 있다. 이러한 ARC층(140)은 예컨대, 실리콘나이트라이드(SiN), 실리콘옥사이드(SiO), 실리콘옥시나이트라이드(SiON), 몰리브덴실리콘나이트라이드(MoSiN), 몰리브덴실리콘옥사이드(MoSiO), 몰리브덴실리콘옥시나이트라이드(MoSiON), 티타늄나이트라이드(TiN) 등으로 형성될 수 있다. 또한, ARC층(140)은 비정질 카본(amorphous carbon)막, 유기 반사방지코팅(organic ARC), 무기 반사방지코팅(inorganic ARC) 등으로 형성될 수 있다.
전술한 바와 같이, EUV 노광 공정에서 광은, 화살표와 같이 법선(Ln)에 대하여 6°의 각을 가지고 입사될 수 있다. 광이 법선(Ln)에 6°로 입사되므로, 패턴의 두께, 즉, 반사층(130)과 ARC층(140)의 두께에 의해 이미지의 이동 또는 변위(S)가 생겨 섀도우잉 현상이 발생할 수 있음은 전술한 바와 같다. 한편, 이하, 도 2b에서 설명하는 바와 같이 광의 법선(Ln)에 대한 6°각의 입사는 슬릿 효과를 유발할 수 있다.
도 2b를 참조하면, 도시된 바와 같이 EUV 노광 공정에서는 슬릿(S)이 직사각형이 아니라 원호 형태의 곡선형 구조를 가질 수 있다. 슬릿(S)이 곡선형 구조를 갖는 이유는, EUV 노광 공정이 미러를 통한 반사형 광학계를 통해 수행됨에 따라, 곡선형의 슬릿(S) 구조가 마스크 면에서 조도 분포를 균일하게 유지할 수 있는 최적의 구조이기 때문이다. 한편, 설명의 편의를 위해 마스크(100)는 반사층(110)과 흡수층(130)으로 단순화하여 도시하고 있다.
슬릿(S)이 곡선형 구조를 가짐에 따라, 슬릿(S)을 통과한 광의 방위각(φ)은 슬릿의 위치에 따라 달라지게 된다. 예컨대, 슬릿의 중심에서 방위각이 90°이고, 양쪽 끝 부분에서 방위각은 90°±23°가 될 수 있다. 따라서, 슬릿의 위치에 따라 방위각이 67°내지 113°범위의 값을 가지게 된다. 이러한, 슬릿의 위치에 따른 방위각의 차이는 슬릿을 통과하는 광의 인텐서티, 위상, 극성(polarization), 수차(Aberration), 아포다이제이션(apodization) 등의 차이를 유발할 수 있다. 이러한 파라메터들은 EUV 노광 설비에서 광원에 대한 스페시픽 파라메터 데이터로서 측정될 수 있다. 한편, 광원과 퓨필에 대한 정보가 TCC에 포함되므로, 상기 파라메터들의 차이는 TCC의 차이를 유발할 수 있다.
참고로, 도 2b에서 상부 부분은 마스크에 대한 사시도를 보여주고, 하부 부분은 마스크와 슬릿에 대한 평면도를 보여주고 있다.
도 3a 내지 도 3b는 도 1의 OPC 방법에서 슬릿의 영역별로 TCC를 분할하는 단계(S110)를 설명하기 위한 개념도들이다.
도 3a를 참조하면, 도시된 바와 같이 EUV 노광 장비에서 슬릿(S)은 원호 형태의 곡선형 구조를 가질 수 있다. 참고로, 실제의 슬릿(S)의 곡률은 비교적 크나 이해의 편의를 위해 도 3a에서는 과도하게 작은 곡률을 갖는 형태로 도시하고 있다. 전술한 바와 같이, 슬릿(S)이 곡선형 구조를 가짐에 따라, 광원에 대한 파라메터 데이터들은 슬릿의 위치에 따라 달라질 수 있다.
예컨대, 슬릿(S) 위치에 따른 수차(aberration)가 발생할 수 있다. 이러한 수차, 즉 파면 수차(wavefront aberration)는 이상적인 파면과 광학계를 통과한 파면 사이의 광경로 차에 의해 발생한 것으로서, 상점을 중심으로 하는 기준 구면과 광학계를 통과한 후의 파면의 차일 수 있다. 수차량은 파장을 단위로 하여 평가되고, 그 최대치가 1/4파장 이상이 아니면 상(phase)은 이상적인 경우와 큰 차이가 없는 것으로 간주될 수 있다. 이것을 레일리의 허용 한계라 한다.
도 3a에서 양쪽 끝에서 수차가 다르게 발생함은 확인할 수 있다. 다시 말해서, 슬릿의 중심 부분의 파면을 기준 구면이라 할 때, 왼쪽 첫 번째 영역(S1)의 파면과 오른쪽 마지막 영역(Sn)의 파면이 다름을 확인할 수 있다. 이는, 슬릿의 양쪽 영역의 파면이 중심 영역의 기준 파면과 다를 뿐 아니라, 대칭적인 양쪽 영역의 파면도 서로 다르게 나타날 수 있음을 보여준다. 여기서, 파면의 특성, 즉 수차는 광의 인텐서티와 위상이 함께 포함된 개념일 수 있다. 따라서, 슬릿의 중심 영역을 기준으로 TCC를 계산하고, 그러한 중심 영역의 TCC를 슬릿(S)의 전 영역에 적용하여 OPC를 수행함은 불합리할 수 있다.
이하, 슬릿(S)을 길쭉한 직사각형 구조로 도시하고 있으나, 이는 도면의 단순화를 위한 것이고, 도 2b나 도 3a의 슬릿(S)과 같이 슬릿(S)은 곡선형 구조를 가짐은 물론이다.
도 3b를 참조하면, 도 3b는 슬릿(S)을 13개 영역(1 ~ 13)으로 나누고, 각각에 대한 파면 또는 수차를 표시하고 있다. 참고로, 1번째 영역(1)이 슬릿의 왼쪽 에지 부분에 해당하고 13번째 영역(13)이 슬릿의 오른쪽 에지 부분에 해당할 수 있다. 도 3b의 수차는 이상적인 파면을 기준으로 한 수차일 수 있다. 따라서, 모든 영역에서 수차가 발생할 수 있다. 그러나 슬릿(S)의 각 영역에서 수차가 발생한다는 사실보다는 어느 한 위치, 예컨대 중심 영역의 파면을 기준으로 다른 영역의 파면 차이에 의한 수차가 발생한다는 사실이 중요할 수 있다.
도 3c를 참조하면, 도 3c는 슬릿(S)의 중앙 영역, 즉 7번째 영역(7)을 기준으로 파면의 차이를 계산하여 구한 수차를 보여주고 있다. 7번째 영역(7)을 기준으로 하므로, 7번째 영역(7) 자체의 수차는 나타나지 않을 수 있다. 한편, 7번째 영역(7)을 기준으로 좌우 영역의 수차들은 각각 다르게 나타남을 확인할 수 있다. 특히, 7번째 영역(7)을 기준으로 서로 대칭인 6번째 영역(6)과 8번째 영역(8), 5번째 영역(5)과 9번째 영역(9) 등의 수차도 서로 다르게 나타남을 확인할 수 있다.
한편, 어떤 영역이 중심 영역과 비교하여 수차가 크게 발생하는 경우에, TCC의 차이가 크게 나타날 수 있음을 예상할 수 있다. 따라서, 그러한 영역은 중심 영역과 별도로 TCC를 계산하는 것이 정확한 OPC를 수행하는데 기여할 수 있다. 반면에, 중심 영역과 비교하여 수차가 크지 않은 경우에는 TCC의 차이도 작게 나타날 것이고, 따라서, 그 영역은 별도로 TCC를 계산하지 않고 중심 영역을 TCC를 이용할 수 있다.
참고로, 도 3b 및 3c에서, 편의상 각 영역의 수차를 단순히 하얀색과 검은색의 다른 형태로 표시하고 있지만, 수차가 위상과 인텐서티를 같이 포함하는 개념임을 고려할 때, 실제 수차는 다양한 색깔로 복잡한 형태로 표현될 수 있다.
도 4는 도 3b에 대응하는 슬릿의 거리별 파면(wavefront)의 차의 최대값, 최소값 및 범위를 보여주는 그래프로서, x축은 슬릿의 위치를 나타내고 단위는 ㎜이고, y축은 파면의 차를 나타내고 단위는 ㎚이다.
도 4를 참조하면, 수차는 전술한 바와 같이 파면의 차이를 파장의 단위로 표현할 수 있다. 또한, 위상에 의해 최대값(+)과 최소값(-)으로 나타날 수 있고, 최대값(+)과 최소값(-)의 차이가 해당 영역의 수차의 범위가 될 수 있다. 도시된 바와 같이 13개 영역 모두에서, 최대값, 최소값 및 범위가 다르게 나타나고 있음을 확인할 수 있고, 이는 도 3b에서 13개 영역 모두에서 수차가 모두 다르게 나타남과 대응함을 알 수 있다.
한편, 일반적으로 EUV 노광에서, 슬릿은 중심을 기준으로 좌우 방향으로 ±12.75㎜를 가질 있다. 그에 따라 중심을 0으로 잡고 2.12㎜씩의 간격을 가지고 영역을 나눔으로써, 도 3b 또는 도 3c에서와 같이 슬릿을 13개 영역으로 나눌 수 있다. 따라서, 도 4의 그래프의 각 위치는 도 3b 또는 도 3c의 각 영역에 대응함을 알 수 있다.
물론, 슬릿의 영역 분할의 개수가 13개에 한정되는 것은 아니다. 슬릿의 영역 분할과 관련해서, 이하, 도 5부분에서 좀더 구체적으로 설명한다.
도 5는 본 발명의 일 실시예에 따른 OPC 방법에서, 슬릿의 영역별 TCC 분할의 다양한 방법을 보여주는 개념도들이다.
도 5를 참조하면, 슬릿의 영역별 TCC 분할은 다양한 방법으로 이루어질 수 있다. 예컨대, (a)에서는 슬릿(S)의 영역을 13개 영역(S1 ~ S13)으로 나누고 각각의 영역에서 TCC를 생성한다. (a)의 TCC 분할 방법은 도 3a 내지 도 4에서의 슬릿(S)의 영역 분할과 일치할 수 있다. 한편, 슬릿(S) 각 영역들 내에 점들은 각 영역들에서 계산되는 TCC의 개수를 의미할 수 있다. 결국, TCC 분할은 슬릿의 각 영역별 분할에 대응함을 알 수 있다. 즉, 슬릿(S)의 분할된 영역 하나당 하나의 TCC가 생성될 수 있다.
계속해서, (b) 및 (c)에서는 슬릿(S)의 영역이 5개 영역(S1 ~ S5)으로 나뉘고, (d) 내지 (f)에서는 슬릿(S)의 영역이 3개 영역(S1 ~ S3)으로 나누어짐을 알 수 있다. 또한, 그러한 슬릿(S)의 분할된 영역들에 대응하여 TCC가 5개와 3개로 분할되어 계산됨을 알 수 있다.
TCC 분할은 슬릿(S)의 각 위치, 즉 슬릿(S)의 중앙을 기준으로 거리별 좌표에 따라 다양한 개수로 이루어질 수 있다. 이러한 슬릿(S)의 거리별 좌표에 따른 분할은 노광 장비의 측정 데이터를 이용하여 결정될 수 있다. 노광 장비의 측정 데이터는 광원에 대한 데이터로서, 슬릿의 각 위치에서의 광의 인텐서티, 위상, 극성, 수차, 아포다이제이션 등에 대한 데이터일 수 있다. 예컨대, 수차 데이터에 기초한 분할에 대해서는 도 3a 내지 도 4에서 설명하였다. 즉, 슬릿(S)의 중앙 영역을 기준으로 수차가 크게 발생한 영역들을 분할 영역으로 결정할 수 있다. 도 3a 내지 도 4에서는 13개로 분할하고 있지만, 이는 단지 하나의 예시이고, 수차가 크게 발생한 영역들을 기준으로 나누어 분할함으로써, 13개 미만의 영역으로 분할할 수 있다. 또한, 경우에 따라, 13개를 초과하여 영역을 분할할 수도 있다. 다만, 중앙 영역을 기준으로 하므로 홀수 개로 영역이 분할될 수 있다. 그러나 짝수 개로 영역을 분할하는 것을 전적으로 배제하는 것은 아니다.
결국, TCC 분할, 즉 슬릿의 영역 분할은 상기 전술한 각 데이터들 각각 또는 전체를 고려하여 적절한 개수로 수행될 수 있다.
한편, 영역들의 분할 개수 결정과 함께 각 영역들의 폭이 다양하게 결정될 수 있다. 예컨대, (a)에서와 같이 각 영역들이 제1 폭(W1)의 동일한 폭을 가지고 분할될 수 있다. 그러나 (b) 내지 (f)에서와 같이 각 영역들이 다른 폭을 가지고 분할될 수도 있다. 예컨대, (b)에서는 5개의 영역(S1 ~ S5)으로 나누어지되, 좌우 끝의 제1 영역(S1) 및 제5 영역(S5)의 폭이 제1 폭(W1)으로 가장 작고, 중앙의 제3 영역(S3)이 제3 폭(W3)로 가장 크며, 제3 영역(S3) 양쪽의 제2 영역(S2)과 제4 영역(S2)이 제2 폭(W2)으로 중간 크기를 폭을 가짐을 알 수 있다. 또한, (c)에서는 (b)와 같이 5개의 영역(S1 내지 S5)으로 나누되, 좌우 끝의 제1 영역(S1) 및 제5 영역(S1)은 제1 폭(W1')을 가지며, 나머지 제2 내지 제4 영역(S2, S3, S4)은 동일한 제2 폭(W2)을 가지도록 분할할 수 있다.
한편, (d) 내지 (f)는 슬릿(S)을 3개의 영역(S1 ~ S3)으로 나누되 역시 그 폭들을 달리하여 나눌 수 있음을 보여준다. 예컨대, 중앙의 제2 영역(S2)의 제4 폭(W4, W4', W4")을 점차 작게 할당함으로써, 좌우 제1 영역(S1)과 제3 영역(S3)의 제1 폭(W1, W1', W1")을 점차 크게 할당하고 있음을 확인할 수 있다.
이러한, 슬릿의 분할 영역별 폭의 변화는, 중앙 영역을 기준으로 좌우 슬릿의 거리별로 노광 장비의 측정 데이터들이 얼마나 크게 변화하느냐에 따라 결정될 수 있다. 예컨대, (d)와 같은 분할은, 중앙을 기준으로 광원의 측정 데이터들, 예컨대 수차의 변화가 거의 없고 좌우 끝 부분에서만 약간 존재하는 경우에 해당할 수 있다. 광원의 측정 데이터들의 차이가 거의 없는 경우에는, 중앙의 하나의 TCC만을 계산하고, 차이가 존재하지 않는 영역 전체에 적용해도 크게 문제가 되지 않을 수 있다. 이러한 원리는 중앙 영역뿐만이 아니라 다른 영역에도 동일하게 적용될 수 있고, 따라서 다른 영역들의 폭도 다양하게 변화할 수 있다.
덧붙여, 슬릿의 분할이 중앙 영역을 기준으로 수행되므로 기본적으로 홀수 개로 나누어지고, 또한, 중앙 영역을 기준으로 대칭이 되도록 각 영역들의 폭이 결정될 수 있다. 그러나 본 실시예에 따른 슬릿의 분할이 홀수 개의 분할과 대칭적 사이즈의 폭의 분할에 한정되는 것은 아니다. 예컨대, 경우에 따라, 짝수 개로 분할될 수 있고, 또한 비대칭적으로 분할될 수도 있다.
결국, 본 실시예의 노광 방법에서 TCC 분할은 노광 장비의 측정 데이터를 기반으로 다양한 개수로, 그리고 다양한 폭을 가지고 수행될 수 있다. 또한, 측정 데이터를 기반으로 다양한 개수와 다양한 폭으로 분할된 TCC를 반영하여 최적의 OPC를 수행함으로써, 최적의 OPC 모델과 그에 따른 마스크 디자인 데이터를 획득할 수 있다. 이러한 마스크 디자인 데이터를 기반으로 EUV 마스크를 제조함으로써, 요구되는 정확한 패턴을 구비한 EUV 마스크를 제조할 수 있다. 또한, 그러한 EUV 마스크를 이용하여 노광 공정을 수행함으로써, 슬릿의 에지에 해당하는 부분의 에러를 효과적으로 방지할 수 있고, 따라서, 반도체 공정의 수율을 획기적으로 개선할 수 있다.
도 6은 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 모델 CD와 실제 웨이퍼의 CD를 비교하여 보여주는 그래프로서, x축은 슬릿의 각 영역을 나타내고 단위는 없고, y축은 CD(Critical Dimension)를 나타내고 단위는 ㎚이다. 여기서, 웨이퍼(wafer) CD는 실제 웨이퍼 상의 CD이고, 모델(Model) CD는 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 패턴의 CD를 의미한다.
도 6을 참조하면, 웨이퍼 상의 CD와 모델 CD가 거의 유사하게 나타남을 확인할 수 있다. 슬릿의 중앙의 TCC만을 계산하고 전체 영역에 대해 적용하여 OPC를 수행한 경우, 모델 CD가 전체 영역에 대해 비슷하게 나올 수 있다. 그러나 도시된 바와 같이 실제 웨이퍼 상의 CD는 에지 부분, 특히 오른쪽 에지 부분에서 낮게 나타날 수 있다. 따라서, 현재의 OPC에 따른 모델은 실제 웨이퍼 상의 패턴을 대변할 수 없다. 그에 반해, 본 발명의 일 실시예에 따른 모델 CD는 웨이퍼 상의 CD와 비슷하게 에지 부분에서 CD가 낮아지는 것을 확인할 수 있고, 그에 따라, 본 실시예의 따른 OPC 모델, 즉 슬릿의 영역별로 TCC를 분할하고 그러한 분할된 TCC를 반영한 OPC 모델은 웨이퍼 상의 패턴을 정확하게 대변할 수 있음을 알 수 있다.
참고로, 여기서 CD는 클리어(clear) 부분의 패턴 CD를 의미할 수 있다. 클리어 부분은 마스크에서 빛이 반사되는 부분으로, 도 2a의 흡수층(130) 사이로 노출되는 반사층(110) 부분에 해당할 수 있다. 슬릿의 에지 부분으로 갈수록 방위각이 커지므로 반사층(110)으로 입사되는 광이 특성, 예컨대 인텐서티 등이 약해질 것으로 예상될 수 있다. 따라서, 그러한 광이 슬릿을 통해 EUV 마스크로 조사되고 반사될 때, 실제 웨이퍼 상의 슬릿의 에지에 대응하는 부분의 CD가 작아질 것으로 예상할 수 있다. 본 발명의 일 실시예에 따른 OPC 방법은 그러한 특성을 정확히 반영하여 OPC를 수행할 수 있다. 한편, 만약, 다크(dark) 부분의 패턴 CD를 측정하는 경우는 반대로 나타날 수 있다. 예컨대, 다크 영역의 CD는 슬릿의 에지에 해당하는 부분에서 CD가 더 커질 수 있다.
도 7은 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 모델 CD을 실제 웨이퍼의 CD 및 현 모델 CD와 비교하여 보여주는 그래프로서, 역시 x축은 슬릿의 각 영역을 나타내고 단위는 없고, y축은 CD(Critical Dimension)를 나타내고 단위는 ㎚이다. 여기서, wafer CD는 실제 웨이퍼 상의 CD이고, 현(current) 모델은 현재의 OPC 방법을 통해 획득한 패턴 CD이며, 새로운(new) 모델은 본 발명의 일 실시예에 따른 OPC 방법을 통해 획득한 패턴 CD를 의미한다.
도 7을 참조하면, 도시된 바와 같이, 현 모델의 패턴 CD는 슬릿의 모든 영역에서 거의 비슷한 값을 보임을 알 수 있다. 그러나 실제 웨이퍼 상의 패턴은 슬릿의 에지 영역에 해당하는 부분에서 CD가 낮아진다. 따라서, 현 모델은 웨이퍼 상의 패턴을 정확하게 대변할 수 없다. 이에 반해, 본 실시예에 따른 새로운 모델의 패턴 CD는 웨이퍼 상의 CD와 거의 유사하게 변화하고, 그에 따라, 본 실시예에 따른 OPC 방법에 의한 모델이 웨이퍼 상의 패턴을 정확하게 대변할 수 있음을 다시 한번 확인할 수 있다.
도 8은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 좀더 구체적으로 보여주는 개념도이다. 이해의 편의를 위해 도 1의 흐름도를 함께 참조하여 설명한다.
도 8을 참조하면, 먼저, 슬릿의 영역별로 TCC를 분할한다. 도시된 바와 같이 슬릿의 각 영역(slit left 1, ... slit left n, center, slit right 1, ... silt right n)에서 TCC가 각각 생성될 수 있다. 이러한 TCC 분할 단계는 도 1의 TCC 분할 단계(S110)에 대응할 수 있다.
다음, 각 TCC를 반영하여 각각의 OPC 모델을 생성한다. 따라서, OPC 모델은 분할된 TCC 개수만큼 생성될 수 있다. 한편, 생성된 복수 개의 OPC 모델들은 하나의 OPC 모델(Total OPC Model)로 그룹핑될 수 있다. 여기서, OPC 모델의 그룹핑은 수학적인 계산이나 소프트웨어적인 계산을 통해 합친다는 개념이 아니라, 단순히 OPC 모델들의 데이터를 하나로 모아 관리한다는 의미일 수 있다. 이러한 OPC 모델들의 그룹핑은 데이터 관리와 데이터 이동 등의 편의를 위해 수행될 수 있다. 따라서, 경우에 따라 OPC 모델들의 그룹핑은 생략될 수도 있다. 이러한 OPC 모델들의 생성과 OPC 모델들의 그룹핑은 도 1의 OPC 모델 생성 단계(S120)에 대응할 수 있다.
OPC 모델들의 그룹핑 후, 하나의 OPC 모델을 다시 OPC 모델들로 분할하여 각각의 OPC 모델들을 기반으로 각각의 OPC를 수정한다. 전술한 바와 같이 OPC 수정은 OPC 모델과 시뮬레이션으로 획득한 마스크의 패턴을 비교하여 차이가 있는 경우에, OPC의 다양한 파라메터를 수정하여 적절할 OPC 모델이 생성되도록 수정하는 과정일 수 있다. 이러한 각각의 OPC 수정은 도 1의 OPC 수정 단계(S130)에 대응할 수 있다.
OPC 수정을 완료하여, OPC 검증 모델을 생성한다. OPC 검증 모델 역시 각각의 OPC에 대응하여 복수 개 생성될 수 있다. 또한, OPC 모델들의 그룹핑과 같이 OPC 검증 모델들도 하나의 OPC 검증 모델(Total OPC Verification Model)로 그룹핑한다. OPC 검증 모델들의 그룹핑 역시 데이터 관리와 데이터 이동 등의 편의를 위해 수행될 수 있다. 이러한 OPC 검증 모델들의 생성과 OPC 검증 모델들의 그룹핑은 도 1의 OPC 검증 모델 생성 단계(S140)에 대응할 수 있다.
OPC 검증 모델들의 그룹핑 후, 하나의 OPC 검증 모델을 각각의 OPC 검증 모델들로 분할하고, 각각의 OPC 검증 모델들을 기반으로 각각의 OPC 검증을 수행한다. OPC 검증은, OPC 검증 모델을 기반으로 시뮬레이션을 수행하고, 시뮬레이션을 통해 얻은 마스크 패턴이 타겟 마스크 패턴과 일치하는지 검사하는 과정을 의미함은 전술한 바와 같다. 이러한 각각의 OPC 검증은 도 1의 OPC 검증 단계(S150)에 대응할 수 있다.
OPC 검증 후, OPC 방법을 종료한다. OPC 방법 종료 후, MOT 단계로 이동할 수 있다. 물론, OPC 검증에서 OPC 검증 모델이 허용치를 벗어난 경우, OPC 방법을 종료하지 않고 OPC 수정 과정으로 돌아가 다시 OPC 수정을 하고 OPC 검증을 수행하는 과정을 되풀이할 수 있다.
도 9는 EUV 노광 공정에서의 풀-샷(full-shot) 이미지를 보여주는 개념도이다.
도 9를 참조하면, EUV 노광 공정에서, 풀-샷(full-shot)은 한 번의 스캐닝을 통해 전사시킬 수 있는 마스크 패턴 전체에 대응할 수 있다. 한편, 일반적으로 EUV 노광 공정은 축사 투영, 예컨대 4:1의 축사투영으로 진행할 수 있다. 그에 따라, 마스크 패턴은 1/4의 사이즈로 축소되어 웨이퍼에 전사될 수 있다. 마스크 패턴이 축소되어 전사되므로, 풀-샷은 결국 마스크 전체 패턴의 1/4의 사이즈에 해당할 수 있다. 여기서, 1/4은 길이의 축소비율이고, 면적으로는 1/16의 축소에 해당할 수 있다. 한편, 풀-샷은 풀-필드(full-field)라고 부르기도 한다.
참고로, 노광 장치는 촬영(shooting) 방법에 따라 스캐너(scanner)와 스텝퍼(stepper)로 분류할 수 있다. 이는 연속적으로 촬영하는 방식인 스캐닝 방식인지 아니면 스텝 바이 스텝으로 단계별로 촬영하는 스텝 방식인지에 따른 분류이다. 한편, 일반적으로 EUV 노광 공정은 스캐닝 방식으로 진행하며, 그에 따라, EUV 노광 장치를 일반적으로 스캐너라고 부르기도 한다. 또한, EUV 노광 장치에서 스캐닝은 광을 마스크의 일부 영역으로 제한하는 슬릿을 이용하여 진행할 수 있다. 다시 말해서, 스캐닝은 슬릿을 통해 광을 제한하여 마스크의 일부 영역으로 조사시키되, 스캔 방향과 반대 방향으로 마스크를 이동하여 마스크의 다른 영역으로 광을 연속적으로 조사시키는 방식으로 스캔 위치를 연속적으로 변경하면서 진행될 수 있다. 이와 같이 한 번의 스캐닝을 통해 마스크의 전 영역으로 광이 조사된 영역이 풀-샷에 대응하는 영역일 수 있다.
본 실시예에서, 풀-샷은 x축으로 26㎜ 그리고 y축으로 33㎜ 정도의 사이즈를 가질 수 있다. 물론, 풀-샷이 상기 수치에 한정되는 것은 아니다. 한편, 풀-샷은 전술한 바와 같이 슬릿을 통한 스캐닝을 통해 진행하므로, 슬릿의 사이즈에 대응하는 부분은 풀-샷의 일부분에 해당할 수 있다. 예컨대, 점선으로 표시된 부분(S)이 슬릿의 사이즈에 대응하는 영역일 수 있다. 물론, 스캐닝은 연속적으로 진행되므로 풀-샷의 특정 부분이 슬릿의 사이즈에 정확하게 대응할 수는 없다. 따라서, 도 9에서 점선 부분은 풀-샷과 슬릿의 사이즈에 대응하는 부분의 사이즈 비교를 위해 도시한 것에 불과하다. 또한, 실제 슬릿의 사이즈에 대응하는 부분은 도 9에서 점선으로 도시된 부분(S)보다 더 클 수도 있고 작을 수도 있다. 덧붙여, EUV 노광 공정이 축사 투영으로 진행되므로, 슬릿의 실제 사이즈는 도 9의 점선으로 표시된 부분(S)의 4배에 해당할 수 있다.
한편, 도 9에서 슬릿의 에지에 해당하는, 풀-샷의 에지 부분들이 검은 해칭으로 표시되고 있다. 전술한 바와 같이 현재의 OPC 방법, 즉 중심의 TCC만을 계산하여 OPC를 수행하는 방법의 경우, 슬릿-효과에 의해 슬릿의 에지에 해당하는 부분에서 CD 불량이 발생할 수 있다. 예컨대, 풀-샷의 양쪽 에지 부분의 약 1㎜에 해당하는 부분에서 CD 불량이 발생할 수 있다. 이러한, 에러 부분의 면적은 y축 길이를 고려할 때, 66㎜2 에 해당하며, 전체 면적 856㎜2 의 거의 7.8%에 해당할 수 있다. 이러한, 불량 영역은 웨이퍼가 메모리 칩에 대한 웨이퍼인가 아니면 로직 칩에 대한 웨이퍼인가에 따라 서로 다른 비율을 가질 수 있으며, 수율 저하의 심각한 원인이 될 수 있다. 한편, 도 9에서 불량 영역을 과도하게 크게 도시하고 있는데, 이는 이해의 편을 위한 것으로 실제로는 표시된 부분보다 작을 수 있다.
도 10a 및 10b는 메모리 칩 및 로직 칩의 웨이퍼 내의 풀-샷의 구성과 칩들을 보여주는 개념도들로서, 도 10a는 메모리 칩의 웨이퍼이고, 도 10b는 로직 칩의 웨이퍼이다.
도 10a를 참조하면, 메모리 칩의 웨이퍼(Wm)의 경우, 하나의 풀-샷에 다수의 메모리 칩들이 포함될 수 있다. 예컨대, 하나의 풀-샷 내에는 25개의 메모리 칩이 포함될 수 있다. 한편, 하나의 메모리 칩의 웨이퍼(Wm) 전체를 패터닝하기 위하여 87개의 해당하는 샷 또는 스캐닝이 수행될 수 있다. 도 10a에서, 샷은 큰 네모로 표시하고 있고 메모리 칩은 작은 네모로 표시하고 있다.
87개의 샷 중의 풀-샷은 57개일 수 있고, 이러한 풀-샷에는 웨이퍼(Wm) 내에 큰 네모로만 표시한 샷들이 해당할 수 있다. 한편, 웨이퍼(Wm) 외곽 부분의 샷들은 풀-샷을 구성하지 못한다. 다시 말해서, 웨이퍼(Wm) 외곽 부분에서 마스크 패턴 전체가 웨이퍼 상의 칩들로 전사될 수 없고 일부분만이 전사될 수 있다. 한편, 웨이퍼(Wm) 외곽 부분에서 풀-샷을 이루지 못할 뿐이지, 부분 샷을 통해서 메모리 칩에 요구되는 패턴이 전사될 수 있다. 따라서, 웨이퍼(Wm) 외곽 부분의 메모리 칩들도 유효 칩으로서 제품에 활용될 수 있다.
도 9에서 전술한 바와 같이, 현재의 OPC를 적용하는 경우에, 슬릿-효과에 의해, 풀-샷의 양쪽 에지 부분에 에러가 발생할 수 있다. 웨이퍼(Wm) 중심에 굵은 실선(E1)으로 도시된 바와 같이, 풀-샷이 25개의 메모리 칩에 해당하는 경우에, 풀-샷당 무려 10개의 칩의 불량이 발생할 수 있다. 또한, 전체 57개의 풀-샷을 고려하게 되면 570개 칩의 불량이 발생할 수 있다. 또한, 웨이퍼(Wm) 외곽 부분의 부분 샷까지 고려하면 불량 칩의 개수는 더 늘어날 수 있다.
그러나 본 실시예에서와 같은 OPC 방법, 즉 슬릿의 영역별로 TCC를 분할하고, 그러한 TCC를 반영하여 OPC 방법을 수행하여 EUV 마스크를 제조하고 그러한 마스크를 이용하여 EUV 노광 공정을 수행함으로써, 슬릿-효과를 효과적으로 방지할 수 있다. 그에 따라, 슬릿의 에지에 대응하는 부분에 해당하는 칩들의 불량을 방지하여 반도체 공정 수율을 현저하게 향상시킬 수 있다.
도 10b를 참조하면, 로직 칩의 웨이퍼(Wl)의 경우, 하나의 풀-샷에 하나의 로직 칩이 대응할 수 있다. 한편, 로직 칩의 웨이퍼(Wl)의 경우도 57개의 풀-샷이 포함될 수 있다. 다만, 로직 칩의 웨이퍼(Wl)의 외곽 부분은 메모리 칩과 달리, 완전한 하나의 로직 칩을 구성할 수 없으므로, 로직 칩의 웨이퍼(Wl)의 외곽 부분에 샷이 수행되지는 않는다.
한편, 메모리 칩의 웨이퍼(Wm)와 마찬가지로, 로직 칩의 웨이퍼(Wl)에 현재의 OPC를 적용한 마스크를 이용하는 경우에, 슬릿-효과에 의해, 풀-샷의 양쪽 에지 부분에 에러가 발생할 수 있다. 로직 칩의 경우 사이즈가 풀-샷의 사이즈와 같으므로, 풀-샷의 에지에 불량이 발생하는 경우에 해당 로직 칩 전체가 불량이 되게 된다. 따라서, 웨이퍼(Wl) 중심에 굵은 실선(E2)으로 도시된 바와 같이, 풀-샷의 에지에 불량이 발생한 로직 칩들 모두가 불량이 될 수 있다. 결국, 현재의 OPC를 적용한 EUV 마스크를 이용하는 경우에, 슬릿-효과로 인해 로직 칩의 웨이퍼(Wl)에 포함되는 57개의 로직 칩 모두에서 불량이 발생할 수 있다.
그러나 본 실시예에서와 같은 OPC 방법, 즉 슬릿의 영역별로 TCC를 분할하고, 그러한 TCC를 반영하여 OPC 방법을 수행하여 EUV 마스크를 제조하고 그러한 마스크를 이용하여 EUV 노광 공정을 수행함으로써, 슬릿-효과를 효과적으로 방지할 수 있다. 그에 따라, 슬릿의 에지에 대응하는 부분의 불량이 방지되어 로직 칩들 전체의 불량 문제를 해결할 수 있고, 반도체 공정 수율이 비약적으로 향상할 수 있다.
지금까지, EUV 노광 공정과 관련한 OPC 방법에 대해 설명하였다. 즉, EUV 노광 공정에 이용되는 곡선형의 슬릿의 영역별 TCC 분할에 대해 설명하였다. 그러나 본 실시예의 OPC 방법이 직선형의 슬릿의 영역별 TCC 분할을 전적으로 배제하는 것은 아니다. 예컨대, DUV에 사용되는 마스크를 제조할 때, 본 실시예의 슬릿의 영역별 TCC 분할에 의한 OPC 방법이 적용될 수 있다. 직선형 슬릿의 경우에도, 슬릿의 영역별 TCC 분할을 반영함으로써, 보다 충실한 OPC 방법을 수행할 수 있음은 물론이다.
도 11a 및 도 11b는 본 발명의 일 실시예에 따른 EUV 마스크 제조방법의 과정을 보여주는 흐름도이다. 설명의 편의를 위해 도 1에서 이미 설명한 내용은 간단히 설명하거나 생략한다.
도 11a를 참조하면, 먼저 OPC를 수행한다(S110). 여기서, OPC는 도 1에서 전술한 슬릿의 영역별로 TCC를 분할하고, 그러한 TCC을 반영하여 OPC 모델 생성하며, OPC 모델을 기반으로 OPC 수정하며, OPC 검증 모델 생성하여 OPC 검증 등의 일련의 과정들을 포함하여 수행할 수 있다.
OPC 수행 후, MTO 디자인 데이터를 입력받는다(S200). 일반적으로, MTO는 OPC 단계가 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 의미할 수 있다. 따라서, MTO 디자인 데이터는 결국, OPC 단계가 완료된 마스크 디자인 데이터라고 볼 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예컨대, MTO 디자인 데이터는 GDS2(Graphic Data System Ⅱ), OASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
MTO 디자인 데이터를 입력받은 후, 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행한다(S300). 마스크 데이터 준비는 예컨대, 분할(fracturing)로 불리는 포맷 변환, 기계식 판독을 위한 바코드, 검사용 표준 마스크 패턴, 잡-덱(job deck) 등의 추가(augmentation), 그리고 자동 및 수동 방식의 검증을 포함할 수 있다. 여기서 잡-덱은 다중 마스크 파일들의 배치정보, 기준 도우즈(dose), 노광 속도나 방식 등의 일련의 지령에 관한 텍스트 파일을 만드는 것을 의미할 수 있다.
한편, 포맷 변환, 즉 분할(fracturing)은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 의미할 수 있다. 분할에는 예컨대, 크기 조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다. 분할을 통한 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정 중의 어디에선가 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 상기 계통 오차들에 대한 데이터 보정 공정을 마스크 프로세스 보정(Mask Process Correction: MPC)이라고 부르며, 예컨대 CD 조절이라고 부르는 선폭 조절 및 패턴 배치 정밀도를 높이는 작업 등이 포함될 수 있다. 따라서, 분할은 최종 마스크의 품질 향상에 기여할 수 있고 또한 마스크 프로세스 보정을 위해 선행적으로 수행되는 공정일 수 있다. 여기서, 계통 오차들은 노광 공정, 마스크 현상(development) 및 에칭(etching) 공정, 그리고 웨이퍼 이미징 공정 등에서 발생하는 왜곡에 의해서 유발될 수 있다.
한편, 마스크 데이터 준비는 전술한 MPC를 포함할 수 있다. MPC는 노광 공정 중에 발생하는 에러, 즉 계통 오차를 보정하는 공정을 말한다. 여기서, 노광 공정은 MPC 공정은 전자빔 쓰기(Writing), 현상, 에칭, 베이크(bake) 등을 전반적으로 포함하는 개념일 수 있다. 덧붙여, 노광 공정 전에 데이터 프로세싱이 수행될 수 있다. 데이터 프로세싱은 일종의 마스크 데이터에 대한 전처리 과정으로서, 마스크 데이터에 대한 문법 체크, 노광 시간 예측 등을 포함할 수 있다.
마스크 데이터 준비 후, 마스크 데이터를 기반으로 하여 마스크용 기판을 노광한다(S400). 여기서, 노광은 예컨대, 전자빔 쓰기를 의미할 수 있다. 여기서, 전자빔 쓰기는 예컨대, 멀티-빔 마스크 노광기(Multi-Beam Mask Writer: MBMW)를 이용한 그레이 노광(Gray Writing) 방식으로 진행할 수 있다. 또한, 전자빔 쓰기는 가변 형상 빔(Variable Shape Beam: VSB) 노광기를 이용하여 수행할 수도 있다.
한편, 마스크 데이터 준비 단계 이후, 노광 공정 전에 마스크 데이터를 픽셀 데이터로 변환하는 과정이 수행될 수 있다. 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
노광 공정 후, 일련의 공정들을 진행하여 마스크를 형성한다(S500). 일련의 공정들은 예컨대, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다. 또한, 마스크 형성을 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 또한, 펠리클(pellicle) 도포 공정이 포함될 수도 있다. 여기서 펠리클 도포 공정은 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면, 마스크 표면을 마스크의 배송 및 마스크의 가용수명 기간 동안 후속적인 오염으로부터 마스크를 보호하기 위해서 펠리클을 부착하는 공정을 의미할 수 있다.
본 실시예의 EUV 마스크 제조방법은 도 1에서 설명한 슬릿의 영역별로 TCC 분할을 반영한 OPC를 수행함으로써, 슬릿 현상에 기인한 슬릿 에지에 대응하는 영역에서의 에러를 방지할 수 있는 EUV 마스크를 제조할 수 있다. 또한, 이러한 EUV 마스크를 이용하여 노광 공정을 수행함에 따라, 슬릿 에지에 대응하는 영역의 불량을 방지함으로써, 해당 부분의 칩들의 불량을 방지하여 반도체 공정 수율을 획기적으로 개선할 수 있다.
도 11b를 참조하면, 본 실시예의 EUV 마스크 제조방법은 도 11a와 유사하나 마스크 데이터 준비 단계(S300) 이후에, 마스크 데이터에 대한 근접 효과 보정(Proximity Effect Correction: PEC)을 수행하는 단계(S350)를 더 포함할 수 있다. 이러한 PEC는 전자빔 근접효과, 즉 전자빔의 산란에 의한 에러를 보정하는 공정을 말한다.
구체적으로, 전자빔 노광 공정에서, 전자빔을 생성하기 위해 사용되는 높은 가속전압이 전자들에 높은 운동에너지를 부가함에 따라, 레지스트와 그 아래에 위치한 소재의 원자들과 함께 산란되는 현상이 발생하는데, 이러한 현상을 일반적으로 전자빔 근접효과라고 한다. 이러한 전자빔 근접효과는 두 가우시안 함수로 모델링하거나 또는 경험적으로 결정된 근접함수로 모델링될 수 있고, 그러한 함수들을 기반으로 하여 전자빔 근접 효과에 대한 보정이 가능하다.
전자빔 근접 효과에 의해 발생하는 에러를 보정하기 위하여 가장 일반적으로 사용하는 근접 효과 보정은, 실제 노광시의 도우즈를 변화시켜 산란에 의해 변화된 도우즈를 보상하는 방법이다. 예컨대, 높은 패턴밀도를 갖는 영역은 상대적으로 낮은 도우즈가 할당되고, 상대적으로 고립되고 작은 형상들에는 비교적 높은 도우즈가 할당될 수 있다. 여기서, 도우즈는 전자빔의 조사량을 의미할 수 있다. 한편, 근접 효과 보정은 패턴 형상의 모서리를 수정하거나 패턴 형상의 사이즈를 변경하는 방법을 포함할 수 있다.
PEC 수행 후에 마스크용 기판 노광 단계(S400) 및 EUV 마스크 형성 단계(S500)를 수행하여 EUV 마스크를 제조한다.
도 12는 본 발명의 일 실시예에 따른 반도체 소자 제조방법의 과정을 보여주는 흐름도이다. 설명의 편의를 위해 도 11b에서 이미 설명한 내용을 간단히 설명하거나 생략한다.
도 12를 참조하면, 도 11b에서와 같이 일련의 단계들을 거쳐 EUV 마스크 형성 단계(S500)를 수행하여 EUV 마스크를 제조한다. EUV 마스크가 제조되면 제조된 EUV 마스크를 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행하여 반도체 소자를 형성한다(S600). 참고로, EUV 마스크를 이용하는 공정은 대표적으로 EUV 노광 공정을 통한 패터닝 공정을 의미할 수 있다. 이러한 EUV 마스크를 이용한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다.
한편, 반도체 공정은 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 여기서, 증착 공정은 CVD, 스퍼터링, 스핀 코팅 등 다양한 물질층 형성 공정을 포함할 수 있다. 이온 공정은 이온 주입, 확산, 열처리 등의 공정을 포함할 수 있다. 한편, 반도체 공정은 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 또한 반도체 공정에 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정이 포함될 수도 있다.
한편, 본 실시예의 반도체 소자 제조방법은 도 11b의 PEC 단계를 포함한 EU 마스크 제조방법을 이용하고 있으나, 도 11a의 마스크 제조방법을 이용할 수 있음은 물론이다.
본 실시예의 반도체 소자 제조방법은, 도 1에서 설명한 슬릿의 영역별로 TCC 분할을 반영한 OPC 방법을 이용한 EUV 마스크 제조 방법을 포함할 수 있다. 그에 따라, 슬릿 현상에 기인한 슬릿 에지에 대응하는 영역에서의 에러를 방지할 수 있는 EUV 마스크를 제조할 수 있고, 그러한 EUV 마스크를 이용하여 노광 공정을 수행하여 반도체 소자를 제조함으로써, 슬릿 에지에 대응하는 영역의 칩들의 불량을 방지할 수 있다. 따라서, 본 실시예의 반도체 소자 제조방법은 반도체 공정 수율을 현저하게 증가시킬 수 있다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
100: EUV 마스크, 110: 반사층, 120: 캡핑층, 130: 흡수층, 140: ARC층

Claims (20)

  1. EUV(Extreme Ultraviolet) 노광 공정에 이용되는 슬릿의 영역별로 TCC(Transmission Cross Coefficient)를 분할하는 단계;
    분할된 상기 TCC를 반영한 OPC(Optical Proximity Correction) 모델을 생성하는 단계; 및
    OPC를 수정하는 단계;를 포함하는 슬릿 효과를 반영한 OPC 방법.
  2. 제1 항에 있어서,
    상기 TCC를 분할하는 단계는,
    EUV 노광 장비의 측정 데이터를 이용하여 분할하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  3. 제1 항에 있어서,
    상기 TCC를 분할하는 단계는,
    상기 슬릿의 거리별 좌표에 따른 수차(Aberration), 위상(phase), 인텐서티, 극성(polarization), 및 아포다이제이션(apodization) 데이터 중 적어도 하나를 이용하여 분할하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  4. 제1 항에 있어서,
    상기 TCC를 분할하는 단계에서, 3개 이상의 홀수로 상기 TCC를 분할하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  5. 제4 항에 있어서,
    상기 슬릿의 영역별 사이즈는 모두 동일하거나 또는 적어도 2개가 서로 다른 사이즈를 갖는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  6. 제4 항에 있어서,
    상기 슬릿의 영역별 사이즈는 중심 영역을 기준으로 서로 대칭을 이루는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  7. 제1 항에 있어서,
    상기 슬릿은 원호 형상을 가지며,
    상기 TCC를 분할하는 단계는, 상기 슬릿의 거리별 좌표들에 대응하는 수차 데이터들을 이용하여 분할하며,
    분할된 각각의 상기 TCC에는 상기 슬릿의 해당 영역의 수차 데이터가 반영된 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  8. 제1 항에 있어서,
    상기 OPC 모델을 생성하는 단계에서,
    분할된 각각의 상기 TCC에 대한 OPC 모델들을 생성하고, 상기 OPC 모델들을 하나의 전체 OPC 모델로 그룹핑하며,
    상기 OPC를 수정하는 단계에서,
    상기 전체 OPC 모델을 상기 OPC 모델들 각각으로 분할하고, 상기 OPC 모델들 각각에 대하여 OPC를 수정하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  9. 제1 항에 있어서,
    상기 OPC를 수정하는 단계 이후에,
    OPC 검증(verification) 모델을 생성하는 단계; 및
    상기 OPC 검증 모델을 기반으로 OPC 검증을 수행하는 단계;를 포함하고,
    상기 OPC 검증을 수행하는 단계에서 이상이 없는 경우 상기 OPC 방법을 종료하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  10. 제9 항에 있어서,
    상기 OPC 모델을 생성하는 단계에서, 분할된 각각의 상기 TCC에 대한 OPC 모델들을 생성하고,
    상기 OPC를 수정하는 단계에서, 상기 OPC 모델들 각각에 대하여 OPC를 수정하며,
    상기 OPC 검증 모델을 생성하는 단계에서, 상기 OPC 모델들 각각에 대하여 상기 OPC 검증 모델들을 생성하며,
    상기 OPC 검증을 수행하는 단계에서, 상기 OPC 검증 모델들 각각에 대하여 상기 OPC 검증을 수행하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  11. 제 10항에 있어서,
    상기 OPC 검증을 수행하는 단계 전에, 상기 OPC 검증 모델들을 하나의 전체 OPC 검증 모델로 그룹핑하고,
    상기 OPC 검증을 수행하는 단계에서, 상기 전체 OPC 검증 모델을 상기 OPC 검증 모델들 각각으로 분할하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  12. EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하는 단계;
    분할된 각각의 상기 TCC를 반영한 OPC 모델들을 생성하는 단계;
    상기 OPC 모델들 각각에 대하여 OPC를 수정하는 단계;
    상기 OPC 모델들 각각에 대한 OPC 검증 모델들을 생성하는 단계; 및
    상기 OPC 검증 모델들을 기반으로 OPC 검증을 수행하는 단계;를 포함하는 슬릿 효과를 반영한 OPC 방법.
  13. 제12 항에 있어서,
    상기 TCC를 분할하는 단계는,
    상기 슬릿을 영역별로 분할하는 단계; 및
    상기 슬릿의 영역별로 TCC들을 계산하는 단계;를 포함하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  14. 제12 항에 있어서,
    상기 TCC를 분할하는 단계는,
    상기 슬릿의 거리별 좌표에 따른 수차, 위상, 인텐서티, 극성, 및 아포다이제이션 데이터 중 적어도 하나를 이용하여 분할하는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  15. 제12 항에 있어서,
    상기 TCC를 분할하는 단계에서, 3개 이상의 홀수로 상기 TCC를 분할하고,
    상기 슬릿의 영역별 사이즈는 모두 동일하거나 또는 적어도 2개가 서로 다른 사이즈를 가지며,
    상기 슬릿의 영역별 사이즈는 중심 영역을 기준으로 서로 대칭을 이루는 것을 특징으로 하는 슬릿 효과를 반영한 OPC 방법.
  16. EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하여 OPC를 수행하는 단계;
    상기 OPC를 통해 획득한 MTO(Mask Tape-Out) 디자인 데이터를 입력받는 단계;
    상기 MTO 디자인 데이터에 대하여, 데이터 포맷 변환, MPC(Mask Process Correction), 및 잡-덱을 포함한 마스크 데이터를 준비하는 단계; 및
    상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광(writing)을 수행하는 단계;를 포함하는 EUV 마스크 제조방법.
  17. 제16 항에 있어서,
    상기 OPC를 수행하는 단계는,
    상기 슬릿의 영역별로 상기 TCC를 분할하는 단계;
    분할된 각각의 상기 TCC를 반영한 OPC 모델들을 생성하는 단계;
    상기 OPC 모델들 각각에 대한 OPC 검증 모델들을 생성하는 단계; 및
    상기 OPC 검증 모델들을 기반으로 OPC 검증을 수행하는 단계;를 포함하는 것을 특징으로 하는 EUV 마스크 제조방법.
  18. 제17 항에 있어서,
    상기 TCC를 분할하는 단계는,
    상기 슬릿의 거리별 좌표에 따른 수차, 위상, 인텐서티, 극성, 및 아포다이제이션 데이터 중 적어도 하나를 포함하는 EUV 노광 장비의 측정 데이터를 이용하여 분할하는 것을 특징으로 하는 EUV 마스크 제조방법.
  19. 제16 항에 있어서,
    상기 노광은 전자빔(E-beam)를 이용하여 수행하고,
    상기 마스크 데이터를 준비하는 단계 이후에,
    상기 마스크 데이터에 대하여, 전자빔 근접 효과에 의한 에러를 보정하는 PEC(Proximity Effect Correction)를 수행하는 단계;를 포함하는 것을 특징으로 하는 EUV 마스크 제조방법.
  20. EUV 노광 공정에 이용되는 슬릿의 영역별로 TCC를 분할하여 OPC를 수행하는 단계;
    상기 OPC를 통해 획득한 MTO 디자인 데이터를 입력받는 단계;
    상기 MTO 디자인 데이터에 대하여, 데이터 포맷 변환, MPC(Mask Process Correction), 및 잡-덱을 포함한 마스크 데이터를 준비하는 단계;
    상기 마스크 데이터에 대하여, 전자빔 근접 효과에 의한 에러를 보정하는 PEC를 수행하는 단계;
    상기 마스크 데이터에 기초하여 마스크용 기판 상에 노광, 현상 및 식각 공정을 수행하여 EUV 마스크를 형성하는 단계; 및
    상기 EUV 마스크를 이용한 리소그라피 공정을 통해 반도체 소자를 형성하는 단계;를 포함하는 반도체 소자 제조방법.
KR1020140122038A 2014-09-15 2014-09-15 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법 KR102193687B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020140122038A KR102193687B1 (ko) 2014-09-15 2014-09-15 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
US14/740,510 US9715170B2 (en) 2014-09-15 2015-06-16 Optical proximity correction method and method of manufacturing extreme ultraviolet mask by using the optical proximity correction method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140122038A KR102193687B1 (ko) 2014-09-15 2014-09-15 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법

Publications (2)

Publication Number Publication Date
KR20160031831A true KR20160031831A (ko) 2016-03-23
KR102193687B1 KR102193687B1 (ko) 2020-12-21

Family

ID=55454647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140122038A KR102193687B1 (ko) 2014-09-15 2014-09-15 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법

Country Status (2)

Country Link
US (1) US9715170B2 (ko)
KR (1) KR102193687B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200049855A (ko) * 2017-10-11 2020-05-08 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法
US11415876B2 (en) 2019-12-17 2022-08-16 Samsung Electronics Co., Ltd. Method of fabricating a photomask

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102491578B1 (ko) 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US10902576B2 (en) * 2016-08-12 2021-01-26 Texas Instruments Incorporated System and method for electronic die inking after automatic visual defect inspection
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US11373018B2 (en) 2018-01-25 2022-06-28 Kioxia Corporation Method of displaying model and designing pattern, and storage medium
CN110361927B (zh) * 2018-04-11 2023-02-10 中芯国际集成电路制造(上海)有限公司 光刻模型生成方法以及opc修正方法
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
KR20210030078A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 광 근접 보정을 수행하는 방법 및 이를 이용한 리소그래피 마스크 제조 방법
CN113050362B (zh) * 2019-12-27 2024-04-26 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法及掩膜版

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100027129A (ko) * 2007-06-04 2010-03-10 브라이언 테크놀로지스, 인코포레이티드 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP2010113352A (ja) * 2008-11-10 2010-05-20 Brion Technologies Inc 透過クロス係数によるスキャナモデル表現
KR20120100297A (ko) * 2011-03-03 2012-09-12 삼성전자주식회사 플레어 보정방법 및 euv 마스크 제조방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000082655A (ja) 1998-09-04 2000-03-21 Canon Inc スリット機構、露光装置およびデバイス製造方法
JP2000323395A (ja) 1999-05-13 2000-11-24 Sony Corp 露光装置及び結像面平坦化方法
JP2001143995A (ja) 1999-11-12 2001-05-25 Sony Corp 露光装置
KR20020094504A (ko) 2001-06-12 2002-12-18 삼성전자 주식회사 웨이퍼 에지 노광 장치
KR101017203B1 (ko) 2008-09-01 2011-02-25 주식회사 동부하이텍 반도체 노광공정에서의 웨이퍼 변형 감지 장치 및 방법
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
US8539393B2 (en) 2011-09-23 2013-09-17 Mentor Graphics Corporation Simulation and correction of mask shadowing effect
KR20130092843A (ko) 2012-02-13 2013-08-21 삼성전자주식회사 빛의 인텐시티를 컨트롤할 수 있는 컨트롤 모듈 미러를 갖는 반사형 포토리소그래피 설비
JP5684168B2 (ja) 2012-02-15 2015-03-11 株式会社東芝 フレア計測方法、反射型マスクおよび露光装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100027129A (ko) * 2007-06-04 2010-03-10 브라이언 테크놀로지스, 인코포레이티드 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP2010113352A (ja) * 2008-11-10 2010-05-20 Brion Technologies Inc 透過クロス係数によるスキャナモデル表現
KR20120100297A (ko) * 2011-03-03 2012-09-12 삼성전자주식회사 플레어 보정방법 및 euv 마스크 제조방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200049855A (ko) * 2017-10-11 2020-05-08 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US11480882B2 (en) 2017-10-11 2022-10-25 Asml Netherlands B.V. Flows of optimization for patterning processes
US11886124B2 (en) 2017-10-11 2024-01-30 Asml Netherlands B.V. Flows of optimization for patterning processes
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法
US11415876B2 (en) 2019-12-17 2022-08-16 Samsung Electronics Co., Ltd. Method of fabricating a photomask

Also Published As

Publication number Publication date
US9715170B2 (en) 2017-07-25
US20160077426A1 (en) 2016-03-17
KR102193687B1 (ko) 2020-12-21

Similar Documents

Publication Publication Date Title
KR102193687B1 (ko) 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
US11257207B2 (en) Inspection of reticles using machine learning
US10036961B2 (en) Optical proximity correction (OPC) method and method of fabricating mask using the OPC method
US9454072B2 (en) Method and system for providing a target design displaying high sensitivity to scanner focus change
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
US7327436B2 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
US7695877B2 (en) Methods and devices for lithography using electromagnetic radiation with short wavelengths
US11989873B2 (en) Stochastic contour prediction system, method of providing the stochastic contour prediction system, and method of providing EUV mask using the stochastic contour prediction system
KR20200022741A (ko) 반도체 소자의 제조 방법, 극 자외선 노광 방법 및 광 근접 보정 방법
EP1962138B1 (en) Systems and methods for UV lithography
JP6858732B2 (ja) Opc方法、及びそのopc方法を利用したマスク製造方法
CN107463065A (zh) 用于修复掩模的方法
US20230071777A1 (en) Optical proximity correction method, mask manufacturing method and semiconductor chip manufacturing method using the same
CN110879507A (zh) 用于执行光学邻近校正的方法和使用其制造掩模的方法
CN117289543A (zh) 基于深度学习的光刻模型生成方法以及掩模制造方法
TW201820048A (zh) 差分目標設計及用於製程度量衡的方法
US20220155674A1 (en) Optical proximity correction method and mask manufacturing method of lithography system
KR20230131715A (ko) 딥러닝 기반 opc 패턴의 코너 라운딩 방법, 및 그 코너 라운딩 방법을 포함한 opc 방법과 마스크 제조방법
CN116203789A (zh) 全芯片单元临界尺寸校正方法及使用其制造掩模的方法
CN115542656A (zh) 光学邻近校正方法及使用该方法制造极紫外掩模的方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant