KR20220127004A - 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법 - Google Patents

확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법 Download PDF

Info

Publication number
KR20220127004A
KR20220127004A KR1020210031466A KR20210031466A KR20220127004A KR 20220127004 A KR20220127004 A KR 20220127004A KR 1020210031466 A KR1020210031466 A KR 1020210031466A KR 20210031466 A KR20210031466 A KR 20210031466A KR 20220127004 A KR20220127004 A KR 20220127004A
Authority
KR
South Korea
Prior art keywords
image
contour
design layout
map
opc
Prior art date
Application number
KR1020210031466A
Other languages
English (en)
Inventor
조우용
허건
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210031466A priority Critical patent/KR20220127004A/ko
Priority to US17/495,863 priority patent/US11989873B2/en
Priority to CN202111650279.4A priority patent/CN115079507A/zh
Publication of KR20220127004A publication Critical patent/KR20220127004A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2415Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on parametric or probabilistic models, e.g. based on likelihood ratio or false acceptance rate versus a false rejection rate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • G06N3/0472
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0475Generative networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • G06N7/005
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T5/00Image enhancement or restoration
    • G06T5/40Image enhancement or restoration using histogram techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/12Edge-based segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/40Extraction of image or video features
    • G06V10/46Descriptors for shape, contour or point-related descriptors, e.g. scale invariant feature transform [SIFT] or bags of words [BoW]; Salient regional features
    • G06V10/469Contour-based spatial representations, e.g. vector-coding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/60Type of objects
    • G06V20/69Microscopic objects, e.g. biological cells or cellular parts
    • G06V20/695Preprocessing, e.g. image segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Probability & Statistics with Applications (AREA)
  • Multimedia (AREA)
  • Quality & Reliability (AREA)
  • Mathematical Optimization (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Pure & Applied Mathematics (AREA)
  • Medical Informatics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Databases & Information Systems (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Image Analysis (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

본 발명의 기술적 사상에 따른 예시적인 실시예들에 따르면, 확률론적 예측 시스템 제공 방법이 제공된다. 상기 방법은, 각각 제1 디자인 레이아웃에 대응되는 복수의 SEM(Scanning Electron Microscope) 이미지들에 포함된 패턴들의 콘투어들을 추출하는 단계; 상기 콘투어들에 기초하여 제1 콘투어 히스토그램 이미지를 생성하는 단계; 상기 제1 콘투어 히스토그램 이미지를 출력으로 하고, 상기 제1 디자인 레이아웃 및 각각 상기 제1 디자인 레이아웃에 대응되는 제1 레지스트 이미지, 제1 에어리얼 이미지, 제1 슬로프 맵, 제1 덴서티 맵 및 제1 포톤 맵 중 어느 하나를 입력으로 하여 확률론적(Stochastic) 예측 모델을 훈련시키는 단계를 포함하되, 상기 확률론적 예측 모델은 싸이클(cycle) GAN(generative adversarial network)인 것을 특징으로 한다.

Description

확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법{A stochastic contour prediction system, a method of providing a stochastic contour prediction system and a method of providing an EUV mask using the stochastic contour prediction system}
본 개시의 기술적 사상은 반도체 공정에 관한 것으로서, 극자외선(Extreme Ultra Violet, EUV) 빔을 이용한 패터닝 공정에 의해 형성되는 패턴들의 콘투어를 확률론적으로 예측하는 시스템에 관한 것이다.
최근 정보 통신 장치의 고집적화를 위해 메모리 셀 크기의 축소됨에 따라, 반도체 소자의 동작을 위한 동작 회로들 및 전기적 연결을 배선 구조들이 복잡해지고 있다. 이에 따라, 반도체 소자 제조에 있어서 EUV(Extreme Ultraviolet) 리소그래피 공정의 적용이 증가하고 있다. EUV 리소그래피는 예컨대, 4nm 내지 124nm의 범위, 바람직하게는 13.5nm의 파장의 광을 이용하는 리소그래피 기술로서, 기존의 ArF 엑시머 레이저광을 이용한 리소그래피 기술로는 구현하기 어려운 20nm이하(Sub-20nm)의 초 미세 치수 가공을 가능하게 한다. 그러나, EUV 패터닝 면적 당 광자의 개수는 DUV 패터닝에 비해 1/14로 감소하면서 광자의 무작위적 분포에 의한 패터닝 불량에 취약한 문제점이 있다.
본 개시의 기술적 사상은, 극자외선(Extreme Ultra Violet, EUV) 빔을 이용한 패터닝 공정에 의해 형성되는 콘투어를 확률론적으로 예측하기 위한 시스템의 제공 방법 및 이를 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법을 제공한다.
본 발명의 기술적 사상에 따른 예시적인 실시예들에 따르면, 확률론적 예측 시스템 제공 방법이 제공된다. 상기 방법은, 각각 제1 디자인 레이아웃에 대응되는 복수의 SEM(Scanning Electron Microscope) 이미지들에 포함된 패턴들의 콘투어들을 추출하는 단계; 상기 콘투어들에 기초하여 제1 콘투어 히스토그램 이미지를 생성하는 단계; 상기 제1 콘투어 히스토그램 이미지를 출력으로 하고, 상기 제1 디자인 레이아웃 및 각각 상기 제1 디자인 레이아웃에 대응되는 제1 레지스트 이미지, 제1 에어리얼 이미지, 제1 슬로프 맵, 제1 덴서티 맵 및 제1 포톤 맵 중 어느 하나를 입력으로 하여 확률론적(Stochastic) 예측 모델을 훈련시키는 단계를 포함하되, 상기 확률론적 예측 모델은 싸이클(cycle) GAN(generative adversarial network)인 것을 특징으로 한다.
예시적인 실시예들에 따르면, EUV 포토 마스크 제조 방법이 제공된다. 상기 방법은, 디자인 레이아웃을 제공하는 단계; 상기 디자인 레이아웃에 OPC를 수행하는 단계; 상기 OPC를 검증하는 단계; 및 상기 OPC 검증 결과가 양호한 경우 레티클을 제조하는 단계를 포함하되, 상기 OPC의 결과를 검증하는 단계는, 상기 디자인 레이아웃, 및 각각 상기 디자인 레이아웃에 대응되는 레지스트 이미지, 에어리얼 이미지, 슬로프 맵, 덴서티 맵 및 포톤 맵 중 어느 하나에 기초하여 콘투어 히스토그램 이미지를 생성하고, 및 상기 콘투어 히스토그램 이미지에 포함된 각각의 픽셀들의 값은 상기 각각의 픽셀들에 상기 디자인 레이아웃에 포함된 패턴들의 콘투어가 배치될 확률을 나타내는 것을 특징으로 한다.
예시적인 실시예들에 따르면, 리소그래피 공정에 의한 불량을 확률적으로 예측하도록 구성된 시스템이 제공된다. 상기 시스템은, 제1 디자인 레이아웃, 및 각각 상기 제1 디자인 레이아웃에 대응되는 제1 레지스트 이미지, 제1 에어리얼 이미지, 제1 슬로프 맵, 제1 덴서티 맵 및 제1 포톤 맵 중 어느 하나에 기초하여 제1 콘투어 히스토그램 이미지를 생성하도록 구성된 확률론적 예측 모델을 포함하되, 상기 제1 콘투어 히스토그램 이미지에 포함된 각각의 픽셀들의 값은 상기 각각의 픽셀들에 상기 디자인 레이아웃에 포함된 패턴들의 콘투어가 배치될 확률을 나타내는 것을 특징으로 한다.
본 개시의 예시적 실시예에 따른 시스템 및 방법에 의하면, 콘투어 히스토그램 이미지에 기초하여 훈련된(trained) 확률론적(Stochastic) 예측 모델 및 이를 포함하는 시스템이 제공될 수 있다. 이에 따라 극자외선(Extreme Ultra Violet, EUV) 빔을 이용한 패터닝 공정에서 발생할 수 있는 랜덤 에러에 대한 OPC(Optical Proximity Correction) 검증(Verification)의 신뢰성이 제고될 수 있다.
본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 않은 다른 효과들은 이하의 설명으로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 않은 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.
도 1은 예시적인 실시에에 따른 확률론적(Stochastic) 예측 모델의 학습 방법을 설명하기 위한 순서도이다.
도 2 내지 도 6c는 예시적인 실시예들에 따른 확률론적 예측 모델의 학습 방법을 설명하기 위한 도면들이다.
도 7은 예시적인 실시예들에 따른 레티클 제조 방법을 설명하기 위한 순서도이다.
도 8은 예시적인 실시예들에 따른 OPC 결과의 검증을 설명하기 위한 대략적인 도면이다.
도 9는 본 개시의 예시적 실시예에 따른 장치를 나타내는 블록도이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 예시적인 실시에에 따른 확률론적(Stochastic) 예측 모델의 학습 방법을 설명하기 위한 순서도이다.
도 2 내지 도 6c는 예시적인 실시예들에 따른 확률론적 예측 모델의 학습 방법을 설명하기 위한 도면들이다.
도 1 및 도 2를 참조하면, P10에서 전자 주사 현미경(scanning electron microscope, SEM)을 통해 획득한 이미지들로부터 콘투어를 추출할 수 있다. SEM 이미지는 NGR 사(Nano Geometry Research Inc.)의 NGR 장비 또는 SEM 장비로부터 생성될 수 있다. P10에서, 측정된 레이아웃의 콘투어를 나타내는 바이너리 이미지가 생성될 수 있다.
여기서 SEM 이미지는, 노광 후 검사(After Development Inspection)에 의해 생성된 포토 레지스트 패턴의 이미지이거나, 세정 후 검사(After Clean Inspection)에 의해 생성된 실제 회로 패턴의 이미지일 수 있다. 도 2는 서로 다른 웨이퍼(동일 웨이퍼의 서로 다른 칩)의 동일한 영역에 대해 SEM 이미지로부터 추출된 콘투어 이미지를 병합함으로써, 서로 다른 콘투어들의 중첩을 도시한다.
여기서, 도 3을 참조하여, 리소그래피 공정에 대해서 설명하도록 한다. 도 3은 리소그래피 반도체 공정에 의해서 집적 회로가 제조되는 과정을 나타내는 도면이다. 구체적으로, 도 3의 좌측은 반도체 공정에 의해서 형성되는 구조의 단면도들을 순차적으로 나타내고, 도 3의 우측은 반도체 공정에 의해서 형성되는 구조의 평면도들을 순차적으로 나타낸다. 도 3에 도시된 바와 같이, 집적 회로는 Z축 방향으로 적층되는 복수의 층들에 형성된 패턴들을 포함할 수 있다.
반도체 공정은 집적 회로에 포함된 패턴들을 형성하기 위한 다양한 하위 공정들을 포함할 수 있다. 예를 들면, 반도체 공정은 포토리소그래피(photolithography)를 포함할 수 있다. 포토리소그래피는 광을 사용하여 기하학적인 패턴을 포토마스크(photomask)로부터 포토레지스트(photoresist)에 전사(transferring)함으로써 패턴을 형성하는 공정이다. 포토레지스트는 광이 조사된 부분이 현상액(developer)에 의해서 용해되는 포지티브(positive) 포토레지스트 및 광이 조사되지 않은 부분이 현상액에 의해서 용해되는 네거티브(negative) 포토레지스트를 포함할 수 있다. 도 3은 포지티브 포토레지스트를 사용하여 산화물(oxide) 층에 "L" 형상을 가지는 제1 패턴(P11)을 형성하기 위한 포토리소그래피의 예시를 나타낸다. 본 명세서에서, 제1 패턴(P11)과 같이, 설계자가 원하는 최적의 형상을 가지는 패턴은 이상적인(ideal) 패턴으로 지칭될 수 있다.
도 3의 예시에서는 웨이퍼 상에 형성된 산화물 층의 처리에 대해서 설명하나, 당업계의 통상의 기술자는 여기에 설명된 바에 기초하여 웨이퍼 상에 형성된 메탈층, 유전 물질층, 결정질/비정질의 실리콘 층 등과 같이 다양한 물질의 처리에 용이하게 도달할 수 있을 것이다.
도 3의 좌측을 참조하면, 제1 구조(11)는 순차적으로 적층된 웨이퍼, 산화물 층 및 포토레지스트 층을 포함할 수 있다. 예를 들면, 세척된 웨이퍼 상에 산화물 층이 형성될 수 있고, 산화물 층 상에 포지티브 포토레지스트가 도포(application)될 수 있다. 일부 실시예들에서, 포지티브 포토레지스트는 스핀 코팅(spin coating)에 의해서 산화물 층 상에 도포될 수 있다. 일부 실시예들에서, 포토레지스트가 도포된 후, 과잉(excess) 용해제(solvent)를 제거하기 위하여 웨이퍼는 가열될 수 있으며, 이를 노광 전 베이크(Pre Exposure Bake)라고 한다.
포토레지스트 층을 구성하는 물질은 UV(Ultra Violet)선, DUV(Deep UV) 선, EUV(Extreme UV) 선, 엑시머 레이저 빔, X 선, 전자 중 어느 하나에 민감할 수 있다. EUV 노광 공정의 경우, 노광 시 포톤 수가 DUV 등의 노광 공정에 비해 적기 때문에 EUV 흡수율이 높은 물질의 사용이 요구된다. 이에 따라, EUV 용 포토레지스트 물질은 예컨대, 폴리머인 히드록시 스티렌(Hydroxy styrene)을 포함할 수 있다. 나아가, EUV 포토레지스트에 첨가제로서 요오도 페놀(iodophenol)이 제공될 수 있다.
일부 실시예들에 따르면, 상기 포토레지스트 층의 두께는 약 0.1 μm 내지 약 2μm의 범위에 있을 수 있다(ranges from about 0.1 μm to about 2μm). 일부 실시예들에 따르면, 상기 포토레지스트 층의 두께는 약 200 nm 내지 약 600 nm의 범위에 있을 수 있다. EUV 포토레지스트의 경우, 묽은 농도의 포토레지스트 용액을 스핀 코팅함으로써 얇은 두께로 제공될 수 있다.
경우에 따라, 포토레지스트는 산화 주석과 같은 무기 물질을 포함할 수 있다. 이 경우, 리소그래피 공정 및 후속 공정이 끝나서 상기 포토레지스트가 스트립 공정을 통해 제거된 경우에도, 상기 포토레지스트의 하지층에 상기 무기 물질이 약 1*1011/cm3 이하의 농도로 잔존할 수 있다. 포토레지스트로 무기 물질이 사용되는 경우, 포토레지스트의 두께를 얇게하는 것이 용이하며, 식각 선택성이 높은바, 후술되는 하드 마스크 층을 얇게 구현할 수 있는 장점이 있다.
식각 대상 층의 두께가 큰 경우, 포토레지스트 아래에 비정질 탄소를 포함하는 하드 마스크 층을 더 제공할 수 있다. 일부 실시예들에 따르면, 하드 마스크 층은 불소를 더 포함할 수 있다. 하드 마스크 층이 불소를 포함하는 경우, 포토레지스트의 EUV 민감성이 제고될 수 있다. 또한, 하드 마스크 층 과 포토레지스트 사이에 반사 방지층이 더 제공될 수 있다.
제1 구조(11) 위로 포토마스크(PM)가 정렬될 수 있고, 정렬된 포토마스크(PM)에 방사선 빔이 조사됨으로써 제2 구조(12)가 형성될 수 있다. 도 3에 도시된 바와 같이, 포토레지스트 층에서 방사선 빔에 노출된 포지티브 포토레지스트는 화학적으로 변형될 수 있다. 일부 실시예들에서, 포토마스크(PM)는 레티클(reticle)로서 지칭될 수 있고, 방사선 빔이 투과될 수 있는 유리 층 위에 또는 유리 층 아래에 형성된, 방사선 빔이 투과하지않는 물질(예컨대, 크롬(Cr))을 포함할 수 있다.
상기 방사선 빔은 UV 선 DUV 선, EUV 선, 엑시머 레이저 빔, X 선, 전자 선 등을 포함할 수 있다. 예시적인 실시예들에 따르면, EUV 방사선의 파장은 약 4nm 내지 약 124nm의 범위에 있을 수 있다. 예시적인 실시예들에 따르면, EUV 방사선의 파장은 약 5nm 내지 약 20nm의 범위에 있을 수 있다. 예시적인 실시예들에 따르면, EUV 방사선의 파장은 약 13nm 내지 약 14nm의 범위에 있을 수 있다. 예시적인 실시예들에 따르면, EUV 방사선의 파장은 약 13.5nm일 수 있다.
EUV 방사선을 생성하기 위한 방사선 시스템은 플라즈마를 제공하기 위해 플라즈마 소스를 여기시키는 레이저 및 플라즈마를 저장하기 위한 소스 수집기 모듈을 포함 할 수 있다. 예를 들어, 주석의 입자 및 Xe 가스 또는 Li 증기 같은 플라즈마 소스에 레이저 빔을 조사함으로써 플라즈마가 생성될 수 있다. 이러한 방사선 시스템은 일반적으로 레이저 생산 플라즈마 (LPP) 소스라고 한다. 대체 가능한 소스로 방전 플라즈마 소스 또는 전자 저장 링에 의해 제공되는 싱크로트론 복사에 기반한 소스가 있다.
일반적으로 EUV 방사선 빔을 이용한 노광 공정은 축사 투영(예컨대 약 4:1의 축사투영)의 방식으로 수행될 수 있다. 마스크 패턴은 약 1/4의 사이즈로 축소되어 반도체 웨이퍼에 매핑되는 바, 풀 샷은 결국 마스크 전체 패턴의 약 1/4의 사이즈에 해당할 수 있다. 여기서, 1/4은 길이의 축소비율이고, 면적으로는 약 1/16의 축소에 해당할 수 있다. 이에 따라, 리소그래피 마스크에 형성되는 패턴이 실제 웨이퍼에 매핑되는 패턴보다 큰 임계치수를 갖는 바, 리소그래피 공정의 신뢰성이 제고될 수 있다.
여기서, 노광 방식은 연속적으로 촬영하는 스캐닝 방식과 스텝 바이 스텝으로 단계별로 촬영하는 스텝 방식으로 분류할 수 있다. 일반적으로 EUV 노광 공정은 스캐닝 방식으로 진행하며, EUV 노광 장치를 일반적으로 스캐너라고 부르기도 한다. 또한, EUV 노광 장치에서 스캐닝은 광을 마스크의 일부 영역으로 제한하는 슬릿을 이용하여 수행될 수 있다. 여기서 슬릿은 EUV(Extreme Ultraviolet) 노광 공정을 수행하는 장치에서 광을 제한하여 광이 EUV 포토 마스크로 균일하게 조사되도록 하는 유닛일 수 있다. 슬릿을 통해 광이 마스크의 일부 영역으로 조사되도록 제한하되, 스캔하고자 하는 방향과 반대 방향으로 마스크를 이동시키면서 광을 연속적으로 조사할 수 있다. 이와 같이 마스크의 전 영역에 걸친 스캐닝을 통해 테스트 웨이퍼 상에 광이 조사된 영역은 풀 샷에 대응하는 영역일 수 있다.
일부 실시예들에 따르면, 포토마스크(PM)는 EUV(Extreme Ultra Violet) 포토 마스크일 수 있다. 일부 실시예들에 따르면, 포토마스크(PM)는 실리콘 웨이퍼 및 상기 실리콘 웨이퍼 상에 교대로 배치된 복수의 실리콘 층 및 몰리브덴 층을 포함할 수 있다. 포토마스크(PM)는 교대로 적층된 실리콘-몰리브덴 층 상에 배치된 루테늄(Ru) 함유 층을 더 포함할 수 있다. 상기 류테늄 함유 층 상에, 탄탈륨 질화 붕소(TaBN) 함유 층 및 로렌슘 함유층으로 구성된 레이아웃 패턴이 형성될 수 있다. EUV 용 포토마스크(PM)에 대해 본 명세서에 개시된 다양한 재료 및 층은 단지 예시를 위한 것일 뿐 어떠한 의미에서도 본 발명의 기술적 사상을 제한하지 않는다.
포토마스크(PM)의 개구(opening)를 통과하는 광은 회절될 수 있고, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 나타날 수 있다. 상술된 회절 및 OPE에 기인하는 오류를 보상하기 위하여 OPC(optical proximity correction)가 채용될 수 있다. 예를 들면, 도 3의 우측에 도시된 바와 같이, 제1 패턴(P11)을 형성하기 위하여, OPC가 적용된 제2 패턴(P12)이 포토마스크(PM)에 형성될 수 있고, 제2 패턴(P12)은 제1 패턴(P11)과 상이한 형상을 가질 수 있다. 제2 패턴(P12)은 OPC에 의해 수정된 형상을 가질 수 있다.
제2 구조(12)에 포토레지스트 층에서 광이 조사된 부분을 현상액으로 제거하는 현상 공정이 수행될 수 있다. 이에 따라, 도 3에 도시된 바와 같이, 포토레지스트 층에 제3 패턴(P13)이 형성될 수 있다. 일부 실시예들에서, 현상액은. 포토레지스트처럼 스피너(spinner)에 의해서 제공될 수 있다.
제3 구조(13)에서 식각(etching)이 수행될 수 있고, 이에 따라 산화물 층에서 포토레지스트에 의해서 보호되지 않은 부분이 식각될 수 있다. 식각은 습식(wet)(또는 액상(liquid)) 식각 및 건식(dry)(또는 플라즈마(plasma)) 식각을 포함할 수 있다. 식각에 의해 포토레지스트에 의해서 보호되지 않은 최상위 층의 부분을 제거하는데 사용될 수 있다. 식각이 완료된 후, 포토레지스트는 세척(cleaning) 공정에 의해 제거될 수 있고, 이에 따라 도 3에 도시된 바와 같이, 산화물 층에서 제4 패턴(P14)이 형성될 수 있다. 예시적인 실시예들에 따르면, 세척 공정에 의해 잔여(residual) 용해제를 제거하기 위하여 웨이퍼는 가열될 수 있다.
도 3에 도시된 바와 같이, 하위 공정들이 수행됨에 따라 패턴의 형상이 변화할 수 있고, 이는 패턴의 변형(transformation)으로 모델링될 수 있다. 예를 들면, 이상적인 패턴에 대응하는 제1 패턴(P11)은 OPC가 적용됨으로써 제2 패턴(P12)으로 변형될 수 있고, 제2 패턴(P12)은 조사 및 현상에 의해서 ADI 상태의 제3 패턴(P13)으로 변형될 수 있다. 또한, 제3 패턴(P13)은 식각 및 세척에 의해서 ACI 상태의 제4 패턴(P14)으로 변형될 수 있다. 결과적으로, 제4 패턴(P14)은 이상적인 패턴, 즉 제1 패턴(P11)과 상이한 형상을 가질 수 있고, 제4 패턴(P14)이 제1 패턴(P11)과 가장 유사한 형상을 가지도록, 제2 패턴(P12)의 형상을 판정하는 것이 중요할 수 있다.
포토마스크(PM)를 통과하는 광의 회절에 기인하는 오류를 시뮬레이션함으로써, 포토마스크(PM) 상의 패턴인 제2 패턴(P12)으로부터 현상 후 포토레지스트의 패턴인 제3 패턴(P13)을 추정하는 것은 ORC(optical rule check)로서 지칭될 수 있다.
이어서 도 1 및 도 4a내지 도 4c를 참조하면, P20에서 콘투어 히스토그램 이미지를 생성할 수 있다.
도 4a는 도 2의 A 부분을 확대한 도면이고, 도 4b는 도 4a의 콘투어들로부터 도출된 콘투어 히스토그램 이미지이며, 도 4c는 도 4b의 콘투어 히스토그램 이미지 상에, 일부 픽셀들의 값들로 구성된의 히스토그램을 함께 도시한 개략적인 도면이다.
도 4a는 복수의 SEM 이미지로부터 추출된 복수의 콘투어들을 그레이 스케일이미지로 변환한 것이다. 도 4a로부터, 각각의 픽셀에 배치된(placed) 콘투어의 개수가 카운트 될 수 있다.
도 4a에서 카운트된 콘투어의 개수에 기초하여 이에 따라, 각 픽셀의 명도가(즉, 비트 값) 정해질 수 있고, 이에 따라, 도 4b 및 도 4c의 콘투어 히스토그램 이미지가 생성될 수 있다. 예시적인 실시예들에 따르면, 콘투어 히스토그램 이미지는 그레이스케일 이미지일 수 있다.
예컨대, 도 4b 및 도 4c에 도시된 제1 픽셀을 통과하는 콘투어의 개수가 4개인 경우, 상기 제1 픽셀의 비트 값은 4일 수 있다. 다른 예로, 상기 제1 픽셀과 다른 제2 픽셀을 통과하는 콘투어가 없는 경우, 상기 제2 픽셀의 비트 값은 0일 수 있다.
다른 예로, 콘투어가 지나는 픽셀 및 콘투어 근방의 픽셀들의 비트 값이 가우시안 분포를 갖도록 결정된 이후, 복수의 콘투어들에 대응되는 복수의 가우시안 분포들의 합에 의해 콘투어 히스토그램 이미지에 포함된 각각의 픽셀들의 값이 결정될 수 있다.
예시적인 실시예들에 따르면, 콘투어 히스토그램 이미지는, 8 비트 그레이스케일 이미지일 수 있으나 이에 제한되는 것은 아니다. 8비트 그레이 스케일 이미지의 경우, 상기 그레이스케일 이미지는 이미지 내 콘투어가 놓여진 개수가 최대인 픽셀의 값이 255가 되고, 콘투어가 놓여지지 않은 픽셀의 값이 0이 되도록 표준화될 수 있다. 이상에서 설명된 콘투어 히스토그램 이미지는, 두 개의 공간 직교 좌표축(예컨대, X 축 및 Y축)을 갖고, 하나의 빈도(frequency) 축을 갖는 삼차원 이미지일 수 있다.
도 4b 및 도 4c에 화살표 및 파선으로 콘투어의 디자인된 위치가 도시되어 있다. 콘투어의 디자인된 위치를 기준으로 콘투어의 연장 방향(예컨대, ±Y 방향)에 대해 수직한 방향(예컨대, ±X 방향)의 벗어남(deviation)에 기초하여, 콘투어 히스토그램의 평균값, 최댓값, 최솟값, 범위, 중앙값, 최빈값 및 표준 편차가 결정될 수 있다. 후술하는 확률론적 예측 모델(10, 도 6a 참조)이 훈련 단계 이후에 OPC 검증 단계에서 추출하는 콘투어 히스토그램 이미지 역시, 유사한 방식으로 정의된 평균값, 최댓값, 최솟값, 범위, 중앙값, 최빈값 및 표준 편차에 대한 정보를 포함할 수 있다.
유사한 방식으로 이미지에 포함된 픽셀들 전체에 대해서 픽셀별 히스토그램이 생성될 수 있다. 도 5a는 레이아웃 전체에 걸친 콘투어 히스토그램 이미지를 도시하며, 도 5b는 도 5a의 'a', 'b', 'c', 'd'로 표시된 네 개의 위치에 대한 콘투어 히스토그램을 나타낸다. 예시적인 실시예들에 따르면, 콘투어 히스토그램 이미지는, 레이아웃 상의 유한한 수의 위치에 대한 콘투어 히스토그램 데이터를 포함할 수 있다.
이어서 도 1 및 도 6a를 참조하면 P30에서, 콘투어 히스토그램 이미지를 출력으로 하여 확률론적(Stochastic) 예측 모델(10)을 훈련시킬 수 있다
도 6a은 예시적인 실시예들에 따른 확률론적 예측 모델(10)의 예시를 나타내는 개략적인 도면이다. 확률론적 예측 모델(10)은 이미지 투 이미지 전사(Image-to-image translation)을 훈련할 수 있는 임의의 구조를 가질 수 있다. 이미지 투 이미지 전사는 이미지 페어로 구성된 훈련용 데이터 세트를 이용해, 인풋 이미지와 아웃풋 이미지를 매핑하는 것이 목표이다.
예를 들면, 확률론적 예측 모델(10)은, 인공 신경망(artificial neural network), 결정 트리(decision tree), 서포트 벡터 머신(support vector machine), 베이즈 네트워크(Bayesian network) 및/또는 유전 알고리즘(genetic algorithm) 등을 포함할 수 있다. 이하에서 확률론적 예측 모델(10)이 인공 신경망인 실시예를 중심으로 설명할 것이나. 이는 설명의 편의를 위한 것으로서 어떠한 의미에서도 본발명의 기술적 사상을 제한하지 않는다. 인공 신경망은, 예컨대, CNN(Convolution Neural Network), R-CNN(Region with Convolution Neural Network), RPN(Region Proposal Network), RNN(Recurrent Neural Network), S-DNN(Stacking-based deep Neural Network), S-SDNN(State-Space Dynamic Neural Network), Deconvolution Network, DBN(Deep Belief Network), RBM(Restricted Boltzmann Machine), Fully Convolutional Network, LSTM(Long Short-Term Memory) Network, Classification Network 등을 포함할 수 있다. 예시적인 실시예들에 따르면, 확률론적 예측 모델(10)은, 예컨대 NPU(neural processing unit), GPU(graphic processing unit) 등에 의해 구현될 수 있다.
예시적인 실시예들에 따르면, 확률론적 예측 모델(10)은 싸이클 생성적 적대 신경망(generative adversarial network; GAN)일 수 있다. 여기서 싸이클 GAN은 cGAN(conditional GAN)에 기반을 둔 이미지 투 이미지 매핑 모델로서, 입력 이미지를 다른 도메인의 출력 이미지로 변환시킬 수 있다.
GAN은 노이즈로부터 모조(fake) 이미지를 생성하는 생성기 및 모조 이미지를 식별하는 구분기를 포함할 수 있다. 예를 들면, 생성기는 모조 이미지를 출력할 수 있고 구분기는 실제 이미지일 확률(또는 모조 이미지일 확률)을 출력할 수 있다. 구분기는 실제 이미지 및 모조 이미지에 기초하여 모조 이미지를 식별할 수 있도록 학습될 수 있고, 생성기는 자신이 생성한 모조 이미지를 구분기가 실제 이미지로 식별하도록 학습될 수 있다. 이에 따라, 학습된 생성기는 실제 이미지와 매우 유사한 모조 이미지를 생성할 수 있다. 생성기 및 구분기에 추가 정보에 기초한 하나 이상의 조건을 지정함으로써(conditioned) cGAN이 구현될 수 있다. 여기서 추가 정보는, 클래스 레이블 또는 다른 양식의 데이터와 같은 모든 종류의 보조 정보일 수 있다. 생성기 및 구분기 각각의 추가 입력 레이어에 상기 추가 정보를 입력함으로써 컨디셔닝이 수행될 수 있다.
입력 이미지는, 디자인 레이아웃(Design Layout), 레지스트 이미지(Resist Image), 에어리얼 이미지(Aerial Image), 슬로프 맵(Slope Map), 덴서티 맵(Density Map) 및 포톤 맵(Photon Map) 중 적어도 어느 하나를 포함할 수 있다. 디자인 레이아웃은 웨이퍼 상에 구현하고자 하는 타겟 패턴으로 구성된 비트맵 이미지 또는 기타 임의의 적절한 형식의 이미지를 의미한다. 레지스트 이미지는 디자인 레이아웃으로부터 시뮬레이션에 의해 도출된 포토레지스트의 이미지이다. 에어리얼 이미지는 디자인 레이아웃으로부터 도출된, 포토레지스트에 도달한 노광 광의 세기 분포를 나타내는 이미지이다. 슬로프 맵은 슬로프 맵에 포함된 각 픽셀의 값이 에어리얼 이미지의 각 픽셀의 그래디언트인 이미지이다. 덴서티 맵은 특정 픽셀의 비트 값이 상기 특정 픽셀 근방의 패턴 밀도에 의해 결정되는 이미지이다. 포톤 맵은 노광 공정에서 픽셀별로 도달할 포톤의 수를 시뮬레이션한 이미지이다. 출력 이미지는 도 2 내지 도 5b를 참조하여 설명한 콘투어 히스토그램 이미지일 수 있다.
확률론적 예측 모델(10)의 훈련 단계에서 사용되는 디자인 레이아웃(Design Layout), 레지스트 이미지(Resist Image), 에어리얼 이미지(Aerial Image), 슬로프 맵(Slope Map), 덴서티 맵(Density Map) 및 포톤 맵(Photon Map)은 경우에 따라 훈련용 데이터 세트라고 지칭될 수도 있다. 상기 훈련용 데이터 세트는 웨이퍼 상에 이미 전사된 디자인 레이아웃에 관련된 것으로서, SEM 이미지를 포함할 수 있다. 상기 대응되는 SEM 이미지는 도 2를 참조하여 설명한 콘투어 추출에 사용될 수 있다.
싸이클 GAN의 목표는 도메인 X와 Y를 매핑하는 함수를 학습하는 것이다. 여기서 x는 도메인 X에 속하는 샘플이고 y는 도메인 Y에 속하는 샘플이다. 싸이클 GAN은 두 개의 매핑 함수 G : X → Y와 F : Y →X를 포함할 수 있다. 매핑함수 G와 F는 서로 역전사 관계에 있을 수 있다. 싸이클 GAN은 두 개의 적대적인(adversarial) discriminator DX 와 DY를 더 포함할 수 있다.
가능한 매핑 함수의 공간을 줄이기 위해, 싸이클 일관성을 만족해야 한다. 여기서 싸이클 일관성은, 임의의 입력에 대해 매핑 G와 역매핑 F를 연이어 수행하는 경우, 최초의 입력이 도출됨을 의미한다. 보다 구체적으로, 6b를 참조하면, G에 의해 도메인 X의 원소 x1이 도메인 Y의 원소 y에 매핑되고, y는 F에 의해 도메인 X의 다른 원소 x2에 매핑되는 것을 목적 함수인 싸이클 일관성 로스로 정의할 수 있다. 유사하게, 도 6c를 참조하면, G에 의해 도메인 X의 원소 x이 도메인 Y의 원소 y1에 매핑되고, y1과 다른 y2가 F에 의해 도메인 X의 원소 x에 매핑되는 것을 목적 함수인 싸이클 일관성 로스로 정의할 수 있다. 상술된 싸이클 일관성 로스를 목적 함수에 추가함으로써, 입력 이미지와 무관하게 동일한 출력 이미지를 출력하는 모드 붕괴를 방지할 수 있다.
이에 따라, 싸이클 GAN의 목적 함수(objective function)는 적대적인 손실(adversarial losses) 및 싸이클 일관성 손실(cycle consistency loss)의 두 종류의 항으로 구성된다. 보다 구체적으로, 싸이클 GAN의 목적함수는 아래 식 1을 따른다.
[식 1]
Figure pat00001
여기서
Figure pat00002
는 매핑 함수 G에 관한 적대적 손실을 나타내는 목적 함수로서, 아래 식 2를 따른다.
[식 2]
Figure pat00003
G는 식 2의 목적함수를 최소화 시키고자 하고, DY는 식 2의 목적 함수를 최대화시키고자 하며 이는 간단히,
Figure pat00004
로 나타낼 수 있다.
Figure pat00005
는 매핑 함수 F에 관한 적대적 손실을 나타내는 목적 함수로서, 아래 식 3을 따른다.
[식 3]
Figure pat00006
F는 식 3의 목적함수를 최소화 시키고자 하고, DX는 식 3의 목적 함수를 최대화시키고자 하며 이는 간단히,
Figure pat00007
로 나타낼 수 있다.
Figure pat00008
는 전술한 싸이클 일관성 손실로서, 아래 식 4를 따른다.
Figure pat00009
는 식 2 및 식 3의 목적함수들의 상대적인 중요도에 따라 결정될 수 있다.
[식 4]
Figure pat00010
도 7은 예시적인 실시예들에 따른 레티클 제조 방법을 설명하기 위한 순서도이다.
도 7을 참조하면 P110에서 디자인 레이아웃을 제공할 수 있다. 디자인 레이아웃의 제공은, 구현하고자 하는 반도체 소자의 종류 및 목적에 따라 다양하게 결정될 수 있다. 일부 실시예들에 따르면, 반도체 소자는 비 휘발성 낸드 플래시 메모리(NAND-type Flash memory)일 수 있다. 일부 실시예들에 따르면, 반도체 소자는 PRAM(Phase-change Random Access Memory), MRAM(Magnetoresistive RAM), ReRAM(Resistive RAM), FRAM(Ferroelectric RAM), NOR 플래시 메모리 등을 포함할 수도 있다. 반도체 소자는 DRAM(Dynamic Random Access Memory), 및 SRAM(Static Random Access Memory) 등과 같이, 전원이 차단되면 데이터가 손실되는 휘발성 메모리 소자(volatile memory device)일 수도 있다. 일부 실시예들에 따르면, 반도체 소자는로직 칩이나 계측 소자, 통신 소자, 디지털 신호 프로세서(Digital Signal Processor: DSP) 또는 시스템-온-칩(System-On-Chip: SOC) 등일 수 있다.
디자인 레이아웃의 제공을 통해, 웨이퍼 상에 형성하고자 하는 패턴(이하, 타겟 패턴)에 대응하는 마스크 이미지가 제공될 수 있다. 상기 마스크 이미지는 예를 들어, 연속 투과 마스크 이미지(continuous transmission mask image), 바이너리 마스크 이미지(binary mask image), 곡선 마스크 이미지(a curvilinear mask image) 등을 포함할 수 있다.
이어서, P120에서 OPC를 수행할 수 있다. OPC는 룰 베이스(rule-based) OPC, 모델 베이스(model-based) OPC 및 기계 학습 보조(Machine Learning-guided) OPC를 포함한다. 룰 베이스 OPC는 피처들 사이의 너비와 간격을 기반으로 미리 계산된 룩업 테이블에 의해 구동될 수 있다. 모델 베이스 OPC는 최종 패턴을 동적으로 시뮬레이션하기 위해 컴팩트 모델을 사용하여 구동될 수 있으며, 섹션으로 분할된 에지의 바이아스(이동)를 유도하여 최적의 솔루션을 찾을 수 있다. 기계 학습 보조 OPC는 반복적인 리소그래피 시뮬레이션을 거치지 않고, 기계학습 알고리즘을 이용하여 타겟 레이아웃으로부터 OPC가 수행된 마스크 이미지를 직접 얻는 방법이다. 디자인 레이아웃의 한 세그먼트를 파라미터(예컨대, 패턴 밀도, 광학 커널 신호등)로 표현하여 미리 훈련된 인공 신경망에 입력하면, 해당 세그먼트의 바이아스가 출력된다. 인공 신경망이 모든 세그먼트들에 대해 바이아스를 결정하고 적용함으로써, OPC 된 마스크 이미지가 생성될 수 있다. 경우에 따라 기계학습 보조 OPC에 후속하여 모델 베이스 OPC를 수행함으로써, OPC의 정확도를 저하시키지 않으면서 OPC의 속도를 제고할 수 있다.
모델 베이스 OPC의 수행은, 입력으로서 초기 마스크 이미지를 사용하여 프로세스 모델을 실행 및/또는 시뮬레이션하고 웨이퍼 상에 형성될 프로세스 이미지를 생성하는 것을 포함할 수 있다. 여기서 프로세스 이미지는 예를 들어, 에어리얼 이미지, 레지스트 이미지, 에칭 이미지 등을 포함할 수 있다. 일 실시 예에서, 프로세스 모델은, 레지스트 모델 및/또는 에칭 모델에 추가로 결합된, 광학 모델에 결합된 마스크 전송 모델을 포함할 수 있다. 프로세스 모델의 출력은 시뮬레이션 프로세스 동안 프로세스 변화를 고려한 프로세스 이미지일 수 있다. 프로세스 이미지는 예를 들어 프로세스 이미지 내의 패턴의 윤곽을 추적함으로써 패터닝 프로세스의 매개 변수(예를 들어, 에지 배치 오류(Edge Placement Error, 이하 EPE), 임계치수(Critical Dimension), 오버레이, 사이드 로브 등)를 결정하는 데 추가로 사용될 수 있다.
모델 베이스 OPC의 수행은, 광학적 OPC 모델 및 레지스트 OPC 모델의 생성을 포함할 수 있다.
광학적 OPC 모델의 생성은 노광 공정에서 디포커스 시작(Defocus Stand: DS) 위치, 베스트 포커스(Best Focus: BF) 위치 등의 최적화를 포함할 수 있다. 또한, 광학적 OPC 모델의 생성은 광의 회절 현상이나 노광 설비 자체의 광학적 상태의 최적화 등을 포함할 수 있다.
레지스트 OPC 모델의 생성은 레지스트의 문턱값의 최적화를 포함할 수 있다. 여기서, 레지스트의 문턱값은 노광 공정에서 화학적 변화가 일어나는 문턱값을 의미하며, 문턱값은, 예컨대, 노광 광의 인텐서티에 관한 것일 수 있다. 레지스트에 대한 OPC 모델의 생성은 또한, 여러 레지스트 모델 폼들에서 적절한 모델 폼을 선택하는 것을 포함할 수 있다. 여기서, 레지스트 모델 폼은 레지스트의 화학적 성질들의 구성일 수 있다.
모델 베이스 OPC는 최종적으로 마스크를 제조하는 데 사용되는 마스크 패턴(또는 이미지)을 포함하는 정보를 생성하도록, 초기 이미지가 서로 다른 프로세스 조건에 따라 서로 다른 유형의 이미지를 생성하도록 점진적으로 수정되는 반복적(iterative) 수정 프로세스이다. OPC는 비용 함수를 감소시키거나 최소화하도록 반복될 수 있다. OPC는 비용 함수가 최소화되는 시점에 종료되거나, 미리 설정된 반복 제한 회수에 도달한 이후 종료될 수 있다. 여기서, 비용 함수의 파라미터는 예컨대, EPE, 사이드로브 각인(Sidelobe printing), 평균 제곱 오차(Mean Sqaure error, MSE), 패턴 배치 오류 (Patern Placement Error, PPE), 정규화된 이미지 로그 및 패턴의 윤곽선을 기반으로 정의된 기타 적절한 변수를 포함할 수 있다.
OPC 수행은, 패턴의 레이아웃의 변형뿐만이 아니라, 패턴의 코너 상에 세리프들(serifs)로 불리는 서브 리소그라피 피쳐들(sub-lithographic features)을 부가하는 것이나, 스캐터링 바아들(scattering bars)과 같은 서브 레졸루션 어시스트 피쳐들(sub-lithographic assist features: SRAFs)을 부가하는 것을 포함할 수 있다. 여기서, 세리프는 일반적으로 패턴의 각 코너 상에 위치된 사각형 피처들로서, 패턴의 코너들을 "뾰족하게(sharpen)" 하거나 패턴의 교차에 의해 초래되는 왜곡 인자를 보상하기 위해 사용될 수 있다. SRAF는 패턴의 밀도차에 기인한 OPC 편차 문제를 해결하기 위하여 도입되는 보조 피쳐로서, 노광 장비의 해상도보다 적은 크기로 형성되어 레지스트층으로 전사되지 않을 수 있다.
이어서, 도 6a 및 도 7을 참조하면, P130에서 확률론적 예측 모델(10)에 의해 OPC가 검증될 수 있다.
확률론적 예측 모델에 의한 OPC의 검증은, 확률론적 예측 모델(10)이 OPC의 대상이 되는 디자인 레이아웃 또는 상기 디자인 레이아웃에 대응되는 레지스트 이미지, 에어리얼 이미지, 슬로프 맵, 덴서티 맵 및 포톤 맵 중 적어도 어느 하나에 기초하여 콘투어 히스토그램 이미지를 생성하는 것을 포함할 수 있다.
종래의 OPC 검증은, 콘투어 밴드로부터 얻어진 프로세스 윈도우 컨디션(Process Window Condition, 이하 PWC)에 기초하여, 웨이퍼 상에 구현되는 패턴의 핀치와 브릿지의 위험성을 예측하였다. 베스트 컨디션(best condition)은 특정 레이아웃을 형성하기 위한 최적의 도즈 및 포커스의 조건을 의미한다. 베스트 컨디션은 형성하려는 소자별로, 또한 구현되는 패턴 별로 서로 다를 수 있다.
PWC는 베스트 컨디션으로부터 설정된 수치만큼 디포커스된 포커스 조건 및/또는 변화된 도즈의 조건일 수 있다. 일부 실시예들에 따르면, PWC는 복수개의 포커스 및 도즈의 조건을 포함할 수 있으나 이에 제한되는 것은 아니다. 예컨대, 프로세스 윈도우 조건은 하나의 포커스 및 도즈의 조건 또는 연속적인 포커스 및 도즈의 조건을 포함할 수 있다.
이에 따라, 종래의 OPC의 콘투어 위치에 대한 통계적 분석이 불가능하였으며, 몬테카를로 방법(Monte Carlo method)에 의한 확률론적 불량의 예측만이 가능하였다. 즉 몬테카를로 방법이란 무작위 추출된 난수(Random Number)를 이용하여 함수의 값을 계산하는 통계학적 방법이다. 계산하려는 값이 닫힌 형식으로 표현되지 않거나 매우 복잡한 경우에 이를 근사적으로 계산하기 위해 몬테카를로 방법이 사용된다. 몬테카를로 방법에 의해 확률론적 불량을 예측하는 경우, 시뮬레이션의 정확도를 제고하기 위해 많은 수의 난수가 필요하므로 시뮬레이션에 극도로 많은 시간 및 컴퓨팅 리소스가 요구되는 바 상용화가 불가능한 문제점이 있었다.
예시적인 실시예들에 따르면, 디자인 레이아웃, 레지스트 이미지, 에어리얼 이미지, 슬로프 맵, 덴서티 맵 및 포톤 맵 중 어느 하나를 입력으로 하여 콘투어 히스토그램을 출력할 수 있는 바, 짧은 시간 및 낮은 수준의 컴퓨팅 리소스로도 결함의 양상에 대한 확률론적 예측을 모사할 수 있다.
또한, 콘투어 히스토그램 이미지를 생성함으로써, 공정 마진의 확인 이상으로, 확률론적 OPC 검증이 가능해지는 바, 반도체 소자 제조의 신뢰성이 제고될 수 있다. 상기 확률론적 OPC 검증은, 콘투어 히스토그램 이미지 상의 특정 피쳐의 컨투어의 위치의 평균값, 최댓값, 최솟값, 범위, 중앙값, 최빈값 및 표준 편차에 기초하여 수행될 수 있다.
또한, 콘투어 히스토그램 이미지의 해석에 의해 리소그래피 공정에 의한 불량의 확률적으로 예측할 수 있다. 예컨대, 예컨대 제1 패턴의 제1 세그먼트와, 상기 제1 패턴에 인접한 제2 패턴의 제2 세그먼트가 설정된 거리, 예컨대, 브릿지를 유발할 수 있는 거리, 미만으로 인접할 확률을 계산함으로써, 브릿지 결함의 발생확률을 예측할 수 있다.
나아가, 예시적인 실시예들에 따르면, 상기 확률론적 예측에 기반하여 특정 공정 별로 최적 공정 조건을 결정할 수 있다. 예컨대, 임계 폴리 피치(Crtical Poly pitch), 임계 선폭 등의 정보를 포함하는 특정 디자인 레이아웃에 최적 공정에 해당하는 조명 조건 및 포토 레지스트 조건의 조합이 제공될 수 있다. 이때 조명 조건은 개구 수(Numerical Aperture), 주변 굴절률 (Ambient Refractive Index), 필름 구조의 광학적 속성, 노광량(Exposure Dose) 및 포커스(Focus), 포커스 블러(스테이지 기울기, 스테이지 동기화 오류 또는 레이저 대역폭 등의 요인으로 인해 발생할 수 있다), 조도(Illumination Intensity), 편광(Polarization), 팰리클(Pellicle)의 두께, 프로젝트 렌즈 수차(Aberration) 등을 포함할 수 있다. 포토 레지스트 조건은 두께, 폴리머 농도, 평탄도, (photoacid generator, PAG) 농도, PAG의 양자 수율(quantum yield) 및 흡수율(absorbance) 등을 포함할 수 있다.
도 8은 예시적인 실시예들에 따른 OPC 결과의 검증을 설명하기 위한 대략적인 도면이다.
도 8을 참조하면, 레이아웃상에 서로 다른 패턴들의 서로 다른 콘투어들은 패턴의 특성 및 주변 환경에 기초하여 서로 다른 종류의 세그먼트로 분해될(Dissected) 수 있다.
예컨대, 도 8에서, 'W'의 폭을 가지고 연장되는 패턴의 콘투어의 세그먼트는 실선으로 도시되었고, 라인 형상 패턴의 단부의 세그먼트들은 일점 쇄선으로 도시되었으며, 상기 라인 형상 패턴의 상기 단부에 인접한 콘투어의 세그먼트들은 파선으로 도시되었고, 'U'의 폭을 가지고 연장되는 패턴의 콘투어의 세그먼트들은 이점 쇄선으로 도시되었다.
예시적인 실시예들에 따르면, 상기와 같이 서로 다른 기하적 특성을 갖는 세그먼트들마다 별도로 통계적 분석을 수행할 수 있다. 예컨대, 'W'의 폭을 가지고 연장되는 패턴의 콘투어의 세그먼트 전체에 대한 컨투어의 위치의 평균값, 최댓값, 최솟값, 범위, 중앙값, 최빈값 및 표준 편차를 결정하고, 이에 기초하여 'W'의 폭을 가지고 연장되는 패턴의 불량을 확률론적으로 예측할 수 있다. 이는 라인 형상 패턴의 단부의 세그먼트들, 상기 단부에 인접한 콘투어의 세그먼트들 및 'U'의 폭을 가지고 연장되는 패턴의 콘투어의 세그먼트들에 대해서도 마찬가지이다.
예시적인 실시예들에 따르면, 확률론적 예측 모델(10, 도 6a 참조)은 픽셀별 콘투어 배치의 통계적 분석에 더해, 세그먼트별 콘투어 배치의 통계적 분석을 수행할 수 있다.
다시 도 7을 참조하면, P130에서 OPC 검증 결과가 양호한 경우(G), P140에서 레티클을 제조할 수 있다. P130에서 OPC 검증 결과가 양호하지 않은 경우(NG), P120으로 돌아가 OPC를 다시 수행할 수 있다.
P140에서 레티클의 제조는, 마스크 테이프 아웃(Mask Tape-Out, MTO), 마스크 데이터 준비(Mask Data Preparation: MDP) 및 마스크 노광을 포함한다.
MTO는 OPC가 완료된 마스크 디자인 데이터를 예컨대, 마스크 제조 하우스에 전달하여 마스크 제작을 의뢰일 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예컨대, MTO 디자인 데이터는 GDS2(Graphic Data System Ⅱ), OASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
MDP는 예컨대, 분할(fracturing)로 불리는 포맷 변환, 기계식 판독을 위한 바코드, 검사용 표준 마스크 패턴, 잡-덱(job deck) 등의 추가(augmentation), 그리고 자동 및 수동 방식의 검증을 포함할 수 있다. 여기서 잡-덱은 다중 마스크 파일들의 배치정보, 기준 도우즈(dose), 노광 속도나 방식 등의 일련의 지령에 관한 텍스트 파일을 만드는 것을 의미할 수 있다.
포맷 변환, 즉 분할(fracturing)은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 의미할 수 있다. 분할에는 예컨대, 크기 조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다. 분할을 통한 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정에서 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 상기 계통 오차들에 대한 데이터 보정 공정을 마스크 프로세스 보정(Mask Process Correction: MPC)이라고 부르며, 예컨대 CD 조절이라고 부르는 선폭 조절 및 패턴 배치 정밀도를 높이는 작업 등이 포함될 수 있다. 따라서, 분할은 최종 마스크의 품질 향상에 기여할 수 있고 또한 마스크 프로세스 보정을 위해 선행적으로 수행되는 공정일 수 있다. 여기서, 계통 오차들은 노광 공정, 마스크 현상(development) 및 에칭(etching) 공정, 그리고 웨이퍼 이미징 공정 등에서 발생하는 왜곡에 의해서 유발될 수 있다.
MDP는 MPC를 포함할 수 있다. MPC는 전술한 바와 같이 노광 공정 중에 발생하는 에러, 즉 계통 오차를 보정하는 공정을 말한다. 여기서, 노광 공정은 전자빔 쓰기(Writing), 현상, 에칭, 베이크(bake) 등을 전반적으로 포함하는 개념일 수 있다. 덧붙여, 노광 공정 전에 데이터 프로세싱이 수행될 수 있다. 데이터 프로세싱은 일종의 마스크 데이터에 대한 전처리 과정으로서, 마스크 데이터에 대한 문법 체크, 노광 시간 예측 등을 포함할 수 있다.
MDP 후, 마스크 데이터를 기반으로 하여 마스크용 웨이퍼를 노광할 수 있다. 여기서, 노광은 예컨대, 전자빔 쓰기를 의미할 수 있다. 여기서, 전자빔 쓰기는 예컨대, 멀티-빔 마스크 노광기(Multi-Beam Mask Writer: MBMW)를 이용한 그레이 노광(Gray Writing) 방식으로 진행할 수 있다. 또한, 전자빔 쓰기는 가변 형상 빔(Variable Shape Beam: VSB) 노광기를 이용하여 수행할 수도 있다.
마스크 데이터 준비 단계 이후, 노광 공정 전에 마스크 데이터를 픽셀 데이터로 변환하는 과정이 수행될 수 있다. 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
노광 공정 후, 일련의 공정들을 진행하여 마스크를 제조한다. 일련의 공정들은 예컨대, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다. 또한, 마스크 제조를 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 노광 공정 후, 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면 마스크에 펠리클(pellicle)이 도포될 수도 있다. 펠리클이 마스크에 적용됨으로써, 마스크는 가용수명 기간 동안 후속적인 오염으로부터 보호될 수 있다.
도 9는 본 개시의 예시적 실시예에 따른 장치(110)를 나타내는 블록도이다. 일부 실시예들에서, 도 8을 참조하여 설명된 방법은 도 9의 장치(110)에 의해서 수행될 수 있다.
도 9를 참조하면, 장치(110)는 적어도 하나의 코어(111), 메모리(113), AI(Artificial Intelligence) 가속기(115) 및 하드웨어 가속기(117)를 포함할 수 있고, 적어도 하나의 코어(111), 메모리(113), AI(Artificial Intelligence) 가속기(115) 및 하드웨어 가속기(117)는 버스(119)를 통해서 상호 통신할 수 있다. 일부 실시예들에서, 적어도 하나의 코어(111), 메모리(113), AI(Artificial Intelligence) 가속기(115) 및 하드웨어 가속기(117)는 하나의 반도체 칩에 포함될 수도 있다. 또한, 일부 실시예들에서, 적어도 하나의 코어(111), 메모리(113), AI(Artificial Intelligence) 가속기(115) 및 하드웨어 가속기(117) 중 적어도 두 개는 웨이퍼(board)에 장착된 둘 이상의 반도체 칩들에 각각 포함될 수도 있다.
적어도 하나의 코어(111)는 명령어들을 실행할 수 있다. 예를 들면, 적어도 하나의 코어(111)는 메모리(113)에 저장된 명령어들을 실행함으로써 운영 체제(operating system)를 실행할 수도 있고, 운영 체제 상에서 실행되는 어플리케이션들을 실행할 수도 있다. 일부 실시예들에서, 적어도 하나의 코어(111)는 명령어들을 실행함으로써, AI 가속기(115) 및/또는 하드웨어 가속기(117)에 작업을 지시할 수 있고, AI 가속기(115) 및/또는 하드웨어 가속기(117)로부터 작업의 수행 결과를 획득할 수도 있다. 일부 실시예들에서, 적어도 하나의 코어(111)는 특정한 용도를 위하여 커스텀화된 ASIP(Application Specific Instruction set Processor)일 수 있고, 전용의 명령어 세트(instruction set)를 지원할 수도 있다.
메모리(113)는 데이터를 저장하는 임의의 구조를 가질 수 있다. 예를 들면, 메모리(113)는, DRAM, SRAM 등과 같은 휘발성 메모리 장치를 포함할 수도 있고, 플래시 메모리, ReRAM 등과 같은 비휘발성 메모리 장치를 포함할 수도 있다. 적어도 하나의 코어(111), AI(Artificial Intelligence) 가속기(115) 및 하드웨어 가속기(117)는 버스(119)를 통해서 메모리(113)에 데이터를 저장하거나 메모리(113)로부터 데이터를 독출할 수 있다.
AI 가속기(115)는 AI 어플리케이션들을 위해 설계된 하드웨어를 지칭할 수 있다. 일부 실시예들에서, AI 가속기(115)는 뉴로모픽(neuromorphic) 구조를 구현하기 위한 NPU(Neural Processing Unit)를 포함할 수 있고, 적어도 하나의 코어(111) 및/또는 하드웨어 가속기(117)로부터 제공된 입력 데이터를 처리함으로써 출력 데이터를 생성할 수 있고, 적어도 하나의 코어(111) 및/또는 하드웨어 가속기(117)에 출력 데이터를 제공할 수 있다. 일부 실시예들에서, AI 가속기(115)는 프로그램가능할 수 있고, 적어도 하나의 코어(111) 및/또는 하드웨어 가속기(117)에 의해서 프로그램될 수 있다.
하드웨어 가속기(117)는 특정 작업을 고속으로 수행하기 위하여 설계된 하드웨어를 지칭할 수 있다. 예를 들면, 하드웨어 가속기(117)는 복조, 변조, 부호화, 복호화 등과 같은 데이터 변환을 고속으로 수행하도록 설계될 수 있다. 하드웨어 가속기(117)는 프로그램가능할 수 있고, 적어도 하나의 코어(111) 및/또는 하드웨어 가속기(117)에 의해서 프로그램될 수 있다.
장치(110)는 본 개시의 예시적 실시예에 따라 반도체 공정을 모델링하기 위한 방법을 수행할 수 있고, 반도체 공정을 모델링하기 위한 장치로서 지칭될 수 있다. 예를 들면, AI 가속기(115)는 도 6a를 참조하여 설명한 확률론적 예측 모델(10)의 동작들을 수행할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다.

Claims (10)

  1. 각각 제1 디자인 레이아웃에 대응되는 복수의 SEM(Scanning Electron Microscope) 이미지들에 포함된 패턴들의 콘투어들을 추출하는 단계;
    상기 콘투어들에 기초하여 제1 콘투어 히스토그램 이미지를 생성하는 단계;
    상기 제1 콘투어 히스토그램 이미지를 출력으로 하고, 상기 제1 디자인 레이아웃 및 각각 상기 제1 디자인 레이아웃에 대응되는 제1 레지스트 이미지, 제1 에어리얼 이미지, 제1 슬로프 맵, 제1 덴서티 맵 및 제1 포톤 맵 중 어느 하나를 입력으로 하여 확률론적(Stochastic) 예측 모델을 훈련시키는 단계를 포함하되,
    상기 확률론적 예측 모델은 싸이클(cycle) GAN(generative adversarial network)인 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  2. 제1항에 있어서,
    상기 제1 콘투어 히스토그램 이미지의 각각의 픽셀의 값은 상기 각각의 픽셀에 놓여진 상기 콘투어의 수를 나타내는 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  3. 제1항에 있어서,
    상기 확률론적 예측 모델은 EUV(Extreme Ultra Violet) 노광에 의한 랜덤 불량의 발생 확률을 예측하도록 구성된 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  4. 제1항에 있어서,
    상기 확률론적 예측 모델은, 제2 디자인 레이아웃 및 각각 상기 제2 디자인 레이아웃에 대응되는 제2 레지스트 이미지, 제2 에어리얼 이미지, 제2 슬로프 맵, 제2 덴서티 맵 및 제2 포톤 맵 중 어느 하나에 기초하여, 상기 제2 디자인 레이아웃에 대응되는 제2 콘투어 히스토그램 이미지를 출력하는 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  5. 제4항에 있어서,
    상기 제2 콘투어 히스토그램 이미지는 픽셀 별 콘투어 배치의 평균, 최댓값, 최솟값, 범위, 중간 값 및 표준 편차에 관한 정보를 포함하는 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  6. 제4항에 있어서,
    상기 확률론적 예측 모델은 상기 제2 콘투어 히스토그램 이미지에 기초하여 상기 제2 디자인 레이아웃에 대한 OPC(Optical Proximity Correction)를 검증하도록 구성된 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  7. 제4항에 있어서,
    상기 확률론적 예측 모델은 상기 제2 디자인 레이아웃에 포함된 패턴의 콘투어의 세그먼트를 타입 별로 분류하여 통계적으로 해석하도록 구성된 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  8. 제4항에 있어서,
    상기 제2 콘투어 히스토그램 이미지에 기초하여, 상기 제2 디자인 레이아웃을 웨이퍼에 전사하기 위한 공정 조건을 조정하는 단계를 더 포함하는 것을 특징으로 하는 확률론적 예측 시스템 제공 방법.
  9. 디자인 레이아웃을 제공하는 단계;
    상기 디자인 레이아웃에 OPC를 수행하는 단계;
    상기 OPC를 검증하는 단계; 및
    상기 OPC 검증 결과가 양호한 경우 EUV 포토 마스크를 제조하는 단계를 포함하되,
    상기 OPC의 결과를 검증하는 단계는, 상기 디자인 레이아웃, 및 각각 상기 디자인 레이아웃에 대응되는 레지스트 이미지, 에어리얼 이미지, 슬로프 맵, 덴서티 맵 및 포톤 맵 중 어느 하나에 기초하여 콘투어 히스토그램 이미지를 생성하고, 및
    상기 콘투어 히스토그램 이미지에 포함된 각각의 픽셀들의 값은 상기 각각의 픽셀들에 상기 디자인 레이아웃에 포함된 패턴들의 콘투어가 배치될 확률을 나타내는 것을 특징으로 하는 EUV 포토 마스크 제조 방법.
  10. 리소그래피 공정에 의한 불량을 확률적으로 예측하도록 구성된 시스템으로서, 상기 시스템은,
    제1 디자인 레이아웃, 및 각각 상기 제1 디자인 레이아웃에 대응되는 제1 레지스트 이미지, 제1 에어리얼 이미지, 제1 슬로프 맵, 제1 덴서티 맵 및 제1 포톤 맵 중 어느 하나에 기초하여 제1 콘투어 히스토그램 이미지를 생성하도록 구성된 확률론적 예측 모델을 포함하되,
    상기 제1 콘투어 히스토그램 이미지에 포함된 각각의 픽셀들의 값은 상기 각각의 픽셀들에 상기 디자인 레이아웃에 포함된 패턴들의 콘투어가 배치될 확률을 나타내는 것을 특징으로 하는 시스템.

KR1020210031466A 2021-03-10 2021-03-10 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법 KR20220127004A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210031466A KR20220127004A (ko) 2021-03-10 2021-03-10 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법
US17/495,863 US11989873B2 (en) 2021-03-10 2021-10-07 Stochastic contour prediction system, method of providing the stochastic contour prediction system, and method of providing EUV mask using the stochastic contour prediction system
CN202111650279.4A CN115079507A (zh) 2021-03-10 2021-12-30 随机预测系统、提供其的方法和制造极紫外光掩模的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210031466A KR20220127004A (ko) 2021-03-10 2021-03-10 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법

Publications (1)

Publication Number Publication Date
KR20220127004A true KR20220127004A (ko) 2022-09-19

Family

ID=83193920

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210031466A KR20220127004A (ko) 2021-03-10 2021-03-10 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법

Country Status (3)

Country Link
US (1) US11989873B2 (ko)
KR (1) KR20220127004A (ko)
CN (1) CN115079507A (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230021400A (ko) * 2021-08-05 2023-02-14 에스케이하이닉스 주식회사 매립 게이트 구조를 구비한 반도체 장치
KR20230092514A (ko) * 2021-12-17 2023-06-26 삼성전자주식회사 렌더링 방법 및 장치
CN116561563B (zh) * 2023-07-11 2023-09-29 电子科技大学 一种基于残差预测模型的边坡位移预测方法及相关装置
CN116611302B (zh) * 2023-07-18 2023-09-19 成都理工大学 考虑车载随机性影响的桥梁校验系数的预测方法
CN117518747B (zh) * 2024-01-05 2024-04-05 华芯程(杭州)科技有限公司 一种光刻量测强度的生成方法、装置、设备及存储介质

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9047532B2 (en) * 2007-01-25 2015-06-02 Applied Materials Israel, Ltd. System, method and computer program product for evaluating an actual structural element of an electrical circuit
KR101757743B1 (ko) 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
DE102011079382B4 (de) 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
US10163733B2 (en) * 2016-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of extracting defects
US10262408B2 (en) 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
CN111263918B (zh) * 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
US10747119B2 (en) 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
US10672588B1 (en) 2018-11-15 2020-06-02 Kla-Tencor Corporation Using deep learning based defect detection and classification schemes for pixel level image quantification
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
KR20210069161A (ko) * 2019-12-02 2021-06-11 삼성전자주식회사 Euv 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
CN115079507A (zh) 2022-09-20
US11989873B2 (en) 2024-05-21
US20220292669A1 (en) 2022-09-15

Similar Documents

Publication Publication Date Title
US11308256B2 (en) Method of post optical proximity correction (OPC) printing verification by machine learning
US11989873B2 (en) Stochastic contour prediction system, method of providing the stochastic contour prediction system, and method of providing EUV mask using the stochastic contour prediction system
JP5008681B2 (ja) リソグラフィシミュレーションのための装置
US11048161B2 (en) Optical proximity correction methodology using pattern classification for target placement
US7467072B2 (en) Simulation of objects in imaging using edge domain decomposition
US7523027B2 (en) Visual inspection and verification system
US10036961B2 (en) Optical proximity correction (OPC) method and method of fabricating mask using the OPC method
JP5020616B2 (ja) 短波長を持つ電磁放射を用いたリソグラフ方法および装置
US9990460B2 (en) Source beam optimization method for improving lithography printability
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
US11675958B2 (en) Lithography simulation method
TWI829875B (zh) 用於壓縮用於電子設計之形狀資料之方法及系統
US6765651B1 (en) Fast image simulation for photolithography
CN110879507B (zh) 用于执行光学邻近校正的方法和使用其制造掩模的方法
KR20230036578A (ko) 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩
KR20240000284A (ko) 딥러닝 기반 리소그라피 모델 생성방법, 및 그 모델 생성방법을 포함한 마스크 제조방법
US11747721B2 (en) Method of forming shape on mask based on deep learning, and mask manufacturing method using the method of forming the shape on mask
TW202326285A (zh) 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法
WO2019245806A1 (en) Hybrid design layout to identify optical proximity correction-related systematic defects
US11966156B2 (en) Lithography mask repair by simulation of photoresist thickness evolution
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
US20230168576A1 (en) Full-chip cell critical dimension correction method and method of manufacturing mask using the same
US20230280646A1 (en) Corner rounding method of opc pattern based on deep learning, and opc method and mask manufacturing method including the corner rounding method
TW202332989A (zh) 使用深度神經網路的基於影像的半導體元件圖案化方法
KR20220139736A (ko) Euv 마스크 제조방법

Legal Events

Date Code Title Description
A201 Request for examination