TW202326285A - 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法 - Google Patents

光學鄰近校正方法、光罩製造方法和半導體晶片製造方法 Download PDF

Info

Publication number
TW202326285A
TW202326285A TW111148398A TW111148398A TW202326285A TW 202326285 A TW202326285 A TW 202326285A TW 111148398 A TW111148398 A TW 111148398A TW 111148398 A TW111148398 A TW 111148398A TW 202326285 A TW202326285 A TW 202326285A
Authority
TW
Taiwan
Prior art keywords
edge
pattern
layout pattern
opc
layout
Prior art date
Application number
TW111148398A
Other languages
English (en)
Inventor
李兒潾
梁起豪
鄭芝銀
文晟墉
張準榮
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202326285A publication Critical patent/TW202326285A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

可提供一種用於製造半導體晶片的光學鄰近校正(OPC)方法,所述光學鄰近校正(OPC)方法包括:對初始佈局圖案的邊緣進行偵測且將邊緣之中違反光罩規則的邊緣確定為目標邊緣;在目標邊緣上設定參考控制點(RCP);藉由基於OPC對目標邊緣進行劃分來形成多邊緣;在多邊緣上設定附加控制點;藉由基於RCP及附加控制點將多邊緣轉換成彎曲邊緣來形成經修改佈局圖案;基於經修改佈局圖案實行OPC模擬;基於OPC模擬的結果計算經修改佈局圖案的邊緣放置誤差(EPE)。

Description

光學鄰近校正方法、光罩製造方法和半導體晶片製造方法
本揭露是有關於一種光學鄰近校正(OPC)方法及一種光罩製造方法、及/或使用所述光學鄰近校正(OPC)方法的一種半導體晶片製造方法及一種計算裝置。 [相關申請案的交叉參考]
本申請案主張於2021年12月27日在韓國智慧財產局提出申請的韓國專利申請案第10-2021-0188102號的優先權權益,所述韓國專利申請案的揭露內容全文併入本案供參考。
一般而言,藉由光微影製程(photolithography process)及蝕刻製程形成半導體晶片的圖案。首先,設計出圖案,且在晶圓上形成圖案的佈局以製造半導體晶片。當藉由光微影製程將電路圖案自光罩轉移至晶圓上以在晶圓上形成電路圖案(在下文中,被稱為「經轉移電路圖案」)時,在晶圓上的經轉移電路圖案與光罩上的所設計電路圖案之間可能存在差異。此種差異可能是由於光微影製程中的光學鄰近效應或蝕刻製程中的負載效應。
作為用於將光罩上的電路圖案準確地轉移至晶圓上的方法,使用考慮晶圓上的經轉移電路圖案的變形來對光罩上的電路圖案進行校正的製程鄰近校正(process proximity correction,PPC)技術。製程鄰近校正技術預先對光學鄰近效應及負載效應進行預測及分析且根據分析結果對光罩上的電路圖案的佈局進行校正,且光學鄰近校正(optical proximity correction,OPC)方法主要用於光微影製程中。
OPC方法被劃分為基於模型的OPC及基於規則的OPC。基於模型的OPC是一種藉由將一個模型應用於晶圓上的所有晶片(全晶片)來對光罩的電路圖案進行校正的方法。基於規則的OPC是一種藉由對晶圓上的所有晶片應用單一規則來對光罩的電路圖案進行校正的方法。
一些實例性實施例提供一種用於製造半導體晶片的光學鄰近校正(OPC)方法、一種光罩製造方法、及/或使用所述光學鄰近校正(OPC)方法的一種半導體晶片製造方法、一種計算裝置及類似裝置。
一些實例性實施例提供一種用於在使得光罩上的佈局圖案能夠避免違反光罩規則的同時在半導體晶圓上高度整合裝置的OPC方法、一種光罩製造方法及/或一種半導體晶片製造方法。
一些實例性實施例提供一種用於減少光罩上的佈局圖案的邊緣放置誤差(EPE)的OPC方法、一種光罩製造方法及/或一種半導體晶片製造方法。
根據實例性實施例,一種用於製造半導體晶片的光學鄰近校正(OPC)方法可包括:對初始佈局圖案的邊緣進行偵測且將邊緣之中違反光罩規則的邊緣確定為目標邊緣;在目標邊緣上設定參考控制點;藉由基於參考控制點對目標邊緣進行劃分來形成多邊緣;在多邊緣上設定附加控制點;藉由基於參考控制點及附加控制點將多邊緣轉換成彎曲邊緣來形成經修改佈局圖案;基於經修改佈局圖案實行OPC模擬;基於OPC模擬的結果計算經修改佈局圖案的邊緣放置誤差(EPE);針對所設定的迭代數目而迭代地實行在目標邊緣上移動參考控制點及基於經移動的參考控制點形成多邊緣、設定附加控制點、形成經修改佈局圖案、實行OPC模擬及計算EPE;以及基於EPE計算結果確定最終佈局圖案。
根據實例性實施例,一種製造半導體晶片的方法可包括:設計出針對半導體晶片的佈局;對佈局實行光學鄰近校正(OPC);在實行OPC之後製造光罩;以及使用光罩來製造半導體晶片。光罩可包括與矩形圖案對應的多個佈局圖案,且所述多個佈局圖案中的至少一者可具有由貝塞爾曲線或B樣條曲線形成的邊緣。
根據實例性實施例,一種製造用於半導體晶片的光罩的方法可包括:對佈局實行光學鄰近校正(OPC);在實行OPC之後輸入光罩出帶(MTO)設計資料;在輸入MTO設計資料之後準備光罩資料;在準備光罩資料之後,基於光罩資料使用電子束而對用於光罩的基板實行曝光製程;以及在實行曝光製程之後實行顯影製程、蝕刻製程或清潔製程以形成光罩。實行OPC可包括:將光罩的矩形佈局圖案中違反光罩規則的邊緣確定為目標邊緣;在目標邊緣上設定參考控制點;藉由基於參考控制點對目標邊緣進行劃分來形成多邊緣;在多邊緣上設定附加控制點;以及藉由基於參考控制點及附加控制點將多邊緣轉換成彎曲邊緣來形成經修改佈局圖案。
根據實例性實施例,一種對半導體晶片的佈局實行光學鄰近校正(OPC)的計算裝置可包括:記憶體,被配置成儲存至少一個指令;以及處理器,被配置成執行所述至少一個指令,使得藉由將矩形圖案的邊緣之中的目標邊緣變換成佈局中的多邊緣且基於多邊緣上設定的控制點將多邊緣變換成貝塞爾曲線或B樣條曲線來形成OPC形狀。
在下文中,將參照附圖闡述一些實例性實施例。
圖1是示出根據實例性實施例的實行光學鄰近校正(OPC)的計算系統1000的方塊圖。參照圖1,計算系統1000可包括連接至系統匯流排1001的至少一個處理器1100、工作記憶體1200、輸入/輸出(input/output,I/O)裝置1300及輔助儲存裝置1400。
舉例而言,計算系統1000可被提供為用於產生/校正OPC模型的方法的專用裝置,或者可被提供為用於實行包括所述方法的半導體設計的專用裝置。舉例而言,計算系統1000可包括各種設計及驗證模擬程式。處理器1100、記憶體裝置1200、I/O裝置1300及輔助儲存器1400可藉由系統匯流排1001電性連接且彼此交換資料。然而,系統匯流排1001的配置並不限於以上說明,且可更包括用於高效管理的中介單元。
處理器1100可被實施成執行至少一個指令。舉例而言,處理器1100可被實施成執行欲在計算系統1000中執行的軟體(應用程式、作業系統及裝置驅動程式)。處理器1100可執行被加載至記憶體裝置1200中的作業系統。處理器1100可執行欲基於作業系統進行驅動的各種應用程式。舉例而言,處理器1100可為中央處理單元(central processing unit,CPU)、微處理器、應用處理器(application processor,AP)或與之類似的任何處理裝置。
工作記憶體1200可被實施成儲存至少一個指令。舉例而言,工作記憶體1200可加載有作業系統或應用程式。當計算系統1000被引導(boot)時,可基於引導序列將儲存於輔助儲存器1400中的OS影像加載至工作記憶體1200。計算系統1000的通用I/O操作可由作業系統進行支援。類似地,可將應用程式加載至工作記憶體1200,以由使用者選擇或提供基礎服務。舉例而言,如上所述,可將用於半導體設計的設計工具1210或者用於佈局圖案劃分方法及OPC方法的OPC工具1220自輔助儲存器1400加載至工作記憶體1200。
另外,工作記憶體1200可為:揮發性記憶體,例如動態隨機存取記憶體(dynamic random access memory,DRAM)、靜態隨機存取記憶體(static random access memory,SRAM)或類似揮發性記憶體;或者非揮發性記憶體,例如快閃記憶體、相變隨機存取記憶體(phase change random access memory,PRAM)、電阻式隨機存取記憶體(resistance random access memory,RRAM)、奈米浮動閘極記憶體(nano floating gate memory,NFGM)、聚合物隨機存取記憶體(polymer random access memory,PoRAM)、磁性隨機存取記憶體(magnetic random access memory,MRAM)、鐵電隨機存取記憶體(ferroelectric random access memory,FRAM)或類似非揮發性記憶體。
設計工具1210可實行將特定佈局圖案的形狀及位置改變成與設計規則(design rule,DR)所定義的功能不同的功能。另外,設計工具1210可在經改變的偏置資料條件下實行設計規則檢查(design rule check,DRC)。
OPC工具1220可對佈局圖案實行OPC功能。舉例而言,OPC工具1220可端視條件而將矩形圖案校正為多個OPC形狀中的一者。
根據實例性實施例,OPC工具1220可使用光罩規則檢查(MRC)自矩形佈局圖案之中選擇目標,以對所選擇的目標實行OPC。
I/O裝置1300可控制來自使用者介面裝置的使用者輸入及輸出。舉例而言,I/O裝置1300可包括例如鍵盤、小鍵盤、滑鼠及觸控螢幕等輸入單元,以自設計者接收資訊。使用I/O裝置1300,設計者可接收關於需要經調整操作特性的半導體區或資料路徑的資訊。另外,I/O裝置1300可包括例如列印機或顯示器等輸出單元,以顯示設計工具1210或OPC工具1220的處理製程及結果。
輔助儲存器1400可被提供作為計算系統1000的儲存媒體。輔助儲存器1400可儲存應用程式、OS影像及各種類型的資料。輔助儲存器1400可以例如以下大容量儲存裝置的形式提供:記憶卡(多媒體卡(multi media card,MMC)、嵌入式多媒體卡(embedded MMC,eMMC)、安全數位(Secure Digital,SD)、微型SD(Micro SD)或類似記憶卡)、硬磁碟驅動機(hard disk drive,HDD)、固態驅動機(solid state drive,SSD)、通用快閃存儲(universal flash storage,UFS)或類似大容量儲存裝置。
當設計佈局圖案時,裝置的操作特性由設計規則(DR)決定。在設計規則中,基本上定義了針對裝置之間的間隙、導電圖案的最小特徵大小以及擴展區或區域的項。
舉例而言,可減小設計規則中所定義的佈局圖案之間的間隙,以減小半導體晶片的大小。可實行曝光製程以在半導體晶圓上形成佈局圖案。可基於佈局圖案實行曝光製程。舉例而言,即使在佈局圖案之間,亦可期望確保光罩規則(mask rule,MR)中所定義的最小間隙,以減輕或防止佈局圖案的缺陷。
當在佈局圖案之間未確保光罩規則中所定義的間隙時,可將佈局圖案確定為違反光罩規則。可考慮一種對佈局圖案中與另一佈局圖案相鄰的隅角部分進行切割以減輕或防止佈局圖案違反光罩規則的方法。然而,根據以上提及的方法,可減小佈局圖案的總面積,且在晶圓曝光製程期間,晶圓可能不會藉由佈局圖案接收足夠量的光。因此,形成於晶圓上的轉移電路圖案可能不具有足夠的目標圖案大小,進而導致邊緣放置誤差(edge placement error,EPE)。另外,可考慮一種以不具有隅角的圓形形狀共同形成佈局圖案以減輕或防止佈局圖案違反光罩規則的方法。然而,當使用由上述方法形成的佈局圖案對晶圓實行曝光製程時,轉移電路圖案可能在晶圓上被形成為彼此靠近,進而引起轉移電路圖案之間的橋接。
在根據實例性實施例的OPC方法中,可對違反光罩規則的佈局圖案進行偵測,且可使用例如貝塞爾曲線或B樣條曲線等多階函數曲線將所偵測的佈局圖案中違反光罩規則的邊緣修改為彎曲邊緣。根據實例性實施例,可將違反佈局圖案中的光罩規則的邊緣修改為彎曲邊緣,以避免違反佈局圖案的光罩規則且減輕EPE。
圖2是示出根據實例性實施例的製造半導體晶片的方法的流程圖。
參照圖2,製造半導體晶片的方法可包括設計出半導體晶片的設計佈局的操作S10、對設計佈局實行OPC及位置校正的操作S20、使用經校正的設計佈局製造光罩的操作S30以及使用光罩製造半導體晶片的操作S40。
在設計出半導體晶片的設計佈局的操作S10中,可自半導體製造設施的主機電腦或伺服器提供與欲在晶圓上形成的半導體晶片的電路圖案對應的設計佈局。舉例而言,佈局是用於針對欲轉移至晶圓上的半導體晶片設計的電路的實體指示,且可包括多個圖案。舉例而言,設計佈局可被提供為構成來自電腦輔助設計(computer aided design,CAD)系統的設計佈局的圖案的輪廓的坐標值。舉例而言,圖案可包括其中迭代相同形狀的多個圖案,且圖案可以例如三角形及四邊緣形等多邊形的組合的形式提供。
在對設計佈局實行OPC及位置校正的操作S20中可包括分別作為獨立操作實行的OPC操作與位置校正操作。OPC是指藉由利用反映由光學鄰近效應(optical proximity effect,OPE)引起的誤差來改變包括於設計佈局中的圖案而實行的校正。隨著圖案變得更精細,在曝光製程期間,可能由於鄰近圖案之間的影響而發生光學鄰近現象。
因此,可藉由實行用於對設計佈局進行校正的OPC來抑制光學鄰近效應。舉例而言,OPC可包括:對構成設計佈局的圖案的整體大小進行擴展;以及對隅角部分進行處理。舉例而言,OPC可包括將每一圖案的隅角移動/移位或者添加附加的多邊形。藉由OPC,可對由於曝光期間產生的光的繞射及干涉導致的圖案的畸變現象進行校正且可對由圖案密度引起的誤差進行校正。在OPC操作之後,可進一步實行OPC校正驗證操作。
位置校正可包括考慮物理變形及其中圖案將被對準的下部結構的改變而將經光學鄰近校正的圖案的位置移動/移位。由於半導體晶片的製造製程期間的因素,下部結構會發生變形。下部結構的變形可能引起漸進的不對準(其中下部結構的圖案的實際圖案位置自原始佈局改變)。位置校正可僅移動/改變位置,而不改變經光學鄰近校正圖案的形狀。
可將藉由OPC及位置校正而校正的最終設計佈局資料傳輸至曝光設施,以用於製造用於微影製程的光罩(例如光光罩及電子束光罩)。使用經校正設計佈局製造光罩的操作S30可為基於經校正設計佈局資料製造光罩的操作。可藉由使用經校正設計佈局資料而對用於光罩的基板實行曝光製程來製造光罩。在曝光製程之後,例如,可藉由進一步實行例如顯影、蝕刻、清潔及烘焙等一系列製程來形成光罩。在實例性實施例中,在對經校正設計佈局資料進行傳輸之前,可進一步對經校正設計佈局資料實行驗證操作。
使用光罩製造半導體晶片的操作S40可包括使用光罩實行微影製程。半導體晶片可包括或者可為:揮發性記憶體,例如動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SRAM)或類似揮發性記憶體;或者非揮發性記憶體,例如快閃記憶體,及/或可包括或者可為邏輯半導體裝置,例如微處理器,例如中央處理單元(CPU)、控制器或者應用專用積體電路(application specific integrated circuit,ASIC)。舉例而言,可藉由實行在包括第一迭代圖案的下部結構上形成第二迭代圖案的製程來製造半導體晶片。可藉由利用光罩以高準確度與第一迭代圖案對準來形成第二迭代圖案。除了微影製程之外,亦可藉由進一步實行沈積製程、蝕刻製程、離子製程、清潔製程及類似製程來最終製造半導體晶片。
一般而言,OPC方法可為如下方法:藉由對圖案的佈局進行校正來抑制光學鄰近效應(OPE)的發生,以克服在曝光製程期間由於圖案被微型化時鄰近圖案之間的影響而發生的OPE。此種OPC方法可劃分為兩種類型。一種是基於規則的OPC方法,且另一種是基於模擬的OPC方法或基於模型的OPC方法。一些實例性實施例的OPC方法可為例如基於模型的OPC方法。基於模型的OPC方法在時間及成本方面可能是有利的,此乃因它僅使用代表性圖案的量測結果,而不對所有或大量的測試圖案進行量測。
當光罩資料被輸入至OPC模型中時,可藉由模擬來預測欲被轉移至晶圓的轉移電路圖案的輪廓。OPC模型是用於預測轉移電路圖案的輪廓的模擬模型,且可將各種類型的基礎資料作為輸入資料輸入至OPC模型。基礎資料可包括針對片段的光罩資料。另外,基礎資料可包括例如光阻(photoresist,PR)的厚度、折射率及介電常數等資訊資料,且可包括針對照明系統的形狀的源圖的資料。基礎資料並不限於上述實例。光罩資料不僅可包括片段資料,亦可包括例如圖案的形狀、圖案的位置、圖案的量測值的類型(針對空間或線的量測值)及基礎量測值等資料。
圖3是示出圖2中所示的OPC方法的流程圖。
參照圖3,根據本實例性實施例的OPC方法可自針對光罩上的圖案的佈局對邊緣進行提取的操作S21開始。光罩上的圖案可指可藉由曝光製程轉移至例如晶圓等基板上以在基板上形成目標圖案的圖案。舉例而言,就曝光製程的特性而言,基板上的目標圖案的形狀可不同於光罩上的圖案的形狀。另外,由於光罩上的圖案被縮小投影並轉移至基板上,因此光罩上的圖案可具有較基板上的目標圖案大的大小。
光罩上的圖案的佈局可具有1D形狀或2D形狀。1D形狀可不是指不具有區域的線,而是指在一個方向上延伸的形狀,例如線及空間圖案。舉例而言,1D形狀可為在一方向上延伸的圖案的形狀。例如在平面圖中,2D形狀可為以二維方式延伸的圖案的形狀。邊緣可與環繞圖案的佈局的外部區域/邊緣的線對應。根據實例性實施例,邊緣可與環繞圖案的佈局之間的空間的線對應。在某些實例性實施例中,邊緣可與環繞圖案的佈局的外部部分的線及環繞空間的線二者對應。
接下來,藉由對所提取的邊緣應用邊緣濾波器來產生光學影像(S22)。光學影像可為在光已穿過光罩之後立即在光罩的後表面上計算的光罩近場影像。邊緣濾波器是能夠產生與邊緣對應的一部分的光學影像的濾波器,且邊緣濾波器的值可端視邊緣的位置及特性而變化。可應用與邊緣中的每一者對應的邊緣濾波器來產生與邊緣中的每一者對應的光學影像。
在下文中,將更詳細地闡述光罩上的圖案的光學影像的產生。為了克服最近的圖案化限制並確保製程裕度,光罩上的圖案的形狀自正交形狀擴展成包括曲線形狀。另外,已積極進行針對此種曲線圖案的OPC方法的研究。舉例而言,相較於曝光波長,光罩上的圖案可具有不可忽略的厚度。因此,對於精確的OPC,考慮光罩形貌效應(例如,光罩3D效應)來計算光學影像是有益的。為了對大面積光罩實行OPC,應快速實行光學影像的計算,以在短時間內完成整個大面積光罩之上的OPC(例如為了成本有效性的目的)。因此,使用耗費大量時間來計算光學影像的嚴格模擬方法可能是不合適的。此處,嚴格模擬方法可為例如電磁場模擬方法,例如嚴格耦合波分析(rigorous coupled-wave analysis,RCWA)或有限差分時域(finite difference time domain,FDTD)模擬。在此種嚴格模擬方法的情形中,可獲得準確反映光罩上圖案的形狀的光罩近場影像。
因此,使用緊湊的模擬模型來計算光罩的光學影像,且最常用的方法是域分解方法(domain decomposition method,DDM)。DDM是一種將光罩上的圖案劃分成域並使用邊緣濾波器近似(edge filter approximation)獲得每一域的光學影像的方法。
舉例而言,DDM是以下方法:預先在濾波器庫中儲存藉由FDTD模擬而針對圖案的每一寬度/空間計算的邊緣濾波器值且自濾波器庫擷取與每一邊緣對應的邊緣濾波器值以使用它來計算每一圖案的光學影像,藉此計算與藉由嚴格模擬計算的光學影像實質上類似的光學影像。
因此,DDM可產生對光罩的3D效果進行有效校正的光學影像。使用邊緣濾波器近似的光學影像可包括基於薄光罩近似(thin mask approximation,TMA)的第一光學影像及使用邊緣濾波器的第二光學影像。舉例而言,可藉由對第一光學影像與第二光學影像進行組合來產生對應圖案的最終光學影像。作為參照,TMA可為基爾霍夫近似(Kirchhoff approximation)且可為基於以下假設計算的近場影像:光罩的厚度薄到幾乎不存在,並且穿孔部分容許光完全從中穿過且阻擋部分完全阻擋光。
OPC方法可藉由應用與曲線的邊緣的一部分對應的任意角度濾光器來產生光學影像,且因此可產生其中光罩3D效應被有效校正的光學影像。可藉由基於光學影像產生OPC模型且使用OPC模型實行模擬來獲得針對光罩的最佳設計資料。此外,基於針對使用OPC方法獲得的光罩的最佳設計資料,實例性實施例的OPC方法使得能夠製造可靠的高品質光罩,藉此顯著有助於最終使用用於產品的圖案化的光罩來改善產品的效能及可靠性。
根據實例性實施例的OPC方法可在實行OPC時對違反光罩規則的佈局圖案進行偵測且可使用例如貝塞爾曲線或B樣條等多階函數曲線將所偵測的佈局圖案中違反光罩規則的邊緣修改為不違反光罩規則的彎曲邊緣。
在產生光學影像之後,基於光學影像產生OPC模型(S23)。在產生光學OPC模型之後,產生用於PR的OPC模型。產生用於PR的OPC模型可包括對PR的臨限值進行最佳化。PR的臨限值可為在曝光製程期間PR的層中發生化學改變的臨限值,且例如,臨限值可作為曝光光的強度給出。產生用於PR的OPC模型亦可包括自幾個PR模型形式選擇合適的模型形式。光學OPC模型與用於PR的OPC模型被統稱為OPC模型。因此,產生光學OPC模型的製程與產生用於PR的OPC模型的製程的組合可被稱為產生OPC模型的製程,例如,OPC建模製程。在下文中,OPC模型被用作光學OPC模型與用於PR的OPC模型的組合的概念。
此後,藉由使用OPC模型的模擬獲得針對光罩的設計資料(S24)。在產生OPC模型之後,對OPC模型進行驗證。可藉由邊緣放置誤差(EPE)檢查或類似方法來實行對OPC模型的驗證。當OPC模型滿足規範時,可完成OPC模型驗證且可選擇對應的OPC模型作為用於模擬的OPC模型。當OPC模型不滿足設定規範時,再次實行產生OPC模型的製程,例如,產生光學OPC模型或用於PR的OPC模型的製程。
在OPC模型被驗證之後,使用對應的OPC模型來實行模擬。可藉由使用OPC模型的模擬來獲得接近實際量測值的光罩的設計資料。可將藉由模擬獲得的光罩的設計資料作為光罩出帶(mask tape-out,MTO)設計資料傳輸至光罩製造團隊,以用於後續的光罩製造。
如上所述,產生光學影像、產生OPC模型及獲取設計資料的製程被稱為OPC方法。根據實例性實施例的OPC方法可具有針對矩形圖案的多個OPC形狀。
圖4A及圖4B是示出根據與實例性實施例不同的比較例的OPC方法的視圖。
半導體設計佈局可包括多個佈局圖案。圖4A示出多個佈局圖案之中在對角線方向上彼此相鄰的兩個佈局圖案。
如參照圖3所述,在曝光製程的特性方面,基板上的目標圖案的形狀與光罩上的佈局圖案的形狀可彼此不同。舉例而言,光罩上的佈局圖案可具有較基板上的目標圖案的大小大的大小。另外,基板上的曝光圖案被蝕刻(清潔後檢驗(after cleaning inspection,ACI))之後的圖案可具有較目標圖案大小小的大小。圖4A示出兩個相鄰佈局圖案,目標圖案及ACI圖案。
可設計出佈局圖案,使得由佈局圖案產生的ACI圖案可滿足期望的(或者作為另外一種選擇預定的)設計規則。隨著半導體趨向於高度整合,設計規則所要求的ACI圖案之間的間隙DR亦趨向於減小。
在滿足設計規則的同時,佈局圖案不應違反光罩規則。圖4A示出其中在對角線方向上彼此相鄰的佈局圖案的隅角部分中的佈局圖案之間的間隙401違反光罩規則的情形。
圖4B是圖4A中所示的佈局圖案的部分「A」的放大視圖。圖4B是示出基於根據比較例的OPC方法修改佈局圖案的方法的圖。
在根據比較例的OPC方法中,當佈局圖案之間的間隙401違反光罩規則時,可對違反光罩規則的隅角部分進行切割以形成經修改佈局圖案。在圖4B中,具有經切割隅角部分的經修改佈局圖案由雙點劃線(two-dot chain line)示出。另外,示出經修改佈局圖案之間的間隙402,以不違反光罩規則。
當使用根據比較例的OPC方法形成經修改佈局圖案時,由於佈局圖案的隅角部分被切割,因此可減小佈局圖案的面積。當佈局圖案的面積被減小時,在晶圓的曝光製程中藉由佈局圖案到達晶圓的光的強度減小,使得形成於晶圓上的轉移電路圖案的輪廓可能達不到目標圖案的大小。
圖5是示出藉由根據實例性實施例的OPC方法形成的佈局圖案的示意圖。
根據實例性實施例,當相鄰佈局圖案之間的間隙違反光罩規則時,可將佈局圖案中違反光罩規則的邊緣修改為彎曲邊緣,以避免違反光罩規則。圖5中由雙點劃線代表的區表示根據實例性實施例進行修改的佈局圖案。
在下文中,將參照圖6A至圖13詳細闡述根據實例性實施例的OPC方法。
圖6A至圖6C是示出根據實例性實施例的OPC方法的詳細視圖。
參照圖6A,可自相鄰的初始佈局圖案偵測具有處於由光罩規則定義的間隙內的間隙的一對邊緣。
圖6A示出在實行在OPC之前自設計佈局形成的初始佈局圖案之中在對角線方向上彼此相鄰佈局圖案。初始佈局圖案可具有矩形形狀,且可包括邊緣及隅角。
可對初始佈局圖案實行標記規則檢查(mark rule check,MRC),以對具有處於光罩規則中所定義的間隙內(或小於所定義的間隙)的間隙的所述一對邊緣進行偵測。舉例而言,可實行MRC來檢查另一佈局圖案是否設置於距具有多邊形形狀的初始佈局圖案的隅角期望的(或者作為另外一種選擇預定的)半徑內。圖6A示出其中相鄰佈局圖案被佈置於距某個佈局圖案的隅角期望的(或者作為另外一種選擇預定的)半徑內的情形。當相鄰佈局圖案被佈置於距隅角期望的(或者作為另外一種選擇預定的)半徑內時,可將對應的佈局圖案確定為違反光罩規則。另外,在違反光罩規則的佈局圖案的邊緣之中,可對彼此面對且具有處於期望的(或者作為另外一種選擇預定的)間隙內的間隙601的一對邊緣602a及602b進行偵測。換言之,當初始佈局圖案(例如,初始佈局圖案的隅角)處於距相鄰佈局圖案的隅角光罩規則中所定義的距離內時,將違反光罩規則的邊緣確定為目標邊緣。
參照圖6B,可將所偵測的所述一對邊緣的每一邊緣修改為多邊緣。
圖6B示出藉由對初始佈局圖案中的一對邊緣中的每一者進行修改而形成的多邊緣。
可在所述一對邊緣中的每一者上形成參考控制點,且可基於參考控制點對每一邊緣進行劃分且然後將每一邊轉換成多邊緣。舉例而言,圖6B示出基於在每一邊緣上產生的參考控制點603a及603b劃分的第一邊緣604a及604b、以及基於參考控制點603a及603b劃分的第一邊緣604a及604b以及第二邊緣605a及605b。圖6B示出其中參考控制點被設定於每一邊緣的中點處的情形。然而,實例性實施例並不限於此,且參考控制點可在每一邊緣上自由移動。
在基於參考控制點劃分的邊緣之中,初始佈局圖案的相對遠離相鄰佈局圖案的邊緣被稱為第一邊緣,且初始佈局圖案的相對靠近相鄰佈局圖案的邊緣被稱為第二邊緣。在相鄰佈局圖案中,可藉由添加與第一邊緣604a及604b接觸的矩形圖案606a及606b且切割與第二邊緣605a及605b接觸的正方形圖案607a及607b而將所述一對邊緣中的每一者轉換成多邊緣。在圖6B中,多邊緣由實線代表。可自由改變所添加的正方形圖案606a及606b以及所切割的矩形圖案607a及607b的大小。
參照圖6C,可將多邊緣轉換成彎曲邊緣。
在圖6C中,由雙點劃線示出包括藉由對構成一對的多邊緣的相應邊緣進行變換而產生的彎曲邊緣的經修改佈局圖案。
可在多邊緣的每一邊緣上形成多個附加控制點,以基於多邊緣的每一邊緣形成彎曲邊緣。可基於多邊緣的每一邊緣的參考控制點及附加控制點來形成彎曲邊緣608a及608b。舉例而言,曲線邊緣可與基於控制點的貝塞爾曲線或B樣條曲線對應。
根據實例性實施例,在初始佈局圖案中,可減小被設置成靠近相鄰佈局圖案的第二邊緣部分的面積。因此,可增大經修改佈局圖案之間的間隙609,且相鄰佈局圖案可滿足光罩規則。
另外,在初始佈局圖案中,可增大相對遠離相鄰佈局圖案的第一邊緣部分的面積。因此,可維持佈局圖案的整個面積,且足以形成適於目標圖案的大小的轉移電路圖案的光量可藉由佈局圖案到達晶圓。舉例而言,可減小代表目標圖案的大小與轉移電路圖案的輪廓的大小之間的差異的EPE的大小。
圖7是示出根據實例性實施例的OPC方法的實例的流程圖。
可基於設計佈局確定初始佈局圖案(S210)。可對初始佈局圖案實行MRC,以對違反光罩規則的一對邊緣進行偵測(S220)。可在所述一對邊緣中的每一者上設定參考控制點(S230)。可基於參考控制點對每一邊緣進行劃分,且可基於經劃分的邊緣形成多邊緣(S240)。已參照圖6B詳細闡述形成多邊緣的方法。可在多邊緣上設定附加控制點(S250)。可基於參考控制點及附加控制點形成彎曲邊緣(S260)。可實行使用具有經修改彎曲邊緣的經修改佈局圖案的OPC模擬,且可基於模擬的結果計算EPE(S270)。
當操作S230至S270的迭代數目未達到設定的迭代數目的末尾(S280的「否」)時,可迭代地實行操作S230至S270。舉例而言,每當迭代地實行操作S230至S270時,可改變參考控制點的位置,可改變多邊緣的形狀,或者可改變用於產生彎曲邊緣的附加控制點的數目或位置。只要不違反光罩規則,便可產生各種類型的經修改佈局圖案,且可計算經修改佈局圖案的EPE。
當操作S230至S270的迭代數目達到所設定的迭代數目的末尾(S280的「是」)時,可將各種類型的經修改佈局圖案之中具有最小EPE的佈局圖案確定為最終佈局圖案,且可對最終佈局圖案實行光罩資料準備(mask data preparation,MDP)(S290)。換言之,可迭代地實行OPC以產生多個經修改佈局圖案,且可將具有最小EPE的特定經修改佈局圖案確定為最終佈局圖案EPE
圖8A及圖8B是示出根據實例性實施例的矩形佈局圖案與經修改佈局圖案之間的比較的視圖。
圖8A示出矩形佈局圖案及由佈局圖案形成的ACI圖案。在圖8A所示實例中,可設置在對角線方向上彼此相鄰佈局圖案,以不違反光罩規則。當佈局圖案被設置為不違反光罩規則時,可能難以減小在對角線方向設置的ACI圖案之間的間隙DR。
圖8B示出根據實例性實施例的經修改佈局圖案及由佈局圖案形成的ACI圖案。根據實例性實施例,所述一對相鄰邊緣中的每一者被轉換成彎曲邊緣,使得經修改佈局圖案可具有如下形狀:在所述形狀中,被設置成相對靠近相鄰佈局的一部分被減小且被設置成相對遠離相鄰佈局的一部分被擴展。當將圖8B與圖8A相互比較時,由於每一ACI圖案的隅角與佈局圖案的邊緣之間的間隙可減小,因此在對角線方向上設置的ACI圖案可被設置得更靠近佈局圖案的邊緣。因此,在設計規則中,可減小對角線方向上的ACI圖案的間隙,且半導體裝置可高度整合於晶圓上。
圖9A及圖9C是示出藉由根據比較例的佈局圖案在晶圓上形成的圖案的輪廓與藉由根據實例性實施例的佈局圖案在晶圓上形成的圖案的輪廓之間的比較的視圖。
圖9A示出可由參照圖4B闡述的根據比較例的佈局圖案、目標圖案及佈局圖案形成的轉移電路圖案的輪廓。圖9B示出可由根據實例性實施例的佈局圖案、目標圖案及轉移電路圖案形成的轉移電路圖案的輪廓。在圖9A及圖9B中,可藉由模擬來預測輪廓中的每一者。
圖9C是由圖9A及圖9B的虛線示出的交疊區的放大視圖,以對由根據比較例及實例性實施例的佈局圖案形成的轉移電路圖案的輪廓進行比較。
參照圖9C,根據比較例的輪廓的大小可能達不到目標圖案的大小。根據比較例,藉由對佈局圖案的一部分進行切割來減小佈局圖案的面積,使得在晶圓的曝光製程期間可藉由佈局圖案到達晶圓的光量不足。圖9C示出根據比較例的輪廓的EPE的大小。
同時,根據實例性實施例,可在佈局圖案中擴展被設置成相對遠離相鄰佈局圖案的一部分,以維持佈局圖案的整個面積,使得足夠量的光可到達晶圓且輪廓可被形成為具有與目標圖案的大小相適應的大小。舉例而言,可減小根據實例性實施例形成的佈局圖案的EPE的大小。
圖10A及圖10B是根據比較例及實例性實施例的光罩佈局圖案的影像。
圖10A是其中如參照圖4B所述對矩形佈局圖案的一些隅角進行切割的佈局圖案的影像,且圖10B是根據實例性實施例的其中一對邊緣被修改為彎曲邊緣的佈局圖案的影像。圖10A及圖10B的佈局圖案可為用於形成具有70奈米的水平長度的目標圖案的佈局圖案。
圖11A及圖11B是分別示出基於根據比較例的佈局圖案在晶圓上形成的轉移電路圖案及基於根據實例性實施例的佈局圖案在晶圓上形成的轉移電路圖案的影像。
圖11A示出由根據圖10A所示比較例的佈局圖案形成的轉移電路圖案。參照圖11A,根據比較例的在晶圓上形成的轉移電路圖案的水平長度可為66.97奈米。根據比較例的轉移電路圖案的水平長度可較目標圖案的70奈米小約3奈米。舉例而言,根據比較例的顯影後檢驗(After Development Inspection,ADI)圖案中的EPE的大小可為約3奈米。
圖11B示出由根據圖10B所示實例性實施例的佈局圖案形成的轉移電路圖案。參照圖11B,根據實例性實施例形成的轉移電路圖案的水平長度可為69.33奈米。舉例而言,根據實例性實施例的轉移電路圖案中的EPE的大小可為約0.7奈米。根據實例性實施例,相較於比較例而言EPE的大小可減小,且轉移電路圖案的大小可接近目標圖案的大小。
圖12A及圖12B是分別示出基於根據比較例的佈局圖案在晶圓上形成的圖案及基於根據實例性實施例的佈局圖案在晶圓上形成的圖案的影像。
圖12A是基於根據參照圖4B闡述的比較例的佈局圖案形成的ADI圖案的放大視圖。根據比較例,在晶圓的曝光製程期間,可能不會自佈局圖案的經切割隅角部分接收足夠量的光。由於可能無法自特定的隅角部分接收足夠量的光,因此轉移電路圖案的大小可能達不到目標圖案的大小,且轉移電路圖案可能發生變形。參照圖12A,轉移電路圖案的輪廓的中心軸線可相對於目標圖案的中心軸線偏離約3奈米。
圖12B是基於根據實例性實施例的經修改佈局圖案形成的轉移電路圖案的放大視圖。根據實例性實施例,在晶圓的曝光製程期間,可自佈局圖案的整個彎曲邊緣部分接收足夠量的光。參照圖12B,轉移電路圖案中在垂直方向上具有最大長度的中心軸線可被設置成在0.5奈米內靠近目標圖案的中心軸線。參照圖12B,由於在彎曲邊緣的相對擴展的部分中接收大量的光,因此轉移電路圖案的輪廓可能不是完全對稱的,但轉移電路圖案的輪廓可能不會偏離目標圖案。因此,根據實例性實施例,轉移電路圖案的大小及位置可接近目標圖案的大小及位置。
圖13是用於將基於根據比較例的佈局圖案的EPE的大小的減小程度與基於根據實例性實施例的佈局圖案的EPE的大小的減小程度進行比較的曲線圖。
圖13是示出其中在半導體晶片的佈局圖案之中,提取違反光罩規則的多個佈局圖案樣本且針對佈局圖案樣本中的每一者產生根據比較例的經修改佈局的情形中、以及在其中產生根據實例性實施例的經修改佈局的情形中的EPE比較結果的圖。
參照圖13,根據比較例的經修改佈局的EPE平均可為-1.61奈米。另一方面,根據實例性實施例的經修改佈局的EPE平均可為-0.74奈米。舉例而言,相較於根據比較例的經修改佈局的EPE的大小「1.61奈米」,根據實例性實施例的經修改佈局的EPE的大小「0.74奈米」可改善54%。
在根據參照圖5至圖13闡述的實例性實施例的OPC方法中,可在佈局圖案中對違反光罩規則的目標邊緣進行偵測,可藉由基於參考控制點對目標邊緣進行劃分來形成多晶片,可在多邊緣上設定附加控制點,且可基於附加控制點將多邊緣轉換成多階函數彎曲邊緣,以形成經修改佈局圖案。
在經修改佈局圖案中,在初始佈局圖案中,相對靠近相鄰佈局圖案的一部分可收縮,而相對遠離相鄰佈局圖案的一部分可擴展。因此,經修改佈局圖案可滿足與相鄰佈局圖案相關的光罩規則。另外,可維持經修改佈局圖案的整個面積,使得可使用經修改佈局圖案形成具有與目標圖案的大小匹配的大小的轉移電路圖案。
因此,可減小轉移電路圖案的EPE的大小,且可減小對在對角線方向上彼此相鄰的ACI圖案之間的間隙進行定義的光罩規則。因此,半導體晶片可在於晶圓上形成具有準確大小及位置的圖案的同時高度整合。
在根據本發明概念的實施例的OPC方法中,在首先基於狹縫的中心產生用於預測CD資料的模型之後,可將指示晶圓中實際出現的每一狹縫位置的強度的變跡表應用於OPC模型。此後,在一些實例性實施例的OPC方法中,藉由對應的變跡錶針對每一狹縫位置對強度進行校正。
圖14是示出根據本發明概念實例性實施例的產生OPC模型的製程的概念圖。參照圖14,對於狹縫SLT1至SLTm(其中m是2或大於2的整數),可使用與透射交叉係數(transmission cross coefficient,TCC)(TCC1至TCCm)對應的變跡表(AT1至ATm)來生成OPC模型。在實例性實施例中,TCC可為對OPC方法中使用的內核進行計算的轉移函數。TCC可包括關於光源及瞳孔的資訊。
變跡表AT1至ATm可包括根據狹縫的位置的變跡值。在實例性實施例中,變跡值可為根據裝備對每一狹縫位置量測的值。在另一實例性實施例中,變跡值可為使用針對每一狹縫位置量測的值的趨勢圖的值。
一般而言,隨著圖案被微型化,在曝光製程期間,光學鄰近效應(OPE)由於鄰近圖案之間的影響而增大/發生。根據OPC方法,可藉由對轉移圖案的光罩上的圖案佈局進行校正來抑制OPE的發生。
圖15是示出根據另一實例性實施例的OPC方法的流程圖。參照圖14及圖15,OPC方法可包括對在EUV曝光製程中使用的光罩上的圖案佈局進行校正的方法。
可針對每一狹縫區對透射交叉係數(TCC)進行劃分(S310)。由於EUV曝光製程使用小於22奈米的波長(例如13.5奈米的短波長),因此繞射現象不大。因此,相較於使用193奈米的傳統深紫外(Deep Ultraviolet,DUV)曝光製程中的OPC,OPC對繞射現象的比例可能不大。另一方面,由於光罩圖案的厚度引起的例如鏡像(mirror)或陰影效應等缺陷,因此EUV曝光製程可能引起照明效應(flare effect)。反映此種照明效應或陰影效應的OPC方法對於EUV曝光製程是有益的。舉例而言,照明效應是由端視鏡子的表面粗糙度而定的光散射引起。由於散射與波長的平方成反比,因此在波長較短的EUV中,照明效應可能更明顯。在EUV曝光製程中,關於自法線以6度入射的光可能引起以下問題。舉例而言,在DUV曝光製程中,狹縫具有矩形結構,但在EUV曝光製程中,狹縫可具有圓弧結構,圓弧結構具有期望的(或者作為另外一種選擇預定的)曲率。當與法線成6度入射的光穿過弧形結構的彎曲狹縫時,其方位角可端視狹縫的位置而變化。因此,穿過狹縫的光的強度及相位可端視狹縫的位置而變化。此可能引起CD誤差。端視狹縫位置的CD誤差的出現可能引起TCC中的誤差,且因此可能引起OPC方法或OPC模型中的誤差。
傳統上,由於DUV曝光製程中使用的狹縫具有矩形線性結構,因此穿過狹縫的光的方位角未改變,且端視狹縫的位置的像差可能很少發生。因此,即使當藉由僅計算狹縫的中心區的TCC且在整個區中反映相同的TCC來實行OPC時,亦不存在問題。然而,在EUV曝光製程的情形中,由於使用具有弧形結構的彎曲狹縫,TCC端視狹縫的位置而變化。當如在傳統的DUV曝光製程中那般藉由僅計算狹縫的中心區的TCC來實行OPC方法時,可能不會產生準確的OPC模型。此種不準確的OPC模型可能引起EUV光罩圖案中的缺陷,且因此可製造大量有缺陷的晶片,以降低整個半導體製程的良率。
在EUV曝光製程中,當僅使用中央TCC實行OPC而不管彎曲的狹縫形狀時,在與狹縫的邊緣對應的一部分中的EUV光罩圖案中及在與狹縫的邊緣對應的晶片中可出現誤差。由狹縫結構引起的誤差被稱為狹縫誤差或掃描器誤差。因此,在OPC方法中,可藉由對狹縫的每一區域的TCC進行劃分來計算TCC,而非僅在狹縫的中心處計算TCC。
光罩圖案的輪廓可由輪廓函數的輪廓來確定。輪廓函數可由影像強度的卷積積分及高斯函數來表達。藉由計算TCC的內核,可對影像強度進行計算以產生用於光罩圖案輪廓的OPC模型。對TCC進行劃分可包括藉由將狹縫劃分成區來計算狹縫的每一區的TCC的製程。在實例性實施例中,端視狹縫的坐標而定,可使用像差、相位、強度、極性及變跡值中的至少一者來對TCC進行劃分。
在針對每一狹縫區對TCC進行劃分之後,可藉由反映經劃分的TCC來產生OPC模型(S320)。在狹縫的每一區中反映狹縫的每一區的TCC,以產生針對狹縫的每一區的OPC模型。由於CD端視狹縫的位置而存在,因此狹縫的每一區的TCC可能不同。因此,狹縫的每一區的OPC模型亦可變化。
在產生OPC模型之後,可實行OPC(S330)。可藉由參照圖1至圖13闡述的OPC方法來實行OPC。根據實例性實施例,可在佈局圖案中對違反光罩規則的目標邊緣進行偵測,可藉由基於參考控制點對目標邊緣進行劃分來形成多邊緣,可在多邊緣上設定附加控制點,且可基於附加控制點將多邊緣轉換成多階函數彎曲邊緣,以形成經修改佈局圖案。
在實例性實施例中,可使用變跡表AT1至ATM來實行與狹縫SLT1至SLTm中的每一者對應的OPC校正。在對OPC進行校正之後,可產生OPC驗證模型(S340)。OPC驗證模型可為對OPC進行校正的結果。在產生OPC驗證模型之後,可實行OPC驗證(S350)。OPC驗證可基於OPC驗證模型實行模擬。此種OPC驗證可包括檢查藉由模擬獲得的光罩圖案是否與目標光罩圖案匹配的製程。
OPC驗證可為藉由圖案的模擬輪廓驗證OPC校正是否已被正確實行。舉例而言,當經過OPC驗證模型的模擬輪廓處於誤差容限內時,可完成OPC方法,且可實行光罩出帶(MTO)操作。當經過OPC驗證的模擬輪廓處於誤差容限之外時,藉由例如模型調整、OPC配方及偏置等參數校正來重新校正OPC,且藉由創建OPC驗證模型來再次實行OPC驗證。MOT可包括藉由移交完成OPC方法的光罩設計資料來請求光罩生成。因此,在上面完成OPC方法的光罩設計資料可被稱為MTO設計資料。
在根據實例性實施例的OPC方法中,可針對每一狹縫區對TCC進行劃分,可藉由反映經劃分的TCC來產生OPC模型,且根據變跡值來實行OPC校正,藉此製造對由狹縫效應引起的與狹縫邊緣對應的一部分的圖案誤差進行校正的EUV光罩。另外,由於藉由EUV光罩實行曝光製程,因此可防止/減少與狹縫邊緣對應的所述部分中的晶片缺陷。可改善EUV曝光製程中的分散且可顯著改善良率。
圖16是示出根據本發明概念實例性實施例的製造EUV光罩的方法的製程的流程圖。參照圖16,實行OPC(S410)。可針對狹縫的每一區對TCC進行劃分,可藉由反映所劃分的TCC來產生OPC模型,且可基於變跡表及OPC模型來實行OPC。然後,可產生OPC驗證模型,以附加地實行一系列製程(例如OPC驗證)。
在一些實例性實施例中實行OPC可包括:在佈局圖案中對違反光罩規則的目標邊緣進行偵測;藉由基於參考控制點對目標邊緣進行劃分來形成多邊緣;在多邊緣上設定附加控制點;以及基於附加控制點將多邊緣轉換成多階函數彎曲邊緣,以產生經修改佈局圖案。
在實例性實施例中,可針對每一狹縫區對透射交叉係數(TCC)進行劃分。在實例性實施例中,可設定指示每一狹縫位置的束強度的變跡值。
如上所述,在實行OPC之後,輸入MTO設計資料(S420)。舉例而言,MTO可包括藉由移交上面已完成OPC操作的光罩設計資料來請求光罩製造。因此,MTO設計資料可被認為是上面已完成OPC操作的光罩設計資料。MTO設計資料可具有在電子設計自動化(electronic design automation,EDA)軟體或類似軟體中使用的圖形資料格式。舉例而言,MTO設計資料可具有例如圖形資料系統(graphic data system,GDS2)、開放藝術品系統交換標準(open artwork system interchange standard,ASIS)或類似資料格式等資料格式。
在接收到MTO設計資料之後,實行光罩資料準備(mask data preparation,MDP)(S430)。光罩資料準備可包括例如:被稱為分裂(fracturing)的格式轉換;用於機械讀取的條形碼、用於檢驗的標準光罩圖案、作業卡片組(job deck)及類似元件的增加;以及自動方法及手動方法的驗證。作業卡片組可包括形成關於一系列指令的文本檔案,例如多光罩檔案的佈置資訊、參考劑量以及曝光速度或方法。
格式轉換(例如,分裂)可包括針對每一區對MTO設計資料進行劃分且將經劃分的MTO設計資料改變為用於電子束曝光機的格式的製程。舉例而言,分裂可包括例如按比例縮放、資料大小調整、資料旋轉、圖案反射及顏色反轉等資料操作。在藉由分裂進行的轉換製程中,可對在自設計資料至晶圓上的影像的轉移製程期間可能出現的大量系統誤差的資料進行校正。該些系統誤差的資料校正製程被稱為光罩製程校正(mask process correction,MPC)。舉例而言,資料校正製程可包括CD調整,CD調整包括對線寬進行調整及增大圖案佈置的精確度。另外,資料校正製程可為為了光罩製程校正而預先實行的製程。此處,系統誤差可能由曝光製程、光罩顯影製程及/或蝕刻製程及晶圓成像製程中發生的畸變引起。
光罩資料準備可包括前述MPC。MPC是指對曝光製程期間出現的誤差(例如,系統誤差)進行校正的製程。此處,曝光製程可指包括電子束寫入、顯影、蝕刻及烘焙的MPC製程。另外,資料處理可在曝光製程之前實行。資料處理是一種用於光罩資料的預處理製程,且可包括對光罩資料的語法檢查、對曝光時間的預測及類似製程。
在準備光罩資料之後,基於光罩資料對用於光罩的基板進行曝光(S440)。曝光可包括例如電子束寫入。此處,可藉由例如使用多束光罩寫入器(multi-beam mask writer,MBMW)的灰度寫入方法來實行電子束寫入。另外,可使用可變形狀束(variable shape beam,VSB)曝光機來實行電子束寫入。
在光罩資料準備操作之後,可在曝光製程之前實行將光罩資料轉換成畫素資料的製程。畫素資料是直接用於實際曝光的資料,且可包括關於欲曝光形狀的資料及關於分配給每一資料的劑量的資料。形狀資料可為位元圖資料,在位元圖資料中,形狀資料(例如,矢量資料)藉由光柵化或類似方法進行轉換。
在曝光製程之後,藉由實行一系列製程來形成光罩(S450)。所述一系列製程可包括例如顯影、蝕刻及清潔。另外,用於形成光罩的一系列製程可包括量測製程、缺陷檢驗製程或缺陷修復製程。另外,亦可包括薄膜施加製程。薄膜施加製程可包括如下製程:將薄膜貼合至光罩表面,以當藉由最終清潔及檢驗確認出不存在污染顆粒或化學污斑時在光罩的交付期間及光罩的使用壽命期間保護光罩免受後續污染。
圖17是示出根據另一實例性實施例的製造EUV光罩的方法的流程圖。參照圖17,製造EUV光罩的方法類似於圖16所示方法,但可更包括在輸入MTO設計資料的操作S520及準備光罩資料的操作S530之後對光罩資料實行鄰近效應校正(proximity effect correction,PEC)的操作S535。此種PEC是指對由電子束鄰近效應(例如,電子束散射)引起的誤差進行校正的製程。舉例而言,在電子束曝光製程中,其中用於產生電子束的高加速電壓與位於下方的抗蝕劑及材料的原子一起作為高動能散射的現象被施加至電子,且此種現象通常被稱為電子束鄰近效應。電子束鄰近效應可使用兩個高斯函數或經驗確定的鄰近函數進行建模,且可基於該些函數對電子束鄰近效應進行校正。
最常用於對由電子束鄰近效應引起的誤差進行校正的鄰近效應校正是一種藉由在實際曝光期間改變劑量來補償由於散射而改變的劑量的方法。舉例而言,具有高圖案密度的區可被分配相對低的劑量,且相對孤立及小的形狀可被分配相對高的劑量。此處,劑量可包括電子束的照射量。鄰近效應校正可包括對圖案形狀的邊緣進行校正或改變圖案形狀的大小的方法。在實行PEC之後,藉由實行對用於光罩的基板進行曝光的操作(S540)及形成EUV光罩的操作(S550)來製造EUV光罩。
圖18是示出根據本發明概念實例性實施例的製造半導體晶片/裝置的方法的流程圖。參照圖18,可藉由在實行圖17中所示的一系列操作S610至S650之後實行形成EUV光罩的操作(S660)來製造EUV光罩。當製造EUV光罩時,可使用所製造的EUV光罩對例如晶圓等半導體基板實行各種半導體裝置/晶片製造製程,以形成半導體裝置(S670)。作為參照,使用EUV光罩的製程通常可包括藉由EUV曝光製程進行的圖案化製程。可藉由使用EUV光罩的圖案化製程在半導體基板或材料層上形成期望的圖案。
半導體製程可包括沈積製程、蝕刻製程、離子製程、清潔製程及類似製程。沈積製程可包括各種材料層形成製程,例如化學氣相沈積(chemical vapor deposition,CVD)、濺鍍、旋轉塗佈及類似製程。離子製程可包括例如離子植入、擴散、熱處置及類似製程等製程。半導體製程可更包括封裝製程及對半導體裝置或封裝進行測試的測試製程,在封裝製程中,半導體裝置被安裝於印刷電路板(printed circuit board,PCB)上並利用密封材料進行密封。
根據實例性實施例,可在初始矩形佈局圖案中對違反光罩規則的邊緣進行偵測,可將邊緣轉換成多邊緣,且基於多邊緣上的附加控制點產生經修改佈局圖案,在經修改佈局圖案中,多邊緣端視貝塞爾曲線或B樣條曲線被轉換成彎曲邊緣。在經修改佈局圖案中,相對於參考控制點相對靠近相鄰佈局圖案設置的一部分可具有收縮形狀,且相對遠離相鄰佈局圖案設置的一部分可具有擴展形狀。
根據實例性實施例,由於可不同地確定用於形成經修改佈局圖案的參考控制點的位置、多邊緣的形狀及附加控制點的位置,因此可形成具有各種形狀的經修改佈局圖案。可藉由迭代地實行幾次產生具有各種形狀的經修改佈局圖案且對經修改佈局圖案的EPE進行計算的操作來確定用於形成目標圖案的最佳佈局圖案。
根據實例性實施例,可形成具有各種形狀的經修改佈局圖案。因此,即使當製造用於形成以不規則圖案設置的通孔圖案或金屬接觸圖案的光罩時,亦可在光罩上有效地形成光罩佈局圖案。舉例而言,當使用根據實例性實施例的OPC方法時,可因應於減小DRAM晶片的大小的請求而形成用於對具有通孔圖案及金屬接觸圖案的記憶胞周邊緣電路進行高度整合的佈局圖案。
如上所述,在根據實例性實施例的光學鄰近校正方法以及製造光罩的方法以及使用光學鄰近校正方法製造半導體晶片的方法中,光罩上的佈局圖案中違反光罩規則的邊緣被轉換成貝塞爾曲線、B樣條曲線或類似曲線,且因此,可避免規則違反,可在半導體晶圓上高度整合裝置,且可減小佈局圖案的EPE的大小。
儘管未具體示出,但上述所有方法(例如,圖3、圖7、圖15、圖16及圖17的流程圖中示出的方法)包括或完成半導體晶片的製造。
儘管以上已示出並闡述了一些實例性實施例,但對於熟習此項技術者而言顯而易見的是,可在不背離由所附申請專利範圍界定的本發明概念的範圍的條件下進行修改及變化。
401、402、601、609、DR:間隙 602a、602b:邊緣 603a、603b:參考控制點 604a、604b:第一邊緣 605a、605b:第二邊緣 606a、606b、607a、607b:正方形圖案/矩形圖案 608a、608b:彎曲邊緣 1000:計算系統 1001:系統匯流排 1100:處理器 1200:工作記憶體/記憶體裝置 1210:設計工具 1220:OPC工具 1300:輸入/輸出(I/O)裝置 1400:輔助儲存裝置/輔助儲存器 A:部分 AT1、AT2、AT3、AT4~ATm:變跡表 S10、S20、S21、S22、S23、S24、S30、S40、S210、S220、S230、S240、S250、S260、S270、S280、S290、S310、S320、S330、S340、S350、S410、S420、S430、S440、S450、S510、S520、S530、S535、S540、S550、S610、S620、S630、S640、S650、S660、S670:操作 SLT1、SLT2、SLT3、SLT4~SLTm:狹縫 TCC1、TCC2、TCC3、TCC4~TCCm:透射交叉係數
結合附圖閱讀以下詳細說明,將更清楚地理解本揭露的以上及其他態樣、特徵及優點。 圖1是示出根據實例性實施例的實行光學鄰近校正(OPC)的計算系統的方塊圖。 圖2是示出根據實例性實施例的製造半導體晶片的方法的流程圖。 圖3是示出圖2中所示的OPC方法的流程圖。 圖4A及圖4B是示出根據與實例性實施例不同的比較例的OPC方法的視圖。 圖5是示出藉由根據實例性實施例的OPC方法形成的佈局圖案的示意圖。 圖6A至圖6C是示出根據實例性實施例的OPC方法的詳細視圖。 圖7是示出根據實例性實施例的OPC方法的實例的流程圖。 圖8A及圖8B是示出根據實例性實施例的矩形佈局圖案與經修改佈局圖案之間的比較的視圖。 圖9A及圖9C是示出藉由根據比較例的佈局圖案在晶圓上形成的圖案的輪廓與藉由根據實例性實施例的佈局圖案在晶圓上形成的圖案的輪廓之間的比較的視圖。 圖10A及圖10B是根據比較例及實例性實施例的光罩佈局影像。 圖11A及圖11B是分別示出基於根據比較例的佈局圖案在晶圓上形成的轉移電路圖案與基於根據實例性實施例的佈局圖案在晶圓上形成的轉移電路圖案的影像。 圖12A及圖12B是分別示出基於根據比較例的佈局圖案在晶圓上形成的圖案與基於根據實例性實施例的佈局圖案在晶圓上形成的圖案的影像。 圖13是用於將基於根據比較例的佈局圖案的EPE的大小減小程度與基於根據實例性實施例的佈局圖案的EPE的大小減小程度進行比較的曲線圖。 圖14是示出根據實例性實施例的產生OPC模型的製程的概念圖。 圖15是示出根據另一實例性實施例的OPC方法的實例的流程圖。 圖16至圖18是根據一些實例性實施例的極紫外(EUV)光罩製造方法的流程圖製程。
S210、S220、S230、S240、S250、S260、S270、S280、S290:操作

Claims (10)

  1. 一種用於製造半導體晶片的光學鄰近校正(OPC)方法,所述光學鄰近校正方法包括: 對初始佈局圖案的多個邊緣進行偵測且將所述多個邊緣之中違反光罩規則的邊緣確定為目標邊緣; 在所述目標邊緣上設定參考控制點; 藉由基於所述參考控制點對所述目標邊緣進行劃分來形成多邊緣; 在所述多邊緣上設定附加控制點; 藉由基於所述參考控制點及所述附加控制點將所述多邊緣轉換成彎曲邊緣來形成經修改佈局圖案; 基於經修的所述佈局圖案實行光學鄰近校正模擬; 基於所述光學鄰近校正模擬的結果計算經修改的所述佈局圖案的邊緣放置誤差(EPE); 針對所設定的迭代數目而迭代地實行在所述目標邊緣上移動所述參考控制點且基於經移動的所述參考控制點形成所述多邊緣、設定所述附加控制點、形成經修改的所述佈局圖案、實行光學鄰近校正模擬及計算所述邊緣放置誤差;以及 基於邊緣放置誤差計算結果確定最終佈局圖案。
  2. 如請求項1所述的光學鄰近校正方法,其中將違反光罩規則的邊緣確定為目標邊緣包括:將所述多個邊緣之中距相鄰佈局圖案的邊緣的距離小於所述光罩規則中所界定的距離的邊緣確定為所述目標邊緣。
  3. 如請求項2所述的光學鄰近校正方法,其中將違反光罩規則的邊緣確定為目標邊緣更包括檢查相鄰佈局圖案是否處於距所述初始佈局圖案的隅角所界定的所述距離內。
  4. 如請求項1所述的光學鄰近校正方法,其中在所述目標邊緣上設定參考控制點包括將所述目標邊緣的中心設定為所述參考控制點。
  5. 如請求項1所述的光學鄰近校正方法,其中 對所述目標邊緣進行劃分包括: 將所述目標邊緣劃分成第一邊緣及第二邊緣, 將與所述第一邊緣接觸的矩形添加至所述初始佈局圖案,以及 自所述初始佈局圖案切割與所述第二邊緣接觸的矩形以形成所述多邊緣。
  6. 如請求項5所述的光學鄰近校正方法,其中對所述目標邊緣進行劃分包括:在所述第一邊緣及所述第二邊緣之中,將所述初始佈局圖案的更靠近與所述初始佈局圖案相鄰的相鄰佈局圖案的邊緣確定為所述第二邊緣。
  7. 如請求項1所述的光學鄰近校正方法,其中形成經修改佈局圖案包括基於所述參考控制點及所述附加控制點產生貝塞爾曲線或B樣條曲線,以將所述多邊緣轉換成所述彎曲邊緣。
  8. 如請求項1所述的光學鄰近校正方法,其中經修改的所述佈局圖案具有如下形狀:在所述形狀中,相對靠近與所述初始佈局圖案相鄰的相鄰佈局圖案的一部分被收縮且相對遠離所述相鄰佈局圖案的一部分被擴展。
  9. 一種製造半導體晶片的方法,所述方法包括: 設計出針對所述半導體晶片的佈局; 對所述佈局實行光學鄰近校正(OPC); 在實行所述光學鄰近校正之後製造光罩;以及 使用所述光罩來製造所述半導體晶片, 其中所述光罩包括與矩形圖案對應的多個佈局圖案,且 所述多個佈局圖案中的至少一者具有由貝塞爾曲線或B樣條曲線形成的邊緣。
  10. 一種製造用於半導體晶片的光罩的方法,所述方法包括: 對佈局實行光學鄰近校正(OPC); 在實行所述光學鄰近校正之後輸入光罩出帶(MTO)設計資料; 在輸入所述光罩出帶設計資料之後準備光罩資料; 在準備所述光罩資料之後,基於所述光罩資料使用電子束而對用於所述光罩的基板實行曝光製程;以及 在實行所述曝光製程之後實行顯影製程、蝕刻製程或清潔製程以形成所述光罩,其中 實行光學鄰近校正包括: 將所述光罩的矩形佈局圖案中違反光罩規則的邊緣確定為目標邊緣, 在所述目標邊緣上設定參考控制點, 藉由基於所述參考控制點對所述目標邊緣進行劃分來形成多邊緣, 在所述多邊緣上設定附加控制點,以及 藉由基於所述參考控制點及所述附加控制點將所述多邊緣轉換成彎曲邊緣來形成經修改佈局圖案。
TW111148398A 2021-12-27 2022-12-16 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法 TW202326285A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210188102A KR20230099054A (ko) 2021-12-27 2021-12-27 광 근접 보정 방법, 그것을 이용하는 마스크 제작 방법 및 반도체 칩 제조 방법
KR10-2021-0188102 2021-12-27

Publications (1)

Publication Number Publication Date
TW202326285A true TW202326285A (zh) 2023-07-01

Family

ID=86897623

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111148398A TW202326285A (zh) 2021-12-27 2022-12-16 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法

Country Status (4)

Country Link
US (1) US20230205092A1 (zh)
KR (1) KR20230099054A (zh)
CN (1) CN116360204A (zh)
TW (1) TW202326285A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117389108B (zh) * 2023-11-30 2024-03-12 全芯智造技术有限公司 用于光学邻近效应修正的方法、设备和介质

Also Published As

Publication number Publication date
KR20230099054A (ko) 2023-07-04
US20230205092A1 (en) 2023-06-29
CN116360204A (zh) 2023-06-30

Similar Documents

Publication Publication Date Title
US7458056B2 (en) Effective proximity effect correction methodology
US10223494B2 (en) Semiconductor device manufacturing method and mask manufacturing method
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
US11675958B2 (en) Lithography simulation method
US11989873B2 (en) Stochastic contour prediction system, method of providing the stochastic contour prediction system, and method of providing EUV mask using the stochastic contour prediction system
US20180284597A1 (en) Etch kernel definition for etch modeling
US20230071777A1 (en) Optical proximity correction method, mask manufacturing method and semiconductor chip manufacturing method using the same
TWI795566B (zh) 用於執行光學近接校正的方法及使用光學近接校正製造遮罩的方法
TW202326285A (zh) 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法
US20230418260A1 (en) Lithography model generating method based on deep learning, and mask manufacturing method including the lithography model generating method
US20230074316A1 (en) Mask process correction methods and methods of fabricating lithographic mask using the same
US11900040B2 (en) Method and system for reducing layout distortion due to exposure non-uniformity
US11740550B2 (en) Method of performing optical proximity correction and method of manufacturing lithographic mask by using the same
CN112462570A (zh) 光学邻近校正(opc)方法以及使用opc方法制造掩模的方法
US20220155674A1 (en) Optical proximity correction method and mask manufacturing method of lithography system
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
US20220326622A1 (en) Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method
van Adrichem et al. Data Preparation
US20230168576A1 (en) Full-chip cell critical dimension correction method and method of manufacturing mask using the same
CN116203803A (zh) 用于光学邻近校正的方法和制造半导体器件的方法
CN117010320A (zh) 用于半导体芯片的布局方法以及制造半导体芯片的方法
CN115542656A (zh) 光学邻近校正方法及使用该方法制造极紫外掩模的方法